Skip to content

Commit

Permalink
flash bus - update sensibility list in mealy process
Browse files Browse the repository at this point in the history
  • Loading branch information
RGNagel committed Sep 20, 2021
1 parent da447d1 commit a69355a
Showing 1 changed file with 5 additions and 2 deletions.
7 changes: 5 additions & 2 deletions peripherals/flash/dummy/flash_bus.vhd
Original file line number Diff line number Diff line change
Expand Up @@ -79,7 +79,8 @@ architecture rtl of flash_bus is
signal memory : reg_array; -- no initialization

-- addr_local will contain the flash word (32-bit) based address without
-- offset. i.e. this is the correct address use internally in this component
-- offset. i.e. this is the correct address used internally in this
-- component
signal addr_local : unsigned(22 downto 0);

begin
Expand Down Expand Up @@ -143,9 +144,11 @@ architecture rtl of flash_bus is
end process;

-- mealy
process (state)
process (state, memory, addr_local, ddata_w)
begin

-- ddata_r <= (others => '0');

case state is
when IDLE =>

Expand Down

0 comments on commit a69355a

Please sign in to comment.