Skip to content

Commit

Permalink
feat: modifica sint p/ contemplar lcd
Browse files Browse the repository at this point in the history
  • Loading branch information
gdinn committed Feb 28, 2022
1 parent 9f1c96a commit 73c351e
Show file tree
Hide file tree
Showing 7 changed files with 301 additions and 234 deletions.
Binary file added .DS_Store
Binary file not shown.
4 changes: 4 additions & 0 deletions .gitignore
Original file line number Diff line number Diff line change
@@ -0,0 +1,4 @@
output_files/
simulation/
db/
incremental_db/
Binary file added peripherals/.DS_Store
Binary file not shown.
Binary file added peripherals/lcd_hd44780/.DS_Store
Binary file not shown.
489 changes: 257 additions & 232 deletions peripherals/lcd_hd44780/lcd_hd44780.vhd

Large diffs are not rendered by default.

38 changes: 38 additions & 0 deletions peripherals/lcd_hd44780/sint2/de10_lite/de0_lite.vhd
Original file line number Diff line number Diff line change
Expand Up @@ -120,6 +120,7 @@ architecture rtl of de0_lite is
signal ddata_r_i2c : std_logic_vector(31 downto 0);
signal ddata_r_dig_fil : std_logic_vector(31 downto 0);
signal ddata_r_stepmot : std_logic_vector(31 downto 0);
signal ddata_r_hd44780 : std_logic_vector(31 downto 0);

signal data_in_dig_fil : std_logic_vector(15 downto 0);

Expand Down Expand Up @@ -297,6 +298,43 @@ begin
hex6 => open,
hex7 => open
);

lcd : entity work.lcd_hd44780
port map(
clk => clk_1k,
rst => SW(9),
--
daddress => daddress,
ddata_w => ddata_w,
ddata_r => ddata_r_segments,
d_we => d_we,
d_rd => d_rd,
dcsel => dcsel,
dmask => dmask,
--
lcd_data(7) => ARDUINO_IO(0),
lcd_data(6) => ARDUINO_IO(1),
lcd_data(5) => ARDUINO_IO(2),
lcd_data(4) => ARDUINO_IO(3),
lcd_data(3) => ARDUINO_IO(4),
lcd_data(2) => ARDUINO_IO(5),
lcd_data(1) => ARDUINO_IO(6),
lcd_data(0) => ARDUINO_IO(7),
lcd_rs => ARDUINO_IO(9),
lcd_e => ARDUINO_IO(8),
lcd_is_busy => LEDR(8)
);

-- daddress : in unsigned(DADDRESS_BUS_SIZE - 1 downto 0);
-- ddata_w : in std_logic_vector(31 downto 0);
-- ddata_r : out std_logic_vector(31 downto 0);
-- d_we : in std_logic;
-- d_rd : in std_logic;
-- dcsel : in std_logic_vector(1 downto 0); --! Chip select
-- -- ToDo: Module should mask bytes (Word, half word and byte access)
-- dmask : in std_logic_vector(3 downto 0); --! Byte enable mask



-- Connect input hardware to gpio data
gpio_input(3 downto 0) <= SW(3 downto 0);
Expand Down
4 changes: 2 additions & 2 deletions peripherals/lcd_hd44780/sint2/de10_lite/de10_lite.qsf
Original file line number Diff line number Diff line change
Expand Up @@ -216,7 +216,6 @@ set_global_assignment -name STRATIX_DEVICE_IO_STANDARD "2.5 V"

set_global_assignment -name TIMING_ANALYZER_MULTICORNER_ANALYSIS ON
set_global_assignment -name NUM_PARALLEL_PROCESSORS ALL
set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top
set_global_assignment -name VHDL_FILE ../../lcd_hd44780.vhd
set_global_assignment -name VHDL_FILE ../../../gpio/gpio.vhd
set_global_assignment -name VHDL_FILE ../../../gpio/led_displays.vhd
Expand All @@ -242,4 +241,5 @@ set_global_assignment -name VHDL_FILE ../../../../alu/alu_types.vhd
set_global_assignment -name VHDL_FILE ../../../../alu/alu.vhd
set_global_assignment -name VHDL_FILE de0_lite.vhd
set_global_assignment -name QIP_FILE pll.qip
set_global_assignment -name SOURCE_FILE db/de10_lite.cmp.rdb
set_global_assignment -name SOURCE_FILE db/de10_lite.cmp.rdb
set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top

0 comments on commit 73c351e

Please sign in to comment.