Skip to content

Commit

Permalink
change file names
Browse files Browse the repository at this point in the history
  • Loading branch information
mrtkp9993 committed Nov 25, 2020
1 parent 63156fd commit 96162c9
Show file tree
Hide file tree
Showing 3 changed files with 2 additions and 2 deletions.
File renamed without changes.
File renamed without changes.
4 changes: 2 additions & 2 deletions onehot_decoder_3to8_tb.vhd
Original file line number Diff line number Diff line change
Expand Up @@ -25,8 +25,8 @@ begin
DUT : OneHotDecoder3to8 port map(ABC => ABC_TB, F => F_TB);

process
file Fin : TEXT open READ_MODE is "onehotdecoder3to8_input.txt";
file Fout : TEXT open WRITE_MODE is "onehotdecoder3to8_output.txt";
file Fin : TEXT open READ_MODE is "onehot_decoder_3to8_input.txt";
file Fout : TEXT open WRITE_MODE is "onehot_decoder_3to8_output.txt";

variable current_read_line : line;
variable current_read_field : std_logic_vector(2 downto 0);
Expand Down

0 comments on commit 96162c9

Please sign in to comment.