From 938a559e43ddafba564dd7c6e20b1b3a6ba673b2 Mon Sep 17 00:00:00 2001 From: suzuki1994 <51233986+suzuki1994@users.noreply.github.com> Date: Tue, 19 Dec 2023 08:09:17 -0300 Subject: [PATCH] morse (#64) MIME-Version: 1.0 Content-Type: text/plain; charset=UTF-8 Content-Transfer-Encoding: 8bit * Create a * Add files via upload Upload inicial morse code * Create a * Add files via upload Upload dos arquivos da pasta sint * Delete peripherals/morse/a * Delete peripherals/morse/sint/a * Create a * Add files via upload Upload das imagens para o README * Delete peripherals/morse/imagens/a * Update README.md ajuste de links * Update README.md ajuste de links_2 * Update MorseCodeBuzzer.vhd ajuste de comentário --- peripherals/morse/MorseCodeBuzzer.vhd | 170 ++++ peripherals/morse/MorseCode_Package.vhd | 42 + peripherals/morse/README.md | 22 + .../morse/imagens/Maquina_de_estados.png | Bin 0 -> 82454 bytes .../morse/imagens/Morse_code_numbers.png | Bin 0 -> 57497 bytes peripherals/morse/imagens/modulo buzzer.png | Bin 0 -> 177693 bytes peripherals/morse/sint/PLL_Morse.cmp | 23 + peripherals/morse/sint/PLL_Morse.ppf | 9 + peripherals/morse/sint/PLL_Morse.qip | 6 + peripherals/morse/sint/PLL_Morse.vhd | 355 ++++++++ peripherals/morse/sint/de10_lite.qpf | 30 + peripherals/morse/sint/de10_lite.qsf | 362 ++++++++ peripherals/morse/sint/de10_lite.sdc | 86 ++ peripherals/morse/sint/de10_lite.vhd | 104 +++ .../sint/de10_lite_assignment_defaults.qdf | 806 ++++++++++++++++++ peripherals/morse/tb.do | 47 + peripherals/morse/testbench.vhd | 67 ++ 17 files changed, 2129 insertions(+) create mode 100644 peripherals/morse/MorseCodeBuzzer.vhd create mode 100644 peripherals/morse/MorseCode_Package.vhd create mode 100644 peripherals/morse/README.md create mode 100644 peripherals/morse/imagens/Maquina_de_estados.png create mode 100644 peripherals/morse/imagens/Morse_code_numbers.png create mode 100644 peripherals/morse/imagens/modulo buzzer.png create mode 100644 peripherals/morse/sint/PLL_Morse.cmp create mode 100644 peripherals/morse/sint/PLL_Morse.ppf create mode 100644 peripherals/morse/sint/PLL_Morse.qip create mode 100644 peripherals/morse/sint/PLL_Morse.vhd create mode 100644 peripherals/morse/sint/de10_lite.qpf create mode 100644 peripherals/morse/sint/de10_lite.qsf create mode 100644 peripherals/morse/sint/de10_lite.sdc create mode 100644 peripherals/morse/sint/de10_lite.vhd create mode 100644 peripherals/morse/sint/de10_lite_assignment_defaults.qdf create mode 100644 peripherals/morse/tb.do create mode 100644 peripherals/morse/testbench.vhd diff --git a/peripherals/morse/MorseCodeBuzzer.vhd b/peripherals/morse/MorseCodeBuzzer.vhd new file mode 100644 index 00000000..07fa9269 --- /dev/null +++ b/peripherals/morse/MorseCodeBuzzer.vhd @@ -0,0 +1,170 @@ +--! Use standard library +library ieee; + +use ieee.std_logic_1164.all; + +use ieee.numeric_std.all; +use work.MorseCode_Package.all; + + +entity MorseCodeBuzzer is + Port ( + clk : in std_logic; -- Clock input + rst : in std_logic; -- Reset input + entrada: in integer; --entrada do numero/letra/caracter especial + buzzer : out std_logic; -- Buzzer output + ledt : out std_logic;-- led acionado com o tempo T (ponto) + ledf : out std_logic;-- led acionado com o fim da palavra + led3t: out std_logic-- led acionado com o tempo 3T (traço) + ); + +end MorseCodeBuzzer; + +architecture Behavioral of MorseCodeBuzzer is + constant T: integer :=225;--225 para a placa; para os testbench 10 muda a frequencia de operação + constant Tbase: integer :=5555;--5555 para a placa; para os testbench 1 muda a frequencia do tom + signal count_T,count_3T,count_7T, count_within_char, count_between_letters : integer:=0; -- contadores de cada tempo + signal count_T_TC, count_3T_TC,count_7T_TC, count_within_char_TC, count_between_letters_TC: std_logic:='0';-- sinal de contagem completa + signal counter : integer := 0;-- contador da palavra + signal temp: bit:='1';--qual o bit atual + signal count_pulse: integer range 0 to Tbase-1 := 0; -- Contador para a geração da freq do buzzer + signal tone: std_logic := '0'; -- Sinal de saida do buzzer modulado pela frequencia + signal morse_code : bit_vector (4 downto 0);-- vetor que é recebido pelo arquivo package (onde entra o número inteiro e sai o vetor de bits) + type MorseStates is (IDLE, TIME_T, TIME_3T, TIME_7T,NEXT_CARACTER, TIME_WITHIN_LETTER, TIME_BETWEEN_LETTERS);-- maquina de estados + signal STATE : MorseStates := IDLE;-- estado inicial + +begin + process (clk, rst) + begin + + if rst = '1' then -- não esquecer de resetar tudo + STATE <= IDLE; + counter <= 0; + temp<='0'; + morse_code<="00000"; + buzzer <= '1'; + ledt<='0'; + ledf<='0'; + led3t<='0'; + elsif rising_edge(clk) then -- no limiar de subida do clock + + if count_pulse <= 0 then + -- Se o contador atingir 0, inverta o sinal do buzzer para gerar a frequencia + tone <= not tone; + count_pulse <= Tbase - 1; -- Reinicia o contador + else + count_pulse <= count_pulse - 1; -- Decrementa o contador + end if; + ledt<='0'; + case STATE is + when IDLE => + if entrada >= 0 and entrada <= 9 then --limitar o numero entre 0 a 9 + morse_code <= Morse_codes(entrada);-- entra com a entrada na tabela e recebe o vetor de bit + temp<= morse_code(counter);-- seleciona o bit em relação ao contador (faz a varredura) + ledf<='0';-- desliga o led de quando acaba a palavra + if temp = '0' then -- caso seja ponto + count_T <=T; -- o valor de count passa a ser a constante definida anteriormente + STATE<= TIME_T;-- vai para o estado de T + elsif temp = '1' then --caso seja traco + count_3T <=3*T; -- o valor de count passa a ser a 3*constante definida anteriormente + STATE<= TIME_3T;-- vai para o estado de 3T + else + -- STATE<= IDLE; + null; + end if; + end if; + when TIME_T =>-- ponto + buzzer<=tone;-- saida recebe o tom + ledt<='1'; -- led do T acende + count_within_char_TC<='0'; + if count_T=0 then + count_T_TC<='1'; -- flag de fim da contagem de T + else + count_T<=count_T-1; + end if; + + if count_T_TC = '1' then-- caso tenha terminado T + count_within_char<=3*T; -- define o contador do intervalo entre bit + STATE<= TIME_WITHIN_LETTER; -- vai para o intervalo entre bit + end if; + + when TIME_3T =>-- traco + buzzer<=tone; + led3t<='1'; + count_within_char_TC<='0'; + if count_3T=0 then + count_3T_TC<='1'; + else + count_3T<=count_3T-1; + end if; + if count_3T_TC='1' then + count_within_char<=3*T; + STATE<=TIME_WITHIN_LETTER; + end if; + + when TIME_7T => -- intervalo entre palavra + buzzer<=tone; + if count_7T=0 then + count_7T_TC<='1'; + else + count_7T<=count_7T-1; + end if; + + if count_7T_TC='1' then + + STATE<=IDLE; + end if; + when NEXT_CARACTER =>-- proxima bit + counter<= counter+1; -- aumenta o contador da bit + temp<=morse_code(counter);-- seleciona o prox bit da bit + if counter = 4 then-- como foi feito apenas com numeros e o seu numero max é 5 (lembra do 0) + morse_code <= Morse_codes(entrada); + temp<= morse_code(counter); + counter<= 0; + count_between_letters<=3*T; + STATE<= TIME_BETWEEN_LETTERS;-- intervalo entre palavras/numeros/fim do caracter atual + ledf<='1'; + else + -- recomeça o processo com o proximo bit + if temp = '0' then -- caso seja ponto + count_T <=T; + STATE<= TIME_T; + elsif temp = '1' then --caso seja traco + count_3T <=3*T; + STATE<= TIME_3T; + end if; + end if; + + when TIME_WITHIN_LETTER =>-- "silencio" entre os bits + count_T_TC<='0'; -- reseta a flag do T + count_3T_TC<='0'; -- reseta a flag do 3T + buzzer<='1'; -- silencia a saida + ledt<='0'; + led3t<='0'; + if count_within_char=0 then + count_within_char_TC<='1'; + else + count_within_char<=count_within_char-1; + end if; + + if count_within_char_TC = '1' then + STATE<= NEXT_CARACTER;-- vai para o proximo bit + end if; + + when TIME_BETWEEN_LETTERS =>-- intervalo entre palavras/numeros/fim do caracter atual + buzzer<='1'; + if count_between_letters=0 then + count_between_letters_TC<='1'; + else + count_between_letters<=count_between_letters-1; + end if; + if count_between_letters_TC='1' then + STATE<=IDLE; + end if; + + end case; + end if; + end process; +end Behavioral; + + diff --git a/peripherals/morse/MorseCode_Package.vhd b/peripherals/morse/MorseCode_Package.vhd new file mode 100644 index 00000000..1ec9c6d2 --- /dev/null +++ b/peripherals/morse/MorseCode_Package.vhd @@ -0,0 +1,42 @@ +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; + +package MorseCode_Package is + type MorseCodeTable is array(integer range 0 to 9) of bit_vector(0 to 4); + + function Morse_codes(Morse_value : integer) return bit_vector; + + constant MorseCodes : MorseCodeTable := ( + -- Para uma futura implementação: + -- acrescentar as letra e caracteres especiais + -- utilizar de "." e "-" no lugar de "0" e "1" + -- 'A' => ".-", 'B' => "-...", 'C' => "-.-.", + -- 'D' => "-..", 'E' => ".", 'F' => "..-.", + -- 'G' => "--.", 'H' => "....", 'I' => "..", + -- 'J' => ".---", 'K' => "-.-", 'L' => ".-..", + -- 'M' => "--", 'N' => "-.", 'O' => "---", + -- 'P' => ".--.", 'Q' => "--.-", 'R' => ".-.", + -- 'S' => "...", 'T' => "-", 'U' => "..-", + -- 'V' => "...-", 'W' => ".--", 'X' => "-..-", + -- 'Y' => "-.--", 'Z' => "--..", + -- 0 => "-----", 1 => ".----", 2 => "..---", + -- 3 => "...--", 4 => " ....-", 5 => ".....", + -- 6 => "-....", 7 => "--...", 8 => "---..", + -- 9 => "----." + -- ponto e 0 e traço e 1 + 0 => "11111", 1 => "01111", 2 => "00111", + 3 => "00011", 4 => "00001", 5 => "00000", + 6 => "10000", 7 => "11000", 8 => "11100", + 9 => "11110" + ); + +end package MorseCode_Package; + +package body MorseCode_Package is + function Morse_Codes(Morse_value : integer) return bit_vector is + begin + return MorseCodes(Morse_value); + end function Morse_Codes; + +end package body MorseCode_Package; diff --git a/peripherals/morse/README.md b/peripherals/morse/README.md new file mode 100644 index 00000000..b5a47eb2 --- /dev/null +++ b/peripherals/morse/README.md @@ -0,0 +1,22 @@ +# MorseCode Numbers + +Esta implementação contempla o uso de um módulo buzzer (figura abaixo) como periférico. Que recebe um sinal de entrada e converte para um sinal sonoro itermitente que é representado por pontos e traços. Atualmente está implementado os números do código morse. + + +![# modulo buzzer ](./imagens/modulo%20buzzer.png) + + + +O ponto tem o periodo de T e o traço 3T emitindo som, intervalo entre caracter tem 3T e entre palavras 7T. O período T pode variar de acordo com a experiência do operador de código morse. A seguir a tabela de código morse implementada: + +![numeros morse](./imagens/Morse_code_numbers.png) + +Este periférico possui 3 pinos, VCC de 3V3, GND e o pino de controle, no qual envia um sinal que emite som quando '0' e fica sem som quando '1' + +Utilizou-se uma tabela para converter a entrada em pontos e traços (pontos são represnetados por '0' traços representados por '1') e uma maquina de estados para realizar os diferentes periodos de operação. A maquina de estados a seguir resume a operação deste programa + + +![maquina de estados ](./imagens/Maquina_de_estados.png) + +# Simulação +A primeira simulação foi feita ainda antes do periférico ser implementado no projeto como um todo e pode ser visto nos arquivos [testbench](/peripherals/morse/testbench.vhd) e [tb.do](/peripherals/morse/tb.do) diff --git a/peripherals/morse/imagens/Maquina_de_estados.png b/peripherals/morse/imagens/Maquina_de_estados.png new file mode 100644 index 0000000000000000000000000000000000000000..3ecf4e9edebe28ed01b2d9074b9f6fe2032fea76 GIT binary patch literal 82454 zcmb5W2RNH=*f*?N+6L9uY!v@4Eo!w^?J8AOTkIHBYVX)FONXKhwO6f#*g_DaMN4fF z#H=b}*WT|H|Mz*`=lS0E`@ZANag^ki`@XO1oWJute|KMNX{azjpb#o5DhAa@N;*_j zr}n9+PBNaM1xM~Dw|9YGCp>gi6sa(sm*>ETQ_t_K->0G~iJ{xKqyeALx;`@UprShW zmGbXIt4rQ9DypMwRi*oSK4uFCJ3-R0gVuwfqb#)Z{cJ~T{xjBex0v5$>L_H{o)Abn zapTOV>91E~vv1RCeSLbK*TnklE$w%%CoJjCyuZPw_HJ>8=$(2qL9|^padT9hl!Yb1 zeV_Au{PFC=Pv1X?&Yh!6LHnz%-2eLz3DKZaf&VXmQu_b;li-T~bgaRG`Oz*L*c^BT zpV%BQaTz*V{-mo>Qc@!F?@_7;6Gyp6zN^h_i;+V3|9MQfL0?~=FJ$~^>*ye8jOBkG zrH?-Td=`k6@(Q-RKXLlsxAYgN<-q~GcIkdwW^*PJ>sd@!OG}FrA1n4*j>WWViesj= zf=&GkYmUm4vgRLz>7HkJXQP3O3|me@?+ZtAKPPSo7A2?~l~f|Z1s!kxdo``%e#dXz zsWdHCyzTK}8{*LI>5r_1=3MH=_{!u4Mk*?c&*!&KP*JUi=t$|)wdXuli|c%L`=hGK z9XYEhoWT1+{hioCJwC$k4|fTB9fo(Xe*XD-&Vzw+mk{&nJQrEL=QT}Ai*dE4*bW^* zySvUQB1x)$$dyJY7!*Sr*b&2F9}qxU4)^hLQu&SjDlZo2-x2Dotu?eY5x_!gJB(4Y z<|>4+A9HP+Q~cq>RvkHSQV}PcKXu@oD$R3iT7g|D2>rWA(;sm&d?!eQgP(4cjvBNg zSZWE>wf9T}3-$LZ7QNIcq7?2vLy_&Sf}Jl$O8ceKZ7J+C{+$orqkf~OTq+Pv!9rNu zk10!Kn1(K5cKlK;_->sN<-~5bwmA5!f$%_<_pgoAzIf>W9m`E>D0(($Xl;VNyJjPB zA%BEb&0nz@K@%v~are|oAUo*`$Fh5`6WAR0$2hEh)o>V+xeD2` zu_qGoU%vc&D4gu$Z^df#z4G?*ZjK`ZrJ<2&P3PfA~tE3dh=f0~ag6q=;i;} zPY1-ue<~2jQr4h&Jz!pm6MYqUT2uR z!ME2T$4gJU#das|ZR>5R3$zF()~Fk|Q}}&}@tYy$0~aS>aCgAH9v~Xcu4_xk{qtWW z2u`PpI72x=c?l~Ws~x-xzM}Y_F7@8{Ki*nMRy8bVyyPmqnd(D*dMg;bfOIT*);R&SqBpJk_^_#ur7c2b6u^BZ!{eUt2z>l8nB;<=Lmr1V+2hc5PHuPhn*Zj|bAc2MQmld59BNEYc`M2# zAdA&cT|)!+MiRCJ_g}1tdz`%h?9hD@o}snk?ENmEzc`ijl~I17>2Y|R-_p%w*HCHJ zNw*#mosG$nkz{GFpu@Ga#qpyE_t!gUOOsE)S-pfEnp=H>QYyW&iOq zbP;}-CJes;>{;tL%SJ@obQ0c{>@C$4Ma9IB_V;TKriFq^w#NLsYUFm)aIm9|qM(Yh zE;$nL7y}9Em7STiWySmByI?0-Zz+yWPXq6_y?BNuz<;6SWs>Jqj|%q&CbDts_eV`! zLI}&gowx-}NVREajUW(X-fsm|nZ8PTteslh1Xb5s4=YPcfec~0zrTP-%3A&w5F zxQ}ePk?Zfh;UIQtD^LO!Z8YwWJ?kjY(Wr*p&a)b@!n%3O^^u^X1Lr^EaIc|e#C+gP zig)ci*c<)bW6=m7f*3GN!)9th@d@x9>&;D)i$C!s^E%vjI(Er)hfow~P4R($&$>oA zt9$El_J{dWU!YU>zI=T z1|^2&x*GSI)tBx|m;;R#P%KVi{D)0hCDF`(XgP38dfDAVC4bl@Ms~Bf{^($NY#OX0 z>{$Hku|Ws(=D@ychNfcVm*D3dS;LW#1B#dX#Dg2s^Br$W!pv{(23vy+FviqrBehe+ z+g4V6aX%%5&?a(8rB4?tGqY_FX`42>%@%bZ1iF+%gH&$gbueSzFlV>kLJl=~oza&S zuu4)@iZjbyHE{`9%lG8Ut4w8ak7f#f8*N1jwZ*-57!O0_e$-zn)O|AKh%ni>%A_6H z@+ z&;AsqD6@c7WfF30bP-tGmLRYIb|p$SNf)yHDTkN>dp;t=@z8A=sA65tv=epxpiax3 z1pOgJv5EGZPR3hl#^t7qhatjw?%4R-i{BMj z&$T(dpS#f>pR}agX()5?cI2(kNtMqs?5g{lDys@VJ$4?L(h+ePTK~|TJg+mPPf4IPZJFVA79$ z1v$x9za4(C-73E+h|Z(iuZ{IdIayz9Ur<+CSY==Nszc!AeVw7wv#_ll{-XixLB(td z-(ZqYis@qg}9L=;?s>LKD$rK&SbSHH+m0)~n)KE-`}w`Vx-B3M7FEjXvYTTT@Ih zf)WANNA{NMUCj4}i3>x|(*WYmqg8sXY?4fWSYLh7<>kqG1@^b<`dH3Gl8N@MB=+rT zR;M;uucp$DMw8P+FPbU=Sf%Nb@3k?PrU`^B*pxf=vU)_dL!e!;sP^w0x-qIHadTxav-Vd@AxBcO=cwT|k9H@X_IDkS{ia1v2%9Vl?)NJd;MP$eik3Dpm7Eb?>RXF#UNnJdxSv6d{a%XKu$|YB8}UB>?T$MgE(--^ zoqq*Q2<)@5cVpeAd6P##4WblWy0_|cbSS}8-qDI!K#MN zdo!1p?|@Kz{}@L42_`%l2DxP(UWvNbt?R85u48$Nc#nd6=hIXrMlef6=hA2`p_BC! zawsLo&yLqzR3#i-`@HNMlUujTn{}&m_*w+9b?PB$-f?4;oAM5FV&{C?+~Iovr271N zO#x^BO~4RWO7>YUg{3M8rIpW3R6fR;b7W<~f~@H3~7WN3MrPkO=QGMj-5D^`-FPbSIg<3oq{y~i;O<4@oFB>3mM9PRo8pf}3JA82dd!a8 zsObUm-GNbVJKg&d@VhUkJml-%Z9Z6ZNi?ib7kk|x)dTUDjWn}t@fHqE-4 zveq>VrIE4i^GJ{ys19BpyHPmFrG7L#5ESbr-qrFebf4n*V|_}LP4pVdPKKEKX6vws z!&34x#Y0}+WJV9xHY`VuWTJ0hPI^*sZy;HkRRHe%fG|-OiNRW?4@m}&?^eQ5ou5bk z;tK50zz1EQ=}!2kUR-t$r*q$*qy zGdY`L99MMn9o_Ror-jr+O^up8qGAIr@M5HPB@3}b+^1ltoFy@i&ES`~7>wcMS3&G* zY{YAE#cE}k>;R4RV#_ey$&TG0sslAnQHwLEtJlQqK0A@+OiE4N2U^02Uv_pJ)g}zQ zpX7er!I3yp{K)xUKSf#xbJGIJ@N*y;+WwmiwBMv*?QY zD9?<}uv8P)JI95seu}ZV3$}XC<;Re{_wcG+lG^RTCdH;dIjy5k?`K1;AEV*UBrg~P zn&zujkKhX3i!NzX?@?0RuQ$!;R{1+34y!ba-Xk_Q`AE>1MZ<}il=26q;r6$NCJ}kE z-bYiWo;}K#()GHnF$W{i{SnSPUYq&Oa?p{(OHQxPDei5&o0BiO2L$Gsw+p>mo!GW3 zV!vaC5EAswR|Q}$Ntd_p-DuBdb9DC0{2Q=d1(FB$Q@&i$gk<@mI|qcAA7RQ#UB3&d ztDaUFs9zjjRBpdM#hQeA@$rrvOPLbRmv%yHE5zKSf{0t2Kd)~$pgEC&nR=e=7Qq>? z(nzU)up=N*TD+rF3BmX^0L6xYwjEDjb`7rE|Jy!>2#)dtmB|7?#}xoAjKN}e2S6NS zfA()?MIP?V#67z1n498NdbC%6#L+OM+pfu)KOQf@1;1?=1}73sKtN>Jp>R#&-v>j@VJJG85>yCu-X^jBkWj<*@FkAi^0Z|5p4-vE7J!F9B3=}USfuAC&I2r8 zK|!5SYq_eI@^(2m!xb9?w`#_#ALCb7-3*4d$mk+JB5264vmBpeYpcTu^RcrT4`Y-Y z>{!}cDC_bgHc$91RnIK6@fVdpV3h5Lt)+POs(NjWdix)rseF9qt^D?7kU$qvc%GX# z1-N2JphC1Ps@qKTMy?lO$Nbu9{AN=9F-gG>isllYr`ol*x4-QG`kwd~$&XRO3enUf zieqg#X?#D2qLgEAR;S9e7a2!(OAFPmg!(%LZkDAD0hP@2jBkT-bpo|}2r#VwGT3%7 z?06wx0)Qb1{@y!S4qB$puG<`LhrLY+`1`{P*bdHh1=N76V{A#9t-EPQ`?+v{d^fYW z557}EG~4%7-~$x+J9M{8#)?woY~g{jSB@Jz*EB~12%`q@U`AjFdt`%patqb|56!@* z@o=vM4h}7=6TL{!skZ4-I^({%at;%1mbjOf-Cs!$Pz*T5t>w~YtNh{nvj*UT;wO%) zD8IxXuz>aefSr(gK$q6}dToNZ*{ge#j6t(4(R+X6>lbXoV8jVZ7IUsY@G`hy$QN5n zx}+@SCB*|-7PZ1e6F?E~V!qR6FmmJ&$}ozVHrAZqtE31c>Uhx)mf!GWT+6C~{!%d? zC?)^6)}t*@snta0`Y%nev^05Qg`Cp zt0D5^mB2-dcaE*TTUV0pwUX)V&B-85b@l4Uby2jz8*iF34?v;gRd#aRi{#`S`r4!O zUMsAeGjMy-*%Y_3s%&+d%kA3*acOCXwY9a%;$jPmBXhJb#hEy}5yF7};^_vFm1ABcc$DYzWt zwu}u*nl%eLKp4Ewfz1(ED ztR9pHL0Dk|lp3#^e7~a`{aBH+%%e+|h$zF8QD{dyyC30ksH^l3w8N8j0>)@kad_({ zfOLi59BVCnKy4@AZ2^E9OC4Reo`8{|OL5%Krs#Hz1b zKJyuEQZyQn?|S*Uu8#@s5CZcsudQxX&cT!G7roXxBx=Z#@OSxly09HKs6TyEwW}>0 zOOmsAOPVy?2)Q1S6la(62hyO?Z@tsZW?l~ZOM{$5&{ZG&^XHGFpWiUe3n??mNtS57 z0Nr?aRP)xLRZMj&sb?tah*JN8fb$#ozYH#(HbxO5UN_FYK-><<9voKYYhQ6qMNNbxe zQHMqkGR*8}S6jX7z~O|I+wbwxQ_V?tm4*9`Be&p0M1@?p?v{S|qhTRfYd+tiiu~^T zl%T^Muc;`B;lF=NlTy6cd2+SVb1gJ2Kl9CthON%!ra8BA`4{*jMMYlX`1s%IYUQPC z6h%@i)!`w`NYdyNhz3iyoxGS=dgp0byjhw2!JMYxv${Wt4yJ}XpqLz{34}&!!_hFe ze1%HgS5=^(uAVk_v9SS(;WM3l5U4h)*R*EBai09;dfs*&hXo!JAP9I{7Idm3kW1nd zAOj4Zp`^6p8_Zww9kOvo5m!>F(_P<6((piD>WSr$`C*N`3fkM5D@w6&NUSJ+O#Wy` zQ=)w-`qV?*n0B{>h{z;gb-;adWOz~&6c)&$Nv zBFm;1o6Mvs&T%+bHOfYFY0l)>NDL^~;*6GO2S0)wDR~SwFudDZ!dXjMsL#Fh<*2{C zznSItCm9`LeaMf|!3)7B&$(WSpr)sJ23hTg=~}+#n-=X%RJ=NFaNCiGuWC;hvgPbNNYbKKm?ZP)QivnU^e~ zknih$vk2{GQ@&XD6G=^li&6%aZ^q=Mj+kGdYl``9u&~eHix`b(fuj6(?Q&E8gii0G z9)xFigjhaeL8Bh``!A8k54{R^wOBqwu58E=b_yUWvZ-VBL3^ZeQ=dGD9>R`zuhX#& zfQZu+^*&5iWQGalyQ>l&K)*g|45P+TdN+tJy}@*{IP5#``95}A2vdKGentY$iLXk_ zCw(G4KGxXJk%kY#!jhTiP#DStJ8yqPxKW00dJ?M(4O;?z=+Q}$*Jc)UU@(F>MUlRFt3n(2o;~GO8T#`qkHj^TGc8Q_(p~k_ZtPkHW7jX{1>ndW>Kn7xi;Q=vk<_Z;+=(ylH=ddNYN5N4(5Xz*2T4Gr@_o`* zPNKdItLE+2smKpCOId?t^s6VeRcwliXXBpAAMLLR%D^U2ROYbHs9$hX)AeZIyvILX ze=)P+s@%i#tG@JxU=mFWE_ITPkivd6<^tEgs579{eWhg~Yr(m#cs@t8n)Cuwa>f8=t0C3NEiyb9N-zpt28O}CxIJ>{HC`3i|^%W=) zgXJ;={h(_6^naN<^A*(@jKy9Mvb705_Vh0aK8c*71ueQKB??+NUR<(dA?NQE@i=N# z#PQtHB9ij+dD%K`Z%e=ydCzO*<=a1q@^3Np8+_%uuK=sHzpaAsg?U>dJP7`euT=9q z*WUI7_r`hXTq*6;R{TAt&5nLNEi9>5fr;Skex9mmf^>e7k%8k3qI8x|EE{J`{{H>N zzi#7FRzjs1y1eBo!J(+jO#NGCYd-h9R%1rstMt)RRP3iHT5$}EH}m_!6}0bWp#25H zjEK@TP=ayf8{cqzfs)P)l@YTL3rxWE?op^2a>sb~?_dhf#gsY%% zNC#7PUXuHP5^v(F$!Hd37Bl4_zn;>-{*o%VkTN4}pv?=_i1kF}=~|~yQ^AJwe`$oI z@U4*fpD?@Ti{zcZKcAnG@3tXIDx@xnkv|;VlMI`n^z}!lnQc-0DbOy|WBLcOVu^?+ zP3gZwPII}oMr$#KDZ*?}^m<$FbwMm-+dFhLA$~z&R{I*J#??YUmfrtv9rRha^k_Il&-dV6MP^4(1uGb60DT=Tf^dj^HS zlN$Hb$p57mlMPvM6rY!SAg0~%PY{?rRqvvYqMLRtBTPI{BacqosIIq1v&L)Qmu{Ct(cZ z#k;SKTtt5Ms~etp$Md<{-z=k2k*gyV-9?MkpRLO%mJe{T-G5Ri5kUHCs|8C#?deUk z>2spyi>a+suA!C&s=si*(sRpO=3+pk+c*7LPJ0(;$61KpO9p)cjJq+ZSG>YWHUgKA z{s5aP_HR{o#ZnsJ}*< z1e9BY5Q5r<+$5Yuo3pT!%arBMpZ}D_1XG!R$x9P~+U(m(;Ol_VV&Viw z2=61@fWux;Dh()p<~PUqb_B;=*i1I=F28X9=LqNn@dDxgcl6K?iY&t@=YF5E#Gz_E zzUs9RY#LSY7^TjprxAN6){@eK)3r`*dD8n616k{z(B6`!@v%Iq@=@{Dgl>24C26sN z4}AahyXtIo2){J6PtMQCnL$Awh9^j!L!Cc+Q&4LomG6OGM=nZ^r;SS7U|UYF8765L z6=>S+;|efj_bnf7HtleM#F2+gukujcSlM)w#6rW5F}KQfS#W%%%e8A7TXp$oKQuLH zSwT_m2LNj#EYnf24bBUJF#~k`bsKPWx7HM#&$Zu++0z`kK{y7uCG;CU5QdGg_nmg( z5%_~sR154NnJSFyT1|ldzW0e(#e2Y2FJ!b(YK*Zv99f~VVj9fryeqn{*%5C;6%c8? zRVw(_OX3g#F#iauv6qG2L9J#BYg&dvZ4!HQVwLS*@IKKx`R*}8`c~5q=kz_9PrgG5 z9!(%@zk6`b&FYf<)#Tmfg?G*d-abf8yC+YgXwYZ1JP#cLl++i#Tv`y*^^n|o&G&R8 zjQPGKRXIgT>z{DV@Q&r$&V6R)jS1!@k)%UZpWcv37?a z-8%Q7XxSAu$xBZV(H2gB_%T!#p*m2aLz4xyB4gCR;L0^W(Fpc^_r!z7H+-K61T%S0 z%3vB}Y;ZpkcZOeBkLAjJx!w2p8qYxci_Gf5*=_PLD)I=S_`NaoVHAU3o=vvs=iLLWRj8I9)CWH5wb@^loYXyy)G>JKWt_p+2?Unib5 zXw2hV>n|q0xFY2ReXhxnIHp1*)V8+1|AyoX#zz%wsxZdCaNpd3!DQ)Z(L=h|o1D%|osLkclAlwtblb;e2M z@+KL$Yntz$1g~ZmgDU5HxEP*pty{CCr92%8Uf7=zFl6-t4d0s8cb>P!CU7VYLa_GN z2P_bK$8AuEUs3;?j)_M(>P&y)nbNNKB$otZD6m)o!`G;!PmiY3?cYj_dj(rwq>ZpY z|I+GG1WN>Dn|a;N@H|b>?h-8inYwfD$l5<&CY70Q*)Kd$_sQgMsN%X<91LZl8&SnLb z2-|%{ zzN-GhDa61Q#NykES}Xkw{Y$Di>v$XN5-nprr5`2C7*D z2)|y(7w*9IlM#3c(bu7T)PRnmBq5D%(bm28faxu;6^jE;WQN0O*l)6m@qt#}dnLv0`#o*_QKGpfl92fJ!njtA@fb%7~Fn$i|> zPYFyylJoh&ouU$YmQwqs3*!eTEI!{l(|?{OFP}k_g1vcBSOp-Xj_AK z5LJ>Ik=L)@&i%dX+a^7}X_S|kZw${-ufOj7x+X=qgnhxp3a%tI!nMa8v18!ESl24H z@G9_;{xS`WCE_kOdR}CRx|j`XfN(8Lfl>>U)ge>6wU&l*{Mg2*Bq&SoXOTw8|b z=@Y7iBrz-HuPS3noVi2=tJ(ccY(0YMLtaf8v=o}8!Xijk%;I|-c=mKKY*$3pGOURT zcF1d}bt4@|%MF+_UZ5>i{pLAB_F0QXdeE%jA3(VAkiSKY(Pa0M)_1u8kF*z`0xj4o zCs;TF)Nd&TykUnn)V;XaB7jSN|LdyMizb7$}=ORy~ z2LMikcz5s*RIjc91d&Tva6)zW4LVQKL<>5L8bt((!3^kNva?TBPfEwPTQ7T+STQfn zHEB<#wv_F(NZ=d*qxyH9}MtYm|mB<|Thi-_g9W5j;jrx+FB{pc|zc*6*)Ocmd7omY6D0R8)7(e61l` z@CJ98-=cpeB2cRykZwjQH`wG71w|&!3)}_boWcX zu_ha{uC6Wv+Xd(sQ#M8eQ@3!mz8025Ax_oEIz~}g7|yIeKo6b(UswdJucb_lsYi27 zTJ=18OQ)%3T_7i5DTNR&hL8+0m-@xb$N#`u9R_I*&dk&Z1@~kNHY7e#Cp0Ch?^8%4 z?SeHw83fY#nyg}E2M}WV2)6@7ovlt~agv+i{k&l9I`48>gq6)@#dQvl=WMUhZ;JMz z6f?OGS6&KALh83+S90uS;n!_j?yA4bc=*c2WY8FT_hGB~i2_C0I=NkfJ}i#h*7&5F zO1PGJ$xcQHIff23lgII%(nG}Xuxo`|03OyD8`ZG3Lf$``4{B#$2Etg-NhN$|#8@+I z1oU0r7dxHTxlxMao=;qVF29#>0C;C!qmdNx;ka%L^E_y=kOoG|Zbuma(9Nw+qwR%b z*U;_nTKeef%f-HawqbhPI^`@rK+iJtRzH zSLTUQQB0omg;<;gAQT=Hd7BKHL35R-^7p}$uB4zxLRP z4aSfPdezRz4dLGBi7g@lV6IXd6W;T1;+ZAezji+t>D+`nNgwnu+oA zU=6dh`x{Ot>#`tt&;lfO${z)6p>xe7U`8nIhYhEx2I-;a6y;B$B2#fkD2`&3tLUse z;TOoO5!XPklpF6-JZUERPcGSGo=*=}pnwXDYNX za|?iwNNWs;NuZ4CKWNGps=pQ^(+e-2_fS77DiP~fH!$}kkrk?z@RK7aazk!d=jbTEsC3jTk@_I*2$?(>E(r%Ll}!X&&O2^BURWRz_0ZF zIx_;A@6H8|>c)B8(*$)xChqVM3&RtI3R-Dlkn>_np)EY?PHc5x*q82-$9w?zoUZ1# zp#}PB>X0o_lUUj>gsK4iUPk=^n7?{nN#nbN$WWL%LnTb#kJK1<0TiA3Mch2b3y?Vm z+uGg$Y8^W(R7W*75VC!^o-1F?C0)NiEd*z?L~@}Ruwc-L^Gdei(q}9H3A+#W1l`t+ zRd-|nTbIom`l6TZy*rm%BmAhInKBq$B3_Tm`0C>yrgkN*?dayso1+fsH&5zv(t-}F zy#e)}b_ldQmZ23dx%~2KQhOYe$cH&@Cy?_e8;W-Uoe`d$-g2s6k_U^l3`+nVy$FIPK70DKDat$c3C|*M(iIFHRhGtRIH9uJCm%)M|2ZE*#d2y1 zqy*=|T<(Ke6|Y7bwm|{x$gg2e6i_K0FmUmNz>uEP#Vsz~r^_B!u11!x@_hfj@^vUpv1>f#^X4uV0{WQkp<+eIE~!Vs*uF} z<*KP@4<`-SX>xs+^s)>w%8=#7wEIv^3MCU;yv#RV9`Wcx^kE}$7#T340mJh_x&4&~ zfSA%gvhV`xqFr_?2oyqNh!cQn3M)1RrMz>C9}HtV;Xm$26})sjr7By;)*lq|OWp${ z>(Sp=p!Sbop-{!gOae$SC>q;iq|{*?nZ0%l8ikD72RemrJKNcQK6c%!l%lXhF;7U_ z<_Rs8MeFS8GB6G4S~9i=^DHjpy7n@-MU-}ST7-_|)Z4oY#b3{PTuDDPKN?s>v9FK1 z7=rX-$OeB4GM>CAcN{s+9c zJ$eX`0%v%=B)Um0){H(-3fe!x&du%3911BTTev@iNyS;J^%@G^0^??` zt*?<0cYANolc@v1Sd3wWJs7O5cHJR@uV!=5iji%7XP|LKTdXlAXy1zM@3~zeW6cg!B&$j0$w>o#|cS6h22+ z#D%{gFbz^bQcD?yZ&M3s8o`Tef0A5q7;DfL`~AH`@GRBA!v|b&zq#y60PS$(dJ0ql z11Z^M+yMYg3MUa)dW6^jPxZ6+y@-#r^afUn^@cl?U5<5om-BS$(BNI}QCaNCZuIMJ zMw;(8fqAz}m8t*>?e4(*4IrlImdJa6pfRe@Lt!g;bJ7=axYYJU%WfJ{7--!l+=1H} z6t;`ADet&Dm><^yVu=?J)-VyjDP|zE23X<58Z*kXF|O;AU+8{3Et!Q!Pi7Yz+?WIN zvT_Qua<&e{q3=;8=r{6|ODxv{Y7C}Va}gEDOW|Bjgr+{a{;TEnsz9r24VVuu)Qlfs zD4eiaZptK%LipVh1dX25mW^Y6Dwy7E2##w2)hx_r#Z*QL;=b3=e_c)wJ68&5`h*&K zFk@jwWe*H$vB8PTjl)?Ck1Ih2V zsiG=BHxwGmo{(i4g zOIhL-z&l9Qt6LZVPY=DV0)+PHhUYIvK?BAMWN&}KLkvF5ny;ipQgCWFHzh2wZP$U= zJ2p)UX<%e`OUw|X&>=0i4?s~@QC)rSSa(5zpkp({ATcrlrv<`n8&36Ky0=`q2>9J# zNGeBZR@Jo&?;kE79mY_m9Vb8p-v5Td@7jaY6^^EYz$t1> zAz878^6k_)*sRI~7WIsOHBWgaes9_E_eXK2 z0C@o?qJR14Qlk_$`2LyyZ9R|M*D&rjGPZfusD!9IaaYdgX0B_dE+aUZrd}F4H`K(@r-oVGTv?b*CB+!VaQZ zSOs*kLK-CSlw9??qLcYGK!U%a6X%2vlFl|Qt`~{a$op%1)o0;FwnuggV#G?t@rrH0 z0C)WNZ-5xPLzuW#qvw0Bv6OalUJn`dCr+)=i`7X#=F)!6p(Mg%B&nKsrPLyU?2*6l zwMu=i`gf9fSSd>>ugPa!tX0bC3rVj!MdcWC)qxQjif2!8!ewplx~UOlHM}^Bf@(Z& z6>ZeWN`xXiZg&j*?5`qiZtK1DNdHu6SR8E@HtaNt$5$YbGZ{jUASYwXS?0}?CVYQ> zi{~F*op)i3p7xZn_BEfjB+krz5%|*f$w$VwLGMtr(W9G29vHDakwAl|dG<-z_-XHSV~9NXE#v zW>3_1OChi|Ys22>woj!oG`bne3O$W`Bs5gJ*~sKR=b^hCxl++BqG4Tg5OP25ffRUN z;lb*&uYqxY`QzT6F0w>$dZ&s9h#PqNX?oT$+?07fvPRsKu~~g)J^Jf!@(jXWsz_ow z*`f50zm$U-fr!@byZ77oV8qQq3X9vRb*IFK+5dMeJK4_ z4*g*zo^rc?fbfDlJGQC@$&*m_;Sip5>Wkx0cphD74jb4zJ@m;B-z3OD)TyX~U6GSt zX$KY@SJ2Cp4g)|@{v-iTz%f3jkz%qFTtIG+N6 z)^2QV&D3DkR$J`ry)tVw--i8fzc^bWEKTm(e}R=zD6Nvzt|gdNB^X^scBPZBH+8$<#)< zt{aY5xJ2dXV)wlKN0MM>FCP`VSc%JVVt+qw=~WZ)HkXZW#w~r!PR}T6ebp&I6XhLM z#41{hZY{oYitZ~aqCvAL4cgHtV))nuqgARXE_rUrr)$Wa{W9WU*IV)>PENveBxmHX zi+0On9@Z5y_Y9Bg|Vt351%H^n$?5}F|}ML zx15WfO27OL5hSx2Un!1c^9x&-iY;DOE4R~F*xlRWI>K0A7u;NE82Qge3&>DACbl|Y~wmI;{O!$Hvn;z(~$Qr8Wt*5 zXd>GZOT6Y&bo-e}ns=Duiq!m|c+feTnP;fo>Le4-Wfkvp&;IJ){v(i*2X54C`gL;{ ze{-NDN(j>UJSx?}Rj%u1nf{ppxKVP+>Lb^M4da?0x{2nwxGq$Op!LLVkLIEov*gmHXE?CA)eVj%7!sGVE7CQ9_&U^Sy<_1Wp~F(l*ASG7FW z-GO4^5e!W&78NHhkC}BEKD|@rf=t&!I*J9(hh5-AtB#I!KXTN)baT>ySq5u-{=9Lk zn~T0L=T!=?o4rqj-nr%J9F7$B(90uRe-WWdtYdXbRS_B2xuB1UXhjJYjtm^kj~`wp zZ;pH)4&`#lxyLNvub5~*G3Y8oJ@1Po)w$H(SMs3`BT5??@Ur7?Cb<~=lj+gLQHq(8 zaXM!W9_3KQ7Th~UaLDzzeo=pxW-l3oUrNAj?6=;f*RqOpmb^I}euqG+3rVAHiN$RI zqIZCQMP{)bM+aY{mo+!EGct2#O*laIYR8n+pV4R6J2HIBMxNeCb_`q&)W!rcwCR7B zNV^+5l*vzsLSwN(Aecni7yr4(*w&HO*U{QElmWdBk8VM^zfqBvqEl%BU>Zu{~{!c@;+Da_1< zQ;lcC<3+F#oAfpDnN0KUnIGd2GFEX=ht##B`V_>b7y;h=unaGT$4?gWbVl{P7}le0(8UGy5i8^EJFPihEWhcNy_1 znU0$RDCAnIIiVY~B+@n%ZtuO~megbTDqOr#cSCj%I)Rmbj>byVjV*SDcww=CzhT)h zx94a(h8>t0PoFB8f9hN2-snE}<%Vd4jZ*<`DO#liN-|t4E6VAZFXv5*O7UDx9sAwj z($PgJnAxTN1G;WJpI{UJE}iFKi*Wu-OVas@8aR*9zbF<}2RjG->HH*HgrTLVWo_6Z-Tr@yBi}`m%_&=IDV`_Wb&6me=aiBCtDs}; z7N$yspcf)4Qs_p|zg<>qad)^iVumm}KR$PvygPE{lRIyu=F7>KEjkV<`%N^_cncfZ zqUD@qy2Y;df2K-!XY4jMy=JtgMUs{beh&UxApe$|x|{m?PUzypS|-u$XywsG^&;;Y zkMz;S#jok8=ro3oy+8gj{YFzBHicF-D94>=*2xMI??1E{c02>o*U8&k1~(e9wXy!U zUL$F3XqLF>k2`P}SsJnT7{MLX+V2gGy!mgC{{XsOz1p1|`o+)(maoKelH1{}7d7_`sb`OXci&$0P_=r&DKA>5{tD z(@F-dy4K94%^YIQ=)LZxO|g^pWTmT8_(F=TDvc-Ozl#=e-1@>dsZ|5P3-a$biO_Jg zUR#%M_3El2IW5?RkN*h}_bZr^t-BX8E+tnq94L+jT!jBs+n5B=22O6nN262-7)xU& znFwfJVq;nF8K(kT6#e${S!lrCfV;_z$Ua|Jmijd_)QL|nBN)~(s~h;1=X=7r2M*=< zsj-5^d99?&SLx+YFfW107cObCSXhja+Pm&0-@?^hwOrS%d5^4S^0#vpB`qWPz0TMM zKeKT%?rz6NOo4+;>*ma(_SL~pYoZIk$Q(iS^5mQPc_Ur*=8K96>s8lfT$r+%x{QLrzk8czg(mj!; z$s61HPiI=JF0q-w?gr#0K0qs${^cqd$BI3lbJ~(oE<$dUB#zfihfa^;U*6@GaH>zn z-thL9Xtm-b-8$VmRF@`Owl4njg9*b!ldG}ddi2xwvLyT|`2bnkgzr6^m4EmEr()38j(uNPK1r_=&zEKw6O zIGGPmfEF?2)Cg-t8G5|eRTYBjL@Tm-vO@l zp;LuZ-OSrC>Ih#GLH!sjpH8Km|H0mSMm4pr@7`EI1Q82Gnl5#L(nTOBRl!ab2rYD^ zHxWYb2#PctARt|OuYu4(K|z`j0)$XRIw7G4LOIW5@3ppT|KD%#JKk}|8Rw&8glRJ8 zGoNzb*Y&&XO}HI{y<6YTFX{T!d3WbcE)ZiDM5JfbVrN1O6~x!tEYHNW|0>Yos}gB) z!P&%)J}T~Y&BP$<>Vs`)nK)bSkdhu=5iHz|kFT^2zLIdBY~Sj^e$~>xDZ(7)AR5+W zYn0EK)$VE*b22E=lzZpZ9pHcV&X1-}81O_Icp9XzSmXC!jUMw|(l3Z)#;$nFP_!Os zx3-4e;h#Z>G^i8U&(~gH9iW|HF6RBinV45TwRZFU!(s2IwJpxqc9cFmOV)^YNng2{ zv8jS;Gn2t(QyY60%_C39o^9Sl^EvP@1ScPR2aBZ}=>5#XAKi{ozBv!Vn}s7SepK>s;o-` zhoZA0i*uRuJ-bViX7q8z7j@{^x1iH=V((M$_B2s;lC*6wx>XHVoN3tehf4&%<0d*~ zIZ4-U@I^Iu@RR77AA>IibUanw(PlU4`FZhLo!}ABN+gI}KaC6;LMdJAQ4z7txsxx6 z%h*VLwjb?{|Dd`+_xzJ_$MPJ;j8##aFH@4n_Xqx9{~3rC&Y);TwvaVR(r4ykLisgM zzqc7gfnzpzhd}nkn0sV)uUtXT6I1b7-WlC};wh3ld=;;WnnE)kBTt=HEFn}=yfl_f|waRtdw`BYK|B$p}7SZ781$h7jvTK&^|@MKbBUJna?;! zeqD&}zBcAGySJKaH}u8Yjgu!nPd)*havO~Sj-W^5sBgOci^Fm$Dp@@zFiY8Qs>}zn zM{dXF9t`b-EKYe^qX1cZzkPvy9h2zD5L?Yy6uajlkb!Eg1LPDE%|QtZD#;jKd97UasB%cNWO+llG)8S4b7~;?km2yFjE@;- zK~3sTASDW?X;(6_9M<6sJAHfr1Dh99;P?iRJApgMro(^zZs9G|+Bcss6&tYN*Uzs#R#DWytXDSy=S( zE1B=MFjE05k4C7S-&C9P2csPSByofdu9>P~Hok|n@0r&v-@X3Os<5_ZWv`f-8!G!GR4s)5iVV||x zk8~-sgrruye*cfP8axI6%9V#l86xE!t&xC=?CCHe9_LuI3zHUnKjBBqztHi z70tmok>;P|1{ewR@4T98M=wvMU3s6E zjT|GuNc2HnDzz7_kQcB$bIZ`*|D#H8m8YM$UJ1$>({|N_bH1W^@60uWO5>h}jK$Bp zR{lYvTmtN}Cc?Y0YBUlzi*9zDY)@(|d9OGez9CbuzU8)>--v`}n}1%k$ZqJ4InC&R zt|xs=k%~*nfIyvD$=dvhnqW=t!T6$NCWR=CI0pB&!woi#gX(Y2jmR>Oj7lpOb;FMO z8oJC;`=|bO&WCysDe2D!&d_zVf*Q!{O8r!;Eu|mR>8HcK3u*_}8Yc#ikC~ZG!pf>l zw@jN#zj=h&gp4hJ$l#E#4CKzr!zq5U<4!Cmf3J#AR>qY#qq*pIAeM?8kG)WInY^r7 zPV^&glbba<_+&PO(y@+5O{-rs?X87udbiutv!mbEv6p&VnM9rM(XnhO<=sQ@F<4T>V^(Qr06s_vyxkqrRjlybOL+bgZ1TceG zuw2i>1b@lTI0Ghyh+~@(gLleTq_tKuFqE@k&Vm8(&Hrz0mj6$CHvdOnY^{O6u&Oyy zZqMBkIE+xB6I!%E1ggzCMyeFl@9Rj)K5xH9Lg$s(4i8}So)rY+=AelJK0`I@m!bZR zV*>(~jRG!I9f08d)(@Nb^iIWwmU8LMOF=!|r;4Cxfq#0WwVILp0IPPA1Mk)@rYYIUm<s@ALWy%=~>21qNt=R!1g{fGWb_!Wt23b)N}+ zo(OF4kYpYQU~?1b9Y{@7p(8MDv5t}L`u=?oGQ>lsngc)jq8y=U!yITeDj}vqYg-$F z=jagdBijSx+cQcYg$vwD$fyo!Pj`Q^Hav~W^HDQS>sel^A-bBp3j7jl8r!ha*rXt&+RD7P87!G4okA23)h0+QT2dTmW}cVPR}lRocuF{AlC5n$UYLC3%5 z)Z?}_28L6{7E?sPP7-p|(?SJA1jq!NSZ>X9nJL`3i~9bxUfAK(n) zJr-*A@5uoEMB!mI`zwhpbksMYRz4lTwG%H1!!4BD} z5gm8f9}<%mE*HEyU*V*g0TUOWYOaICt?+NWRW(2ops%eh{u9o12US;)UP6QM(E)}) zMLq#d>=Wvo+;a8c^uzMhSKd7M47cE|-GZz)d~6kkJXarE<+bLaFHp`OYf&^=or*PD z8pTQ8*F2rKDj(ogG*+`Mpl(%QYXe`LcfOx2F)Ww3T2C={`F+xuz0a;F1c4E*`Do`P z*!aB8awvs#8F}Xtl9N%2Le-z)A9Gez@J>cv1aYEk@0cuk{D`(-&L8*9PTSa3& z=2r@BOpsI9*HpPw8wF7lU;_Jlo=l0=+|8IzgybILOXBxF269%YGA+%r-<=%DX;!># z2w@G6rQgN#h#z{@#TAh?ur#8pqx00D7;e1|{7#;z;Js8VoH-?TTeByta4ffRy+G3ug}&~e0MjSD@|m~7w{2l zgr4Sxr#_;Wl23huw!vz@ut__UXbXY<=xHz&6rdSOPcXj2tXoeord)mBbK^DL7p9Gz zj$L57UNmr1o0IN4my)YAa8!;i{g}-6&%?j3Cw8z1Z06F3`M@k=;g7Yq&B}8`_8|{F zd{O2=@N@)m`*uq19cD?}5UtL)`K#*vaQ)jUXhR#*Gp#No=jr6dmJ($-n8*?BmVta4 z7-fT*q};u=xH$x45Kw;ny*F-*>^VvYSRwut*_#v3&yEawnpC-gsTM8dLANg^ltA1A zI@0_Ha7qrMxJwrvH^(_}=MtScn5d_dV1qB-9EMYSOLo!e-mhq1fsCKb-I6CE)Cf=& zK?}&=_i-VWkGC^C@9CY%PdQu{=QCZwERJ68&mL(>>H8!cfAkLaVH=m*N2xY(sl@|@ z>uuUYNvVr|AnD3$%LI9JLbr>w#O9rfs zk-Ze<+i0HZUhz#J4s#=Vw%iMt0kGCPgQy^vrSPbznxRiV9lc|}4RHV7)X-y)@JQRq zx&QHfk*Bi7onouR19B_S!KocHVSk~LEK0ZQ-BBV-w=0%8cJS6j?%n-uQaI57R{9ZW zdjYXf2tZKh`i_A-aHLoUq7YHQN>8woryr9HS_IpWY6GyQj+zgitH6pmy>(>UX8rA{ zUKcI+UW+2y1h)ZQOOIH_OGp_!xbJZhZttv3&%u>* zJ%6A$cSC=Boz5A?;~~Nk>Ya+@Hz4(FL7PJ)$bFk5`Q|WqoYfx*Ry!!bZp=prn}*s| z5iD*ZJ}$b9xmpOq^T$*qfO=@%&K9ccIaj{!(#@HZomjQuf5R|<=w9Bg;ZENsW^=Db zM6KVwXfCSf_^kKYI_lV?4(Fvk0Ofv$fb|yRwT^d$Y#Ozpzx3zV2%a%2dFH+^IkB=) zWO*g8&98vsh8)34-`CQ7<4bRHMp;5Qd!J`4 zR5tK6uyYoe;ji^@a3qx9sdU<(k9E`@$1YdJ(#P0W`Ft~V7)y_NfE`OJ@h0gxu6DSD*!6%?yQ434j5o{1?)ESfUjn~V;JJiimF~-q->e10aF6i5p2^sF$qPlM=ri%k zLa?sfIORJdgzKnUb{=alYgC=C;tP1h=|y zNqzP%dJ@uYwwO4RuQC|DZ@LfK1e1isMzn}&VM5OgNIo4jO~CJO4q(TbZn{zx&ZPz! zqj~{jI-h^Csov7wG*hUdI4nvNV@9z}Ub5FMI|P|im~Ca8Ot&ZT^92)8*M&-G+)QN0j4DBrdY^b4*H~l8-^x5 z*HHRcpE5mrF*(Ooy@8$#m@R#ilg;dWO-8_2zMxQR;C_@Cb<|N`f{VU{hRhxY>vj2} z$^*O?Bbb9J9Y%XU4MvFvX8=6WcgA3#>m;?WXc;W8`&33u^_koNmuFGsn@QvUT5-h2+E-(XkBztyAWcH6kQ zkA&Z7EJ6$XAiE>ZkfXH)3TIe!b$s%#aVnehW4zZV4BZ9hqsC%rd`~03Y90CdZM!i0 z;LD?2clhxz{uv(6uwgh>>I)2gZ9`z2^Y8E8MDb{Xcfqul27K*aUJ)n+ zpj5^ky69J?-R_C&urp)`8m%Dyt)uw&vt~#K1VDrQ^@~8&x*@cQGrn<#1|F(ZtRJz| zT4)gI9^^YS zu?U{S$CG2VarfL=+iX+Mke_$(?-y!bgf}-}%-|0?H3t~3EWW<>i)2Ih5L@D41^`Nr z7V^&%2`6`IhtF>o9N(#p-)QpMiw%oW?8Lnvi0Y4Mm}L?yyJ0pt0qRNi4!nJsJ7Um@ zyGFI7%JtNcDn$53jm7IUqiPem7XL*RL4DT?sEF;siL~Yf1it)xw|7p+L?=bp)2Cw>&3JzpG_21dG56eVraRQWY2N^i zo_bwRiR%hQ`LaqOabfxQ)=EOVHCyQ_f zg%_sil@SBh(b9s?6$P1%S5Mrm6(z#p;b3x&xh0I6J)HNTLTcIdnD2tr65=cwS;jbl zF1Q2}sj-T4rD(L{+JAghUKr`yev{oP>{hs~o+2tMyJRpx+`zjT9ncIrhKH6Lh4V}E zwa&eegH;ibCwIKd)(YK1Mzt{A%~lJaZgfJA82C6{0Sw@OJmMK}ly?c5p~P$CSrt= zF;qPfMEL#~a&t3;(>~t}KX6MmAU*d@rkx0CH(+c#k}`1A7r&@bwFK6O<8Pc5VFflM&wPH7J&;wB+gIz&LL$sdE*gg7bd`{b?11o?$ri=cH|IavN_vi+j8k;1Om z7^=4G-eEcA_BCkB|KAT$7P70`-f905B;wydTkWjHLx8X2GqZGq}J?46vRV=5d6eaR~R=b4}LDoURMs*e|U|+ zxYH~|iJmvymrRW~>I;EA@W7lkYCbFX`}XnaHUtBQaFb|GvR}}|17{$;L0`FI|IF?O zJiHo5;UVQ|^LX!>p|$d`#QngyX{VwlN@>2eyL)ZZ}jLE45P_0lPPFhIru-TTym1 z!022iO|ndrWzYOFey_1xipP?Jt?tYmSTQ-YHo@MJ%++-p5&Pue+;XE}AB z8CJD#L)G%*kMSy;CR49`vv%{kL6e4FvN)r`63SzjVJ=V=$c>k^-WY5(kCI+_Nhs|Q z0^ENNhYMot3YwFQvcP&YIwhOHOb%vl6{o*8L(t|9Yvf$H~ox7H9fh2`%qu~d)iqH zR%gOU%p#0G@8VBzayNVT?%f7dBU{;8YCE*(Mz^gQ?7F*-^@^3i)q;uK8C-|;&_|;x zf_sC|T2Yu3w=y(HY%r%Hzur?>~04p>$v0u~)CICXQ(ZDs($+ zAjh7qN&Nn$#pZ^M&K~82>``ZCz=6!eNNcxXh)~*&#hj6foNRE4Z@iSKQseL;-e(iq z;Q`xNSItr}8enJbp+YLw$PZsrTU0OvuW!fgdOJ&ly;UU`*EJLc+am%=|!D1IJdeg^5WMJo^CMJ4J#LNAz{> z3`z|CezE?(K?JLT%bNwyY*=6`dkw%>eqcLerEu0^1bBTMRv79mL0QLh1B84m7s|b2 z+O`hSjkr65h`a~A#Cf$miyRRC;P0ODum@l)E<$8bgEQjOMu7ie5`vyPO=ZdiIr?J0 zJy`_2+e+vJ3ICicxEpj~r_rHy`JNXzaMx}saIf`Qeg)>Ufw9Sujo0#~$X$SwYfu`L z`1AMg?4o#aEF;!%o`<4FxeZynv`>>E5-+G)?Yk3?ohXHD;X(~N&nQc74G1YB{7~NN z9`uR;jSPU^!fxKQ1|{b#JYa-w0D6!YmP0(*dnFX?$1`4C9or4?O@9c3=wt{zy;7r{pR6nq z_wYAmWMv(o6cPicFU%X@trw}BI>{H?vGgJcAcpdm&TURUxs97Uz#Ieke6UaM888{D zf_#c=zrXT>CmHFf94n^fNw4S1#K4-GBogk;P7P%wH5F;437rPGFNypUq3Kh z0WL#L$);G&^DgaRHK>YCUrIzT2tg5CwYbJesLenCMIyI00|n}<>|21a+lw^Q zh>mtdGGZ7>NzXTyqBM{o~a&4|_^^AN4PbSU3VYETbQ(~a$$-+>K0 zmW7q|1uUWGX3l&o$yp6M4$zWvbql5tl=@e8?LJUl!$x5MSx z@R_gGOj27PdVxu&4tE$7#>^q^x`D*X)|Q7vSvmTC$jrOIsk5rmy}qjnV}r?iYgbqo zMXc}aKNimzU5)?afoOjcazJ+ju$(-xTw(z6JVtNQ^vG+@xn@x|F++f@T0+sMe18i*Q&SshPg8 zU8Ag$?`ZFdi~~gPg9CRnooeSadwpeEdv|)IWIM!#uD+$ioCc9E7r`vKDtnS9!GZ)W z*#`c3PR!!xXCag2=H9?o+8-@$4Tfsh3fdnN7N7krar2r2}=Q|Jt2U z1*u@fa;Mc%D%62=L0z&GJ2#*7N5v2aTelBDXZ%$#q1ZZe)$d>?hJg z=I87<<#)%e1a1T~+EnFvErTMD)LV>Myxm>Qd%B?{zdaAuXVRdTRro{w&_M(fM9)bC zwbmu_0Urip`!28a!^^hFc_R6ASGo45Q?zgz@Yut8eCsK%4dF>>2ZkWuIzMPpsd*^O zRB1i^RGF?EZcqvL$-cm_b|kR%XWvY4m*ehW%5JZ~l;kEHe;?%p;aoG9eoxMg}71h3N7#i^{ht+(o(;i zf17gm z$}koF$6!S6DQH0T^(q=jewRxtGyTDAaCKQ^f>{c#lfheo9-dg@Z9#Ti=pzj!Cj$)SAX*V1!|xb@~Msa?Xxf;|- zxeCao+-7ZjBhn$S#*ciy|1(=BQI1+PUPilv*~ND87GBjP`S1>C*5)DX_QBCgmQD4hC3*E2C45k-zuI4g_j;ClAZniT^&pAwgQ>^dF=at>5br z|M$cH_ip@4toZ+KY&2H#Pl0qbol3P0;bT3g11=Qb1QJTjzcy4x4Sa};2~H6|y}zNn z889?R9z=1eqX#pXr0iprt7r!7K$2u6!#AP3g6xT?sWiWvl?vZhwwF4e6sTxGnR=uto)7F^jO#4gf23M||l!ca0!B)%= z_HuegFP@25>1UpSNu6F6iZ!*VEM*L}>nQa+>bonP{ISH!!KN ziV8ewpJ8h8ZQ3F;aFyTmZ0`r|A2<2M^ZQa02LR&ymg+ikTzmHq!-XK18x@pG)^)Uh z0#u0oE8YbA&Fa2_0=k`h+frutfpfzxj3{OHN2IMz=h;VyOL|+8;ewd4(ZT52*RSA* zgG!tdUVX6#`}#8`FHgl)X6$&@B%+S9lP7)z}hU$M{JwEm5<27D0IfKX5w#N zuXtUtLeovD=;vFIS1f7wU{lsX?`2(+6$FZn;QY70-SF?Oh>7pFttwHzi>cN>)!R22jt@-=M2BJw$ zIBJ=R6rR)GPn!xFsq75}A_}O9)DpCsomHTw*c!Dh8L2 z7N`-k3>#tyA3_gME{+HjE0*+#U+4}us1z-{Uag1*R)f4@uhKmktx^}InIGlc3-$cy z-7aoOv9QZVHn-0ylxoav6((SwEr@U=$Cp%RDD|;wk?+P-n(|uC-T9u`8srzHI;7-X z{p_NVpVyP-%t_u3iHP+H*`CYvF*!z9_>n&a>XwZ5YhpoE)p^UV7@Q0L{5f(oD5~ke zsz2BoXo2eFEGwo^t+(A$@5v>b689h18Cc&!p)5_3(Mvng-F4A1%Vy2pAu%+`n=kwa zy1!-TeeJOvF~v`^+ItkPR7E6raL|6RiKx<7*eqJ+MJ9ZswEb|x3t4K(wamnTG@w4W zdzpGuZ;F*#Hhy4U&JAHRF$GMVZC~b}GMOz$w>uZe zRZ0@e{c;vh!fv&+`qqqJwhW_FY2^u;O4yPyq#M1`7$a+ITq zVlC;Avu)e7sJ^c6P}<5^jQ@HCj>pb@G+3w|OA$ZZpiW}@Iog-N#aXcKJ9~~?ux^-t z4%1k)JL7ARV0wAXvM+B;RI$3<1MTTG<>gya9p*-O@i~xregGMrCOCE_;cK7PkLvu% zQ_9mE1A;}L5e`Oqi7W?e^se57wh%R|-8Z()33el1U0$+V4wK>dd%>DhNTaKL^ta7W zI>mO+Mt9XO92bIVaad#MlfUsM(AD?10)NM&ECCUNIm2sQjO@3X?hcWaM&8bq3wCL; z!``@OrZ&N=Nntv7aI`{;Z~562g}?AcA2I_$u%IS++x_B?hcCj?AEnpr3cuq56F~5y z9{li8-&=Cod68SefPY)`yc27q0~g%2YDpaugKk%|A|pmWWGwh1N7cq9*ea|D zt53hEhdyGBDVcjh5QQgqWX#`%`gyzhLIrXux%+mU$(8QUzFeQ<4y&fJ_?{W>n|;-i zbz~KE@&+H(TLs(wn67a6)9ST$vKxgMZjuSV%e_Z5na#mSNQbtE&1mAnb6 z76oc+E~EOse>}rrD>Ro}^eYHRU)KAU_AvLzH?tqPS$?u$tGToUsN0^2yhEjJ zI6Aeq!XG}~^eh--Z@RyZER<`&>CL!RdvOi>qFzuipr%@XA4_L@Pn1O8h5GznYIIUG zERXnQ+}Wuj&%nK2!Y_uVP1?OkQ0%BV2klPa=3_B;>BJWLoM?<~(}R)g6&Fsn1Mjxw zH@1QcsA%-2MpXgBasDXNxlB5=r|rj04(9q}wKGUE8?Mt5JHLli$8A=OGEVqb9M_O) zVCBD8*<92zQ;(=0C6?uk*X0n)GFy;J5))x;}%x(iu9852W9_jN&EHXol z%{g^B@bb|BIR-{)=4;tBQyW!uk}dj{M`U z(K>y3L4{D{@B$|p3C%=xBc>UraXWDvcRkiuQ&$vMJ}7(nB3&DvV+~F@UM1OXh11s* zi&3A&gi*M*MvkLS#~GH}?FvukqMaiGK4jRUwE0Ui1G}fTX`EAsZ)H;gGV!ZIpZEeW zcIde@S@sI6r(V0l8x!c|Xwsk?g>18yTk?bHFiphT^Lp+1pp4=->|&qhgNF5JF`3I~ z05o`yE)zd|03{p-8T`s+aMOg6S(f$MSTJg-$Tao4vCS&=WuZSjJ3EbGk#L1~aP z(D(-c(IVsvElg0FW0Dh$%z4R=?T^HR-p#^{$vIzfyDU$q1~%|7SbOCeY<)j+f^GnQ zxdDlv_pK3fjmAk*YY08a3#Rf=v@xdKDOC^FpnQ?_-a&V-Ib4w2*;^KqZXxEokhy+r zY-t-=I;MNt7mUFtWIg3ykUTK*J?o%twJ)@xNq`%1P>bzd=z{TiD|K(3qHRgwl8lo0 zb40k?3Gt$rey4eIpnjsk(|!hVW#>?OeVW_lfaDou2YIx6V$VTqTpMqwz@4f(v+CQD zo2)z9ok{XCKikq-7)-efHW2osM-rBOrhSZ#((xwrnhiMOyzJHAsNMrg2a1!$edM-x z;rTpGR%z6uruT3XYeYxVjC_=yUX6+Nk8k)NWxs(7{vWxD4)@72eoYWz3WC?sQV_` z85ETpkwyb7<%W2trS0Xshy+Y~BV3Sz+1Nrc{(V}`G>0GW)mP%aZP}}{!QXk9VB1@FW-{!1KRzB)`B)`+kShT)-7{63=a_$rv zV>nrf_mt4c>YkY|8E*7Yf$B^&!X$dRr&2m)Z+iFS?F?8wYz=jKGguqhCB;9<+vfLi z8j|ItjvQek2UE_;qBgYT5^%D_X$Q$d5sl-^d}dp48cs%jMlJq6wBOFKubUdKsIt4k zhpIOshM23TP#ZK{-LqG++lCaa4^sf?az9}O%V{nR5BjIwj91>i>Kf~wa86Ss`p@!c z>X{`@cgLvA)DqF%ZV8h{3&;``hM)F11REMb~trJPCG!Pu3WOwE{xP%gQwP}(3c<$eg)&3YmH6>kQ8&@ z!HkUYv{6w-y(2(sp3*j;0X)~vc5w5nffLyz`n;#jA@&)E9fxC{)pu{dBAM0`RtpiC zX1`il=xVC9qC#_hn}@dM^Vrco-Vt;2OEvmyg_kSt-N((0$@2xj4{Eu4Zcdjlh|9ZljWRLy<>-aY{ ze4J^V>oSV@wmH`(GgAN1?hU2Vz+dr&p^VDkS3tdq~tCxykTQBy1KFbSkYr|SCfi#64 zvx<8*5@zHrzN^h5J6eA3**>l?iIYBNGcIK5HD4N3%}b-GN*t}+nD(a3i3!uWik1ue zZuj8G{HkwX%oP{52GGagQAQ-9xm&7{1nhCjLR*o2L)fd5%5J}RM;b`gNcfxL(MCt` z>~XXW2#KCzy^7&eYe|e1o1IUJy$fEOiUw1rsxx(7^m4Qa?*t$x&B)?@mHk~F-Hf~o z?A=2YU&EQ34I%A&EM~0d_>Nu;;ws*Z=7RXZ|PldLpE4V2}c^T1;ryK-hj$FTJ9R~};HILZ0-1~E`6>fEXli^n zXDG`}fJA?!^(1qs0iye6*@j2MMa<0LUKuaYFUdHp+7Z3wqc6$ z6#Ti>&({(>}&)FB2b%%6{=mg z4K^LsVzIQ-M5!hg1NbN z%lXKoz7=5;Hx;MontU_u%Z?cSLZx^U)w-GPW!XgEjlN|9d7{8TUiKKW$y^M1?Ia z;H%B>F{M{(`t1a+T9mks&W`ZuiX>O8{rGq{eVrk`)cmKOSpE{ua3#jxKJk+i8>g9AO@faSQm zh+Z!#L^aJ1FAu_nd{``6tmZ=58rxgOVQ>1rXg}fn^~snEP^o%;0T{e=B5~z4I_H3W z*eAV`N&mCp(1=n$&j{;}_jfI)?Jh=F$P4=0V!v9AHQHYwcOJzJOe$B0G?F#@O+gt3 zCAvueoZ+clcknDb?T)|iwv7Yv%Z)R(rjO2hI!R9Z)*a=YDqLfX#6bWvKO z)P1?FtTeI6v16V~bo2@AB>M)@c{#a+T!-euu0wuE(E=-aysJc4tz-!jiMZK-YTy;3^LP^mNWwo~+H4CIzJu(Y_Sfv6WB`%>z; z&&x`t!7(m1ytkHxEns{|kJ~fsO&rjr`;s7FG9d|J<4GjE#P}=^H!jG;2PD52h;@*T zwVY-VNX*+mVPlZBUM8klJT)$w?YcB4dUa5%$wzBZXIy*8b-ngkvf~^BjUlF+nn=mg z-JID)YbH+)&)AT-qQkWtF7Q!ApfFcSR8w)^<&jv}0HyZ66p7x2JTjI_EOSM<<&zMl z<>$M7qLizMZ@Kn`20xhc*saca5C?B6LHmhq8PdWrd*PK3sssF?xyunbW9JC(aV(?v z#qm@Bb_3di`NLmj9<77?Rg|Q_fmFERQr;OojSF+`c+u#1Yn?1pH(XI$7U6>61i(`t z|3*heFgIV0Y;=SOiaodSWT`}CA2&m4yIc3LUd@@4Z^Y3=j9od$nm?c{D%JU@7WG!t zc4fLATLO-)|NeWb6eF-zNC;{yVmw8*=Y`0V08@Bi+JfZjzZWpatiU$~{_T%I!r}^= z$ey77eh`eu`js4WDB&N5FPaWr$l-Ug)ZR$>jkhJX>r z0a&{t-3*NLe(%&alGG%O;Mbtk^GsM0dM6h>2Mly;g^VZO0SDPYus4L2mfFX(dd#N2 zs9iV=;XnTt^ihTML5fU}CLHfWur(@s@TaNVc5l?kISb%8tdYHD#q^ZFC#-F<5+qMo zy>_&*X)mlx1fu#`A3lop6K`?;7yQBU)z$R7!#Zj_^eX#>S)AeEp zFuOTG){KM1)B`ujvBj6BC03BO4$>}cfUMOT782y{1F3lgFy39w*0+}E*Q9>G@+}}6 zrF`#n_iSO17zAMmEqYW{5bbz@hT0#bntBQn4Ns`z$@+U=21LWTxy&9AqL z`i)smS9~^)(J=hh@T4*pq@x1iIcyS?93DkAtWm{9_@V4uYG-+*fx%MKG&O)H`0@Ja zT~>o9qN1V|s|VtzvU|XaRZ)o8x{~buvrg_HTIc`(2EF-I3mH@-paO7?)`Pr@gogb+ zp|3#0TW@-w)9GK=}gV^4_-#Q^V;|mXrMxmq<_k6Y~?fNN|pb650h%`E&6a?9uW%DK)+Z5Q-aWww~*>V zMP^;I1_G$7eO)X_m;^GbXKniL3T7oqkOL<*FS44apFFx*Z^^3vGyu}xION~s!BD{f zYV|*_e2?QO2yjK{>gE_^g+%+OEj6N*52d!g@dB!%THLLSwCf3-=-fK!TRLQp0EtT{ z*L`G>Ebyomw|ar-N@aKAAA|4I)uTHgt#i7W*Pup4MP)#~5keh9 z259YQXoeUDnE8gK+yhH2a1Of-x#|KLkG>F)m51`QLZ%=AuslE$A2bo^Ut>R?Tm*tU zU@|iHK+A^w2B-b%?$ro^{QkB@Qk~#KF2f&Wr7S`T#NdsS82vbmGNKG)N2;75gDIrz z-2iU77HAS7y533su;eyuouvyre}!dmv6^K-Ii5Q&7yZZsdmLgU7qYA3ohpjrZ(R27 z+V<;wn`bL!FgXVF-wr<_px3cRkP2~dzWi&&yr!f%l;t!dwx@sT%~QNiTU(pKA3V^! z3&qVZvFkL&wU%i#vI=QiWs-?^8yq9GkBOBWvE;KR5nQJwW!wL zaA3XV_dBqFD*Sq|BlO}!25{@+V|OqvbbFBginx0>+I?LTd3-?W^Mq@{1;zTUPDeDG z3x*jBVv2%(M|V(No1dPUK?F)r`@ebcX~R*OHce!?Uztp5VRY015K^bMijmB3qFpy8 zPiXUI|8Z@xK97KPn<|*W-KPrrU4afRRKJ=?>~F3N4LvS3_vxKJ>oDXk5(45Zi6g}^ z))vOWLGe11z$`p_BfYR$rXcg4R$?zFX<>-CPOVoHRd=^iGeHfaL=@ z#Hmu`?M;ylaEi`tBcF4qA*T79X4Q8t*!RcItW`N_s_$&#)U`*}Xkh++u*_&*^DesP6;b)4E!@}gtpJ8-BSPW+&thQX8{%jbaUfbSVEAw6i@2ryF1@=CxXgeXV@f zJKLwwiQ1>*(20|W8PPHR=g+hreLS$y-~>%X%=rbr6KpHwGjLQ z@m;56%Gcm1Z0I>|Lq79KwM(<9#^jQMk2jx1s7rlD*c|%27x1Y~)n@$cbHJBa{a9HT z3^D4x{;ldu+F2H-%|y^tWbTOdUKrrbw1esR!QjR6phKL=zZs>ZbZpaTY)`u~Hb?r! z@jBQfOkC7bk>7(KV}BWSV=8jWE?1|95GD*^VJJ#NraB&ThC!L@%~)>t&ajGIz||15 zP^^B$O2FXwi?F7ddrtD62CQ}tna}bspKU5p`6Ph5`%+uVFOWXc<&uTQJ zOaeMoLhi+)I&zmM)NXP&{?xy>)z@Azk#gvZczQ^JMD7T#>{kl%a>m7{$?KrsyKwl8 z)%CG*0n4y=W-yi9sETG4mov<=k)hSS@Q-9D|OJmP_ zDs3?rI3t^CGd`1K`J~x`wJ%2Zp{}VOj@}^|;Xu1EyyRD$|Ir{@b~;?J@Mw4H>xy6A zQ#ZrgerVC6Gz@dX)6a$C9^L4(U*Ed_lRwYI1_zn|JQ^B>h>a2TVTejRR`T^Dx65}I zihTQz36XONl}}nku7Va7m4s<8Fq_fe?I;o$4iBsvucsgCGLzDZy#0mjGV+!`4&#%} zD3CFA)9OsrM{dh<2hxsW{4Jj1*6@bE#&|e;g%5lP8)ahjAmGo`3)g_o)-#9wK}2UJ zdtN7i>Cm9=+eAM$=l_+!U%e6&PO}*yAm);&uRShNXEn6VS8Y0MVepcv%!RPAF6(N{ zXEGG>I*Vd2)*+~ZwpWn=n7imIdR)9h4b8-IV!Y!C8slF}N@+W};gwOt7Kk0i zhI0q{SfBVq!Gsn&v1c+qGpDY1v(*fyt8Fsqx-W|PqfmB{4va1J4t9B5EnwSFVhRNu zbDk`oB3IN3VcHz4>t2_#$+!}>m<6VC7ubWozq;nGPvBYV+Yo;|m3BUFPoJCA!UJ#@ zR8;9t)SByGH_IBV<|Pz4-t-5~TCAA~Y83N2hyBBypE1@;bh<)1LW$*{N5b#sO)hOI zpj0mErPzali`@F{4`pmo_%ASaO@1zp*4{i_hATLla4z7vI~R_D(^lg4uW{NDJh`%| zO^^oe8N(4+nR`B)qdnlKyP_oI8eA#rm}L*m3O|OHKZ=Bu)|P-7cK|FDAbI5#MB4y| z#bbqpyxmc7wgZ6)K>gleNUxAkOmAU5G#%)7|7Uc`B;P_bxT|oUH|LT|b)>E5!dqY4 zGFZa+NeG5-u*s5|v3o)DzLhQ>g}Ks6`yMyIi-*KHp!O3lTtO7b+o6qanuUh8@A9w= z%lWpzaCJfi2S;Dui3Z$r`+_3-yp1B0bH5@Av}ipy!CqkjC~iP7`R!D-r|(=&*kpXP zIHN_HLC~ZC$HBV3POtXy2V#2&XSdPe4^Nk)L&iH;yUH2u=F90{A2wkgRLULSt7D6* zOR^WE<=?ST&wFRu|E-GRnBS~wMm1k=7OF(3bfxrpE3pUTBRTTsSH8`SSH8SEa`b#z zjDv3^sOe((cFq7rMN#hScqiPDPOuU3`xj6;3!c1qhHP^7U-SMoa2`-I@JQgz?yqQQ zT?Ys69zWWh?OPcuj|{k59CFtu9)F9OiFeG?QJozC&yh896=o5tG&Lr*H@JYimwrvj zmUFWB5@$=1kiX5qMH2m$=4{60;U(1@A<2$`ub-Z1*j6i*+zRDk;yv1?*MZ>aCDqXo z3}XR~SGu9gj12hZq>6Q0uM@e^Sqvs=ZlJ{fub6P-L0*Bra8%IfG~Adi>{c(TUpFB8(nLDof$N4*LlawASrC-Xvc%Dah_}Fz7U!7Za zN=uuzcT2c&3EbuV>hnyU-=$bPzn6oDSB(^f+n^1W@3SQeor<=jA*i3gi?F{;GcAG8 zQs+97*=dizka?)8?$&QTK$U6U;RY}}GJ?wpBY5_;p9!_oo z39>Z5j4NIlgQMsETGy5U`;`TBHtFz_Z3P9zo7z0VH=`bx1-@A?CF!OI@T&pD8WmSz z*?Lk(P>9YI?V5`2oX2A6&%^uD3Fe>yAq>frp2?63MjpQC*V26-Gxqz+O|#ep*x6d6 z*NiWmV~N)(4)f}v8T#5$L4JV1W(3-%nQCdviSwFMbB&zuVoD>_ErUb@NlJZem19SYu@Cb|65K54?3l zZt{9nr7=cMBwetWpF!+B6Tb~i9e%A+LTb`lrd#w%TH3)gX_215G-aLnXpP+S=x23s zR*m9zllD|S%bUhMk)WgNZ1%)bpFS8cZ2j$gUlCTesfDAfHv*eLLQ#VHZuIKls9l3# z>EW+*CC?E;|1U8)zPF>~aZbHmOYggg2iGn+hm4<%J2oKW(ikLdU@Hw!T}%jzi?La> zML*Cn1LC^8M~Z0G>c}+BnHQ%4)EgxArHl*aLTMK+F+P`gu4vvdttU(Mg%%s5qU*(N z&3Kw)dEzI?nnriEx$JE`s6$WpEmeoDWeS|yxbPo;5~GM4=lj|#tIb}|K>#0+Q5mVA zgU-e550I~;F7}C^x+f2QfHH9~qX?_^iq28@2I2f>aLlQw8a9VB6}+?THwVecu#J-G z`Y|aR%v$}%@Ip6=reb5^5FC=$8IIOGzd!L-?(Y8{s#pv+d4)eq`|?WkW8GZ!LRDeA zq4m!lWs~njv!4~FPrbCj8V)z z-*H6idwNnh-KbM$AxPPa615l+nfM_VY4Kv76xwi0VQhg^1r()T@i**P68Sv zP;=mRj<-@8P%$Zu^&Mx@(whXyI-lRuPOyM5p1uBo4SqPrw^r~YJjSUlxy6f&CDZJm z-2};)gWoA3FrjR$$O>=XH{LeJ$YCUb6%4|z61v-mzjKpdbXgz_^b;E|1mN#|uQojU zw!5?N`OoGYsr3wVK7T~u##k44iK}nCD|$@Ke2%GUh2l?p8Ka1doQkrdbRBQhCln`2ql+Sc+b)-Rqy(M?Bl_oAy0e%hBMF|tqEjl z+f8T{hi!+##l!yAEwdeRp+rfHxh2yI|1)#soitl3>flBGg+A_vyzq-zbrx%yKLj4i z|93PFk}KCbZJU4&8-S-Hzs@LL zed@}9np>n|3ba^)x%ph}WHp~6>G*P{q3Gz(UGP)Tu=g!Q%}U!%W1VFOr*l-PST)?I?R@kjiV(gVzZjkK+i01@5i zLJc1OxJ%nLB=>fLLFS>*xWJ6EbN1W68gFhS8n$RoJrC>@EJ5Yxfv0sPjo0v0UklnW z+9TPJq6SpAV#>R{Mgk+RMn}ixKXP^l5QH=HBj+@Puw~mH9eRF5hF&%2-J6n?A9lHC zdH>(plHW(k95rXsO{k>dpYNoFVh*w<{T<#Q=(F4 z+}RlhIN}YLz6cZp8hIF>p`l3pW6=D0V!%XcqXh4oBB0G9Zg8TzDUI)(VZOirn+_E% z+dzO|s2f)7AX~`rtAje2Y9Z(- zuoeASj7nz6zjY!9AV4NJy+jslpT5W>i5-({oGEMlIj{+Ww2A(sHFr~_=i5WGrUI3f z;WA-%=cAEtKXfU8n#&VyKDH&bUtCk`$$smJ@Dp7jE0_@efgCLj(4>huCDUwaR`vIr z2Y?mYDiQ2H_o3xqYZ?!|kkgnK)wZ$D5RaRGIP?SQg?%o<5eUA=`)AypM%C{*QnR9Qb!!pGBI1 z-nPp{Xdd-}8y3ub3x27raJ?3I0Tj{n2g{3dDoLoTKr~Z2IaqUZ6OucwcwMpeOkWtx zR;rAx-Rf?jUg4R+MlDhICaG)P9|1+gnrn*J*%&_d87Gh!tl@DL9@j_C@>Nl4L`Q!! z1>jRVNs7=nb*f@3fk7egE0WcYv^U_71$7XL1S>XLd+Ly`-LrgRS;+f*QlP^ZP$iWhXX;7eUQZ7Pe(4hTVN|R>Ck60QCn3XU55i2rGvk#(< z<_S^8#?oVxiN(MCRuGawpaD(eZV5eS)aDf2Vaf zxok$2ycrC_&QDhG_FUWPK-FQB@~RukRu$x2Gag2{`{y+8MVJheUsuaCHBDhvJ;!j) z$&cH#@Efi@*-tL@Bq}X~X2z7P$qYuSkZRKcism$US)(E|K4YSQEt9TogLs?e>jQ*` zFkGue2ecBGNN~J0sWuZI22fYo4?JgWO7M4!2OMoprH-K{MKsQe3z8Jd*!WxvSBc%X zR!IMwIrqt9cTODC=RZAMhM!ERp+`-GtEgvUOy)NkAH2vs5^NVMRGLW}Ck`a<Ux2c!fgVj@0&Mjfe6jdJVL6lG+4w=Nw@_YJ>Wy{NiVH+UAX3~ph-gaLqI?|9*ez_*WpZx4fIIZkxD#}3?18K4Vn9ehym~!&&IrIJfclK3BXH)v!O&>Vupb;Z? zeC4pYxY7U#eL&o~1R^|pe80q~(-+qrmuC~{6VH#lkbe^bbAfJf@+*yg@T5e^2#T&I zQXSbepvw|!rCajRI%jj?@r0Jd-qH`h2Md`sfO}L&uB!poS)P|^gZISF`Woi2Z#Ffr z*tm0K67MM4k5Ky>lF!G|#We?5932DH@%OjQKoAk#>1*W)|Bih46)jc)t{LUeeN|1dS8l z*_v^LzZAPgtO_Ey;~!~r>uHY04{5innD_2W0-k^&{oK0g1aqbB(6_IIyni^jvL#?I z(>a!w1B?u=8j$5i8*1~GWMZS9;{E17Zoah6lrX;F%jE*Jq%hcR|KV?IYipPZ$VG4~ zw}iDnR(qP-I=g!MgS0LDo&tnayt!yyrYXHmsv>h}AhR*j07JxfC>)RALV z3x3k)3g-QbXJ{U@S$r1H!)Lh244mHf&nxRpoY?OcwkJNcKUt=e;0Yi?t?o(zzH8gX z9aqmGj4v8H_DwHgA34>Du-^(1PpXvqX(*r*Np^#pXs~0EfhYcBLU{W!CK%VDRW}Z~ z{+93BDoDWny#UnaE$yxoJy&4k@!eM!#n1Z2tF;gIf`rAjv+sil>Y~7#EVUre8uU&$ z3S3xsJ_b%ZeCJ~Aji0_S8JIv|c`YGY z;vSm=vV&iakFbCt05CO$d_WHXEVTggpgo3wjJ6>!cq}ODioS7NsFxNKGXs_3%2G1CYXx8fkD-L-!db z-tfKT{5#|yUUJKRcl`#R zzusn8J^*|FZ ztvG5`vyo$mdE*ZLD#06&6SDj@0l_d!76`E+2ymIPr&ow@Cg=rGgUXP6paS{w1dd-v z!w1wDZsh=_dNpuIE=X0# zHm7~<5qkZk2Z;$s?*-er?aYK%?9DlQfTz2cswCR|^pCf|z6wgh;d;_f=>d{t;FgZ?)Gg6`qh-W2c-MPc{M-FT?uns#@Dw&8MW+!CN7L-zduL!h|#uG zAoraf$#=h6Fm~+0wU(WDDr!Ze;l~2v^xq25E(YEk8u%H_FujG@oxb>={Tg$)F59f! zM7GOIfClYQ)H(20hK;MUM4v7kQuo`=8magt-PR)BsaVk>zDN5L-W+3 z_mVk_K16AV?$E%&*@!A%^wZBg9la%%8$J9EDoP?99C|w_A%JqZ%|nejD=*28k0gS? zv!|wfK$f4+rcR9H*0MTD$#lhsC_VnN?niySAH?wi67Y~As6C+R>N=8r?vED*z=;%D z<(-+TPj7;_6kme{HqR1mIrTD_V@yj{s&pFjRy&}yxybzudIS2`=LiD%I#2;y>vSef z9Rw5Xlp^sl3-MZ>?CSZ&5GXu-te1w4=^5#~H`tpcANKsg**03Sl93HAO4ZR|dUNH> z35gF;$}>8RD**iLipWehhi^;BKOUWb_)80_mKDdJP1=p1gLh zXXh7I15(Q4tIGgucM<%T^z{8CkXiWO+%yt`47fLeUc9vX-p!#*LUOtMKuB5|>^|OE z7X=l=AySYh5MWmlC;}cx7W@r3LvuWoOvkMUh`U<~gZ%n!5aN>gxb;XKa7iBJ&S(Ao z^Al>)r)=HbhcqUwVu~^{GIT93LeLEe&@52;tTgdu4=~4mu#5$T%$D0{7c-JJ0E}^! zYG(4=&CK^2#}c{EJa=^&-+TqKUjM76zZyIK`nZZR#6KAXi6RS-55b%Q=;;skiE-Sw zo@tE|<9)_jX9`{6uz_W8z4;(%b9W7*Z-bhaPC6)z-btvxqoP?QO>uD=~ujXVNG z82=l+`54jaIKQeFBJ0r1)olIkMiBxG6gUzI-$5eJR)O~8gIUB+wknS(A5L;eecV9<>$ zmezo}w4O%%-P;;FJ6MVY`I$9${LD{)i~iLvw!ZF!oH}*u`^S4D7)&`KFFSh>bcB&d zPb&P;W`+o=FNZh;OBL(jg%$%gcGbX~R>^H=hW?OEQchF|6_O+G=E;T80M;(_Nrn6LG>$3d+i98TSLFin+B!=T;D1$2@P0e+F) zviFgoR8V^#_7;x;uQr!WXn$l7e2{*)QE<-=Ao92^2iE<8gC|>kM2Yn`tX8Nuy7oT4 zRz^yLI;bE9-0}e|Ar>J{%Jc#Jr+EIT{D(yj`2B;;cYU{`=j>Mr7rlZy-TpjIIP8uTvxqFe^QuO9#|`r zq@NubhxQ_fp79UFzS|}W2cLeMNm>?eK5rTr7`VAh%JalO_#>q#qzFv_(A|KVZEBaA z300dDpf;L-*hi2}c14%4cib-;@p=Ld> z2I09GO$tMP{{Fa(1|e2`E_;lh97qGQI6;kk4=$Ys_S>Y&ww_<@_y4+F2SnbL!#G3D zk;~vW&p}NeStdUL0(e6I1NrwiX{3GQyPEVvCRFtdOb7wmHN+r@zpX{g%K+C~*x%W+ zuZ-6LYGDX0v=$Hn2l%JcWkuVTw;T+9>LOOP6?p%?X3!-=<005$1A1KH6tzMS@dJ7J_YnDK%nT;92yI<}tOi>6#%2aNe}C)&J>WoQKJNs8bs)j!5C?JOE9eNnCsk{O zq}IFdkwL%CssP2S=Ls=H!2DVfXxRLH1rrJaHyk8&wB`?=11gmvnxP;w5qDnqaJy8p zS9S-;PXF=gO7nf z`TgnBeLy7j9*9@>o{gZar|Ljt>R#1oB`Av67Fc@Yf#0_%H8)P-J{cv46F?Vb_%Vq9 zUo%4MZ%^Gl#ut6(;_?1tOgGYxiM~HYnQZdl#PuUr{$djly6}dd z=KIkTT!4b=O_Xc-s`I4xD}1=U2)|J}5gVz@{pE!Sv6B>2R48PlRsdX;#VwdM%e!9R zliRGf#(6&>j#^Z%dgo`X&3J0^n3SHU(n#csys`5(@pz|i+dDUca2H=k84}Pt>)c1Y zwQyTD4(0-q#2KnMV6EO2PKojaDy&zZF0BNDQi~D8{LrhM;RQc7R^tn*#b(Z5Vt|(+ zw_S>2qZoF|8rH!FFW9KQM~N!Xu1XZCiNSaYnBKt4-YoS_#CQ^@I6YBvZU(?fPp`1Q zzHr9IL(U`Ht@~B0Zh;;>n-?$IKDg%?oJ?Thg^MRPnYK>_TJeUyZtmhI#8lXgplL{= zuiA!or`!2-%b#HtZ&)ZTxpz_qH?CBR@$z+)Hb)rR$~1#NOI5OU7qc8m(Qgp6DznC^ z1gYlCS2xH=$bKl-qi*w?E{o}a3w+6xXC58sNzZ0NFEs0rW)(GWF!NPu*BrjMRM8n> z+8{e%)NFM-g(;9EJUsR=2TZrqb$bf&)ZSF17IrGm&UICJBx2P?xi1ZrsJfkAf3>wX zbO({|OH__e^)U9kdZDq%(^!u>9%kxHu6WNH}DR&PG z^r>YS)C;AWpAIUE^bOV&^EbVo?%NjVyMMjWNj6kzmW|kEeelyGXC$8ycrfy#bJ$|= znruWj|M(8?9+!H@gzBgD%Qv?4oZt^9w0H+q^BFdr9qZgH5&r8Y)90h|5oKnh0+%HX z&uo)*z-q4WzE%(viC)(b12yxZFx(osPIr1cqo8-- zxa#Yc;F?sPDh%PRH^MGCO?4r&Fn#9phBtk)$67j7uvQME_?753Fg;@vO{4QCWw=C> z;I?@){9+skxgL=}KZ6!ld+WV8v6DVr?tBm&CpeyEPMS7tlDgOPImLq1mAEl7X4}1X zyRk6X(YV^(&`Q=YS0iIDb44-tO#TdZ*3|$zQ<1uAsmSJ>#}J zTiM2iyyPRKK4L*deVM@k5)sZnow+a^@>CyJc!HmV*e|g}$j=U;4obV=;@Ju6_f&$v ze^A(ry4dXSL#8C3)^*EfddQ`^hei2C1$)MwX(!#bUD2~|J+gg6)C4ERNvX4anv3q| z{Xxa+Y>esIvEtbH`h3f(ghtnDovrg%yyf;%oT{Rn%f}fQd{H4BizPnUZ6_%fZEmUM zWv<26M9asmm;0FRk>aV(y3M<_4}-ntG&z9XqRTOeJrA=igRdfcO~-uBN8rn@?XP~_ z+A+823a1ZV2F2Ja(8p8z==AmjaKg`T7G)D#MQ6V8NH!km)n`%_<7F;FKj9zLtCWk= z*Seh2^VghhY4IH1o{s&ZKJFBJZ4jqACc?Y13LA}3uG+j;A5fV_8~< z@Fx~=mIeXq4O^#b;rLzAUG`o15}`^&kkz2e_!4r<+m>`b+?dNIV!A)Dd__a?SX=6s zV49j+@cEebWRU7+$EClwjXjn6^5n+B?ZTxU^H-;G4lU%p1YKP*qwkwJ7ra^wz0;*4=-dKt`GPCG*%Bb|A*%|eqe(lSVG4qM_9)UeX)dUA2tWt@oZ ze8~zX`iUAFFapm1HUbR#hF&4nFFUDc_4`o;v)_EgC~@U8x^s4P`+oT>0bQGya=P$p zh<=MsYjED#qKu(q@Ye5qHRUfHt2P@((+c9p0ycH0Gw}z}ZF`o;2#r8irOtrqdsgkW zL19t8y73B!x4Uu-|Hf63cY|uH44OBN7EN;63{Ds4I;@n>%Z?T;SXCWtU0!+J?{i9r z)?z{bmNe=D>$+EwnXh4+r#mmF=VfBsENXMR@}!3xIT=|IrEFR)SLY8r12Ip(_e<|* zY71y^33jOj6@(V(p)fWMK`3HvE;5fX4!Q4D9!vzUTo#%fHUeRr~x&R&ToohP)N zsL0#MTwz}-5ci1jpyjpp(k}o*Kl)UR#o2SXuA9|dj{+pevt3U*czAD8=o8a(+!ill zd(3k_^M`Hm30f@p8i&x-(8p6}f@29cqcw*bMr%S#&sO|lPlM>y|Uc+t$Z7T zvA-c+GTV7aVS<>q!rrq}xa$3QqGSskm|1Qdt%1t!n+XaOnqP$aU&n3cKA5Bn)ejC! zyjyb4o9#nva5lgDqQYN8Ozk;@S7iABL+|q$oWXD_nWggS(;oh&lmXMi8M^<91$!(KTlkyYh%Iab z*B!4SmMz-#7x#&6ObA3NZlZn}su?v%K93>C=1go*2#(v;cc?hGe&^%Alc zG^yknLLJI-x!4!vHFJ>Tho;X>71ldz^UlQFlJx`Xp!zj7d_zBdcDV7h%G!-;+A~(9?256+fo*4_Ty@ndYf&~-O+(z z7+;#j=vuVOOpcy_t_#Kpmly}{o{P)kn$9IAITP5zhVxP|-V9j%8_WxYfoib*`Zya} z4mRr^N;+rGs%`91cFBgdz%$N_T&vlXTR^zwfubDuF=CYV3TNQ_0iKnUel7Am&kC)Z zTwuWtT9E)|iAt>C>os-pNp6kzjU?it;tf1Y(gjIoKBiq43643LXBpHl3%31o-jKed z5t>^z^Sy-qr3e+BYCWup3yuaCHKYHCErtv2pve=?#}#8U?O|K))9juR`tMasXHwf7 zgF6u89!JAZV)ZlPn@L^QjEAZxM>gXHD^C4+D3NUyGs5Msbe!Fe)A-Sbj>wQ0PMvbZ z<|lP$j2VsCPn49J45=r{-7`V7PC4G85E%Ea0k@$0n9-!4+ATij9sE)l#zf38Z&N$% zNY?ugCgNuAnigvdGA4euI1ym0-WoLMo;8Hr+uZ}l6bwz7b@xX-od}!kWbbVGuFU}L zA2pl^;8sKTm1R9|SV?1LXN1ynxquOoMUa=6msgR=9g5PTEFe$^L@)W~d z8sr9cn=_O!mP0A%$Y^IhkIImNQ`^QxY#Kdvd+8+V&$YGjEr~~K9>8A`FCtyZdV{P3 zh(=SpC9n~7z1LB?IwhW5t4MTF!S-+695VU1cDwY0^toWiLP9f|Oxc?CIGVmoe^p^l zlUFB|EE83TD6rnSnuGT?$o#H_0LOpSOX5t*#7n>BD;m-+46NOfM6u1Rvg(rF(!P^1 zf}pkZ_hTO{+a^IlARjz;V^Nvu(j%8acN>7f{d+2eWrKCz6XMu`puPeK6Xt%KE%~5s zy?BQb#X=AM1&M)VkPZ2F6{#%;3l{Zh@TuTmPf-1WEDrw@GQesjL0$M1D2)F87cij@ zAPaDx^T?hUuDSz5C!_i)qcBzJ_(jGr_EI`ikubU>{gh`(V$pe7As zimWl#HF~ttfSgI`Uz~)ytztiCZ!#VJ5C&c6Cuz_$V?~T>tXXdVd=PJ>yDO(M6ZjhX zKW~3P4WlPwyV{83usuued6ubLjNma|&4^G@?i?Jce?P+;x1nJDtr;7NEpr>ubSbYZ zW2UIl9dc*`&@l7fs`x3u6${ijEay1Bk9{U)(J~=hQCi-&99zYzs1f*Us4+$7w}7x$ zyJfllfQwwfpF-s0G#pxWT#2LG7k|kKta+jA$iHV>54Oqf4-)S;jV82c**@N^lWR6d z-q0#ky@(848ec=-@EqWZJk3Q=Siw%ycgiw@t}R(++A;Z;N@hx$0yD^~r$ybanfsZR zph{jGt>IU18Qgy~ChaM>l65S;DAFk2v`7if81g;K6yc=1y2&QSQr6U>D&$8;+DJ=pA5961?g71HPpGrNqM*i9vG?MjlZmA5%$ho2yD2d?s#}h<&H36|HlfHLm zJNUOCHz-ySa35w7<|3smOFb$5VxlHWckU&d>;S)cHHpXsVR5nOVr8qGJDNKB_WFfR zvHh7tbIwOvi}{&tG+VDAHA8NlE^)e{tqFPsi(b$=u6k?@42CumhfBsYKO182d$pR> zZJGj_aQ^3llv~KWsqh;QB{uIXsd$VJtB zVa$s>^=@Wqsdg!%8F9lhTjK|B-OGrAmL_2(bHy>D#7ep8-; zz2glZ5h*#m@p1P|$}qf!b($ldDj}mhBOwZ>%JQvo6W*0FhU3I{FFIxOtO51!Mg7$3 zu47!xJ6A-_1B_+li)lw8VXK=9N3n%-oOw5kS* z#+Zf9(X}OSR@9i-q^kYTlhxDH9gaWrx*ThAsnHEd|5(t_^OSRB4f<7&g2p_ z2!gYiObs!YFxz+~ctNHQb^VNSWBv z?p;6*={2bqZ3HYJ%11`b`P~lB_H9sEh~VAiH5$Ba-w3U`M>E8Qj74Xpyy%{zTQbyh zS?>DJv4(Q*vkESr(C$!B=6%hrYSiFp=Y{G65M zUmPDRSvaB}i0mYf=eF+ zM3wPT<5|ILRx;ZLu|2mC7tNGfzV4mW`a5OI#ml&{u(431&0k?_AcFRI^xm1{dDLf3 zy7(>l^T4>A-e4%ELNy>t^7P#}`>|jZ{4M-ydYF7#l2MPPMBK$M&_s+=w<2_aUFlB4 z3t@tIs%jNMgD80DT`nueCOKB%^d{4l+Y9NiAFCH!QL+i_mF>Q1iNjI!*+0^U9|>@}5bGcv_VrquA^ zGZxOZgw;qS2Xp?;Hh*xuaR~Bl{%Og)+8lS!jvqIwo|^M>$f1&bGYe>Or8c>6dbZZ` zs_Ck}3MHMvQWBlTSMwQFB8iY5hoC*pmS~?Jym_F>@_d~v&Z)}&37uR!1$lw$wcZx|P>@4e!`iI%a2y7C$CFARiYnt+Q z>oSA;CtF+vc;QXQ^t@3KtW!mj?XH9Q%~<{I%W8We=F2$0t^}TPxZh-AXUBnG_KFu< zrFbk+Xf#iKVVg5U3>B(|v0mG3+Nk~Jw4z&#lE71}cAAQAyLh{7xj*X)1I?ZPJ1ZC? zD1+(s5v~%C*y#h&RBGCvdNmS1ZHZaq8TL&`PJPxi>>~X1@ppOXEIbysq!L4?hn74t zQ!33=*E({athL}iys~;^Y}!@CU|c&xOS}8SpRSq^qcEK|<2*c4KtC=K=L{YOOa7+J zPvgrq-s~i5RMCd*B(iP4e5|cwrKe{6b@fSE`O8mmG#xzX$9R<|8p0IbQ~{@`BxCgl z&Kme1QK$)UJ4;cs>p8YoE;hz&gS%ZNPhos}eDmkx+E_-7R=HtXTsf9$NvVA;a?bH5 zS@hdpMXvcwQl=sM=tq5=q0RQKN}<0<2SZC6kqaGLI*U6yi@PTY-+x`)n#Z<5TfkoT z+O}(a^j_6r6fu8Dp=8C`9m}++l;?PVdCe|OZf|Zecr7807j#_z@A%nJnl7QrK23Dy zBO%UjAo$$b`M|v$uz{ruo)IF3JI|0mQj%c}hvk4{2Ab875 z5@itG+4XRFD{AXth*;IP<~3YAMl4^|S<@8WpiQBYG|bMD8yNoKRV8A3V4eGOq1oh% z?>H>Jv96{;+ylYRMr1UMAZ*C7ZjbPJcRI^b~xmKexsJ(I-VoU2tb_S|`%W4%an z#dVPC12SuN=W9qT{XP%cCdg)v+o%_OH!k;aL_O)qxM!WuPYy)IsLSF1J+Nkn`jB@=bk0Ru18Tz;L4>Zyl$yS( zP0%|2m4}jl>wMbo>e5ZDrZeuGdY#ahVm%VpyV-R@VFs)X_qM%0XKAihhR5Xl4y2_5;sa8lJ4$_y8R!dQ5y54cBYpq*ly&^PsP)$xeEwGpA zs{25A1>Q@vbTLEvB??ShRBw4A+rSZ59=VxZaG zHuuutw!8h!FD`UzI*ab@5}pX@H(l4tbit)8MAcbzO)q=K$Pp*x#w{9WA}8d8qw(K` zI|vmeOjLP}`lhhm@$uKTU|;e0zxR~~EKXMntFr#SOCf=dkqb^TppP+No&rzH{HHgrW0fr`@IYv^w$(=?)gEf;JTTL@(&y3-YVO}&Kwlus~n}zZ+$Ny_a3K9^X%Fk zDkg(^p)a9gtj#zjB@b?aFJL#Rpvcgw;1g@QPeKJ_6>jC&&h=sS)&&_J0K%hT*BdiW z%}@RwK2%tq7505cj)1l5CE#c{p4iFEztE**n#1p`(pzArXccJVBVEzIRbFLP+BG#X zZrs2@5C~ptS-)`8WlQEKoM~GuP6=O@;|ZVi{N`F&0dCsPRj7g_lTK8ekkI{Kz$+vk zrNLF#=pJHpL)6Xmv4J=TAdm>U zf>Ci?VcL5$5{~@>N1{z~-Jq0`Lz+3$V>m^%c}mzW8QJXmm%_}m=7D6LjmeBNRySWv z;BV&74b{>$zh5{`VSN?;vZSOsyn*Vcvev!)m?fFG288P)%!Djn(U{}6%k%6%(k zSlr!Sor(RyPmnTG>RNCsew({N#6wp%DHqt_^_JcdQE`m;MM1;eHO1*I$V!YIu~=TS zO7_F7Rg|8IVU+43PSVX6bPDw2n)paL%gYzB(%Jg^8KO|>*rL4UHLybT^H#_PaDM%+fJEa4OD z$h9gKL-rRHITzIm*Y&)W0UBbMX6AjELz_5$?p*)CAd7Xy(W(SvmY+`B`x5#Sb}8SG>@pZtuQ`mt?<;%+$QW zG%{$o>ZUX^{?XTPZKpGp&u{>CkfmuK+&gwrnI*Nel$f~JAOF^1uXD?RKOPZ8A#TxD zM|yU{=6wF{wt8%{Z3KC#Sl774JgQmFjr_xAInBA@?d`J^^33P2_M2gT#&w1BX!0}j zhd&+~QMcI^egUl7JX4wZ)cC0L9yB=w;}eKGP7z%g?6O?AN0p;yX6R^y*Gt({Y|m~P zr#ZMopK+@A#G$KgVTw!cr9OE#Fa9kQ-g$#un6fub%CDGSlr=?#c zJ4Be0v1doI1s4EE=oArEf6_ruNL$jWu#yWU=QdPAlXWNPB=MA#rW~&qK z+27kVT_%;cJj-CSXQdcX7Lq_chqdFSA=FW?xE>rTs61)U1N+I79 z5zC7e!bj9eZ=YXqrf+7<$^-M0Ih{#sST0#SR*j9Jdz5j`yK`{J;t z=%*a5tPPoXD(kBfMf=0vs%U;q{^h2Xjmq$~-Shojqf5@(G@V>^o)2-EWZzBba-*dg z7Q}#3^tZ_dd-d|{C=hWh9RDL70y8=fjz@oiKAIj-mH1DbN)2Oq2$r`bK%x6RLj`LB zrWdc$FaMF=0iSz+g8IV$&p*}zY~EdPIm3L1juH@Zh0&JVjVWr3{}2O@!6nDKCARs8 ze<9ARZI5kV=Fy*dMI&NZuj9FpJngz7#6A-rQ?V>J_bk7fRaKQa&Y`D}msVUlW9CNJ zYKFGCK^r%p#+=^32T(ET$V4kO@mH5xGcErR)_PR7Hu%jem9(Sv0}Lw((=Uv|(eJPE zh4JfRkg;`Lum6v1&sU5mCoVZD$knxx4O+{Ek#dS_O!|1THx%(&59&lPtvC%D?Wr!eD9W15Nh>F7c? z&}d_V@sftQM&BA< zf>v@Fm78;a1}dL_OqTpF)|&Keh4Z(>?7yJ1G+%=pObc(Tj?(p0F*?OgiHI=OPPF-J zs^xD%DKr~Y*?pE@pLDfT2KPOVRx;y@emsgO@|8&Ym{MnQbpys#_2^^Nf^k z){z;(K@3q=6=sv4XFA8~cJF|q<_?tG)|qx3W7=nU$w}8-2g%-3Y;3A_bM`h@_6Ic| zm%dvw>Tn$+32oC=2DcL5m2DEqlwJCJgGD^$60(MWq|m5g(m4+&m^Gu^c&2G?XrS8R z;)u$C;QmhMHrD91QBH9dI6J-yd-{6HbZ+9{@F;=^imuanpcc^X4lq2JV{V_9Usf#d zm)dl`p4{@Y)2j}SXT%)-8T!CnbVF+MdLF6F>hi3y)e97Ntsc+*-V20m-85A%yeW{zZ46eEp%{_Tr;L zS;-Mu(9CbNzj1s&;1+`|umU}lVTo(rBSpPR4l(8?vxH*7&Hi>e1y`^!*Gq`dHcePf z@(@$?6gPMaF!Xnpi6S$(W(1HLXYu)2YnQ$@Ax@2w_U5FcXmTqT?IyqO2EBW~=Zs*B z#rY)7UI*l;K_E6;=c2Sl+WJsyt(`D_jGT=wdI1)lOU6UiZDeUcqGR!?+j&y7)YPZB zQ0d*hHlay{s+g3~Zo_jG<&bPa_X@c=I|VPz`G1svU@iu?WJzo$MH!W0Z4cUV&y6Ik zVCoASaTC!oMKg$=R^0nA8XdUKU+_xIlo>BYP3`xQ!Wl&-Uglmbi&jaYcDMG}&_Xq4 zHQz4)OUU~=Q%?{V;sk2B{Ae6se1Dnw@HvsXvfR)T$Xg3n6IIcL<}`9<<_~y zwsvrYO!+*6HYXh#@X!f!PO!-Wq|9##TxwYIGq5RVtCT5_gBlric;B}19Bcb}=3IQF z6Mbif+sK&~r|wfZxuZVd(i)lC7FJXJsBED+BQ5sxvgLKViu}yHx4Fb3Iq(X@vzU-q zg-yTIS;zpSP+7|UFrxHz40TCyy&bqB63-43JfiPq&)O4h9{9)#U!4?07W*wghh56F zkd)*EX`yVJ_CK}=B#;Z70b)f~m{HI_ySqH1hyk_odJ`ysJj2P9N98X}fyzA@_^Xyd z^g7V9`$|}RmVR`8-l}cJ0sJ@-wBs&xE^tWx=PJXp$YKzK*93(o-$^0Tx;VhbUHSm} zzkWGC)=_gU>Qlj3Oif3+f$gL#5(uR*K*?2KaUK>U%&?!Dnz{(w>3#?_bPfWrqr$E} zI4#^i4@zE8Pj>Fe;SMo=OMn_?ly~DSjqS)+VCU;IHUm3#3}9+pfY!?++}I+h94_X| zb?PN0N^+@m`WK%N+#1ZYL#t+2qhfn1u&NDqfk$p5r(vFrP$M(dV;|tyT-A2u0uM0EL|R$3fL`QfoR*=p z?>Mbs<%E7UBWQE`3-rZ&n|>(`6h+{xV1u-fiLNx%qYPTdRt2bET`vQcq2xD=PoOSk zwQh-pY_OI7C3#f-QHttI4HTqoI{==lfG!KGwOt4FQG!xR$zL?EbWhN3KI;D5 z)MXEC>S?to$Kf+x7z?_%X=dcU#m_p_a)2aZ81NNkmjC-e z16K2V4%&cIZj*V6fBEs4mrbmjklQ6>+$p@o1xpb0etMSX*j}R`%2-R^ISewgblIMK zOQ4opIkGkC0Nxb=;sdkY_=V|ZhrOD#GLdr@mIdOO^v`2QAd!bRK+&!X5N`Z4?u?T~ zah2se*85ldQ4sK!_6V3$Ar%FOkOt2a7|~a49fJjxSj4$EIh4hu^jR@4&U@a~@Z62- zW+?#1s%d0M2|a00puKKO0n6X02J(~&$JME+Bw4_m9dpiihn&>2o^0{|_ZxXL_VU== z*jTiS{5sm(OKEAGspY`$j*{8r?}zzS?@_>9O+*RAP~<)JTsQoriq4R7lxC>N|)6!EL!PZj_4ft=nff9=;wz#u&do@v$r zkmk7J&I28bM^WoNU^!m1{Xjxt0$_6In~uG}K7g^rLSD_Wff`}*&IS%K3~Cafa4VELXhK*HBCu?!4g3v+UFJ@5_o{Y$ri zy(SnvXZ{&IEMY(rS(DqgEa5L0loXP?} z>*maka>5cMG#q6Bx_UFq@SrugF_X*4X-q?7tXXbTSc2Qe=3|-ha{`CBr8XT2nI*b{>4*MG~qzcie!{J-&@5U-@T&&1bLL zUC7Gic}A~R^-!?v`x!0P^uSZz7T!RgiGAud#n^G%-ps7gnW^vg3NRi{a*c)w*+L=^ z3C%d3AEJ8oK=ip3@T9x_mEm?h^T-vJx91%m!9S+0YC7)`<+g4?3eH~?>I2Aj_D&pj z4fqawaM8j}(L+*E{p~ca*JppuHzz_O(e@?j3mw<<-le-VoI3ZPp(&_p_Kq!e2r(dL zE0&dvdaUL@@lfu^X?(gy$s}YgE#{F22)}!i3_f$*tk1QI0MWEM$eTd*>Up=j3iB+3 z{C>WTc7{zh&+2+|0BXJ4b>l`_%#^t{-L=QoDGHJ12K^xy!K#n--pDd^9$#e>m;`%) z$)XIbwtKy59h!F=mG2r&mMR{NKc%bdBS0F!;S1Gf(*IdLgLs_{fBF61z2olLCjW3p`QWa8#9=2P^O{1#lUf2Dy6MfdN{H+>EQF}q1Kh5#oQ5kxb1cfi=!uYMF zmTU2gnP}Pha!z}=71Y>+nbw#Ewl?d(Na2!@ z@pMdVET-Y4bau{ajt^-{0q9p-AwumEimh3Z-Xr+ooF^U3Guj-lW)>5`;x^$+t=FAe zt5O$>y5GGx4e@NH?dFaentsH_NrOF9@AVu|fELF(cHXk(lZmx)@`-&Y8j#WS|FHMv z@lf{tztf_Hq>^mKU3clWma;`C?PNFhA&Kn!AbX-sQi(!nv(4DIVa68WF4>J4#*ie; zShI{JI-hI0dzSNio%6@}`~35~p66-Ie6Q=feU|rf(crJlnb`BHNgxGqtBn;Wu{yU; zAY{aGaUVxG2%iV0dipj9UZ)~#_^J`KUcLoUotJ$dY@SQkn+7s;2J>nLPF=oDKK(;I zzx6orQ`Td3Rn>_qD!KVIeXJ|TAGCq0zQ^U2S*wzV7ayujdaO;~QI|VY3(`h)E6-7- z-H1Z4VoS1y3c5avVM6v*?DM$AHC;DZnCPe=5<_Y&$Ybc#qI5CNSkYvz;%E@6Q2aBs z;hiC?2d>5NnX~Iqk6(&b$;X%8e$rMJx?I)O#=ES4*|zEYV53xIa6mush*$bdhe(I! zz~~VI4<4$r#IQ-G2XLM(#9|${r{ql^*|w?f^B->uHp#nIhX{5>KE{R4l^glLl+eIx zbw9tA`1gC(^BN_?KQ(M=;{KGkCt=8`n8mfC)YVVX?gXGP691Nwk}7+NiStP`Gk$03 zKSRG1{Rq*sMo-|5olaGW-mnZx-!yI1+tysMnzjd}L_K4V3w%f5rMJuDb8QgwY1Wyt zszCWoFRPsSP{4(c?O&NdbF$3yj?3BHS;ISp3@obvvKR>pu3SeX;TJ$mjwIN&ds{!2 zf|0qVH~iB6lM&%+MXo1yn>s5l)y|(SBu$_7=V>Fdb?&bTwEJKO$TJEo2 z=yk?mU*_@$u_g|swkkG$5|+m_vKWFd3;ci?|Ibyoj+83#qU)UA@omoAWTQ`HhUsB; z%J00lUL*2gGGD|p!HwdTlQEA~r(4t77j~aig9wo1)O{86{+@e}Te`JB>r04VM`U@w zH|NLQ&w+axoGa`i(3Q0d(E`bjk|F*b9P02%B+?z1ZCH=Xdm{ffU&od{#{aVwEVC!q zdLK$yj z&E@hTP@5m{qZcAEQRtbB zkLnnutX+D1H*t3jB45hjjAW?+HwzJdr00cNMwW-K9`>D{e0;4y^tsH0zvXfVeX)LB zRZgL>f0Ph+`AX)v81ZGN|HIx9{hv=HLGeD9l-s#-innw0wHd~F&T0{EmakS?mFWTM zqX*C!i#oS_8^x!_M2FSCFQ|R#=jUY1MO8@XqZ2YXRb6%I&hj!T5=_t#w_>fe**aHf zM$_L!1rAiLN1=Ye!u5^~8zpL}8{JVC!F{(rB zd=I13x#T%6aYpR!$AqrV%WO07)4`6l*=8}%=iSp%n@wnyBV=)%z{XO!t3Q_-JWmhq zsB#o7$>Y4NxNETzUdJR>&mUL7K;))P=;@wccfTB?W)eL=`%M&0wXI$?>hYx#={THDtffOCSGY0? zmESoxlxF{dg3Sss?ZVnoqfSv0k}1l|J1x|!CVkq{neRMAcQ1@JcF}z*HGhTOVbL8g zKM@7E4om1O+HhP@&im`RxuvDgtEV!bc5f5`4zDZ!_5J7=$8Uxl!MMGKaW*5$#Je4# z*eapnymZcbqeEH2Gw8apC5ab?0-0us^0vt`YjYL5i<5miE=`s@?cyJpbxE7n;V0PG zCD*FDTBDzFuF46Nu0OAuB37WMl=(=n=sk|8b6SSt2g-w%MiP805Z_Eyx^?j6E$1~X zkYnPjR@~+1Uu+Q#RrDF0_?1(Mh9#%ZtS6HV<6La?7GqO?ypOylB%JGAxGS~WS~oRh z*^%F8?6nr3v(4Y>*0=Z$;JCa;&h8j|&x3ntIR9EKy6kf}m!-+BX#I@O2IRYloWiW9 z+&fLC+TvgDI~Dj^#%8eXS+b0(wfQ{K1TJwBk{?gHb0|c+WivB!!rAMUBQuDP?7X&u zeol2r?6ruwGmd3dA%AsaU*{e_=W2~vxp!OqarX)%vB0}LZ|Pf|mvGv>nF^Qw`JC{$Q;=X~OA$*p-j=P33< zf#&LkA%k4j9-^NBIh4o<(5%$@BBiZ67*Xbs>aVnMa*(d6(nReBnas=WHt%OG^ZWs&#A`V^{TJ z4Mq;m#B0`{>wbi!Gq% zepJB(-hTD+wrl$kW2Quus79COgAP5C$*!YHBS9ji(!{nelJ1UOm-gXM*DAUxm?g}C zz0_THW0~*7c=%r5kzfcbvW@XKrr_`ki#DXD>q(E_$1_yX1JTOYI)?_p@V;5%6dDES z0ghW$^M3z?GN|*~{C^@sC&DgfXm91ij@wkD9Y-ue3GGs(#Imy^hM2Ee%KFCzolm|) z!p!~^nC~kdoXfjkM($6QSoKMAr|TP5rHdS3gk(EgM8>|^vi2*9-f&ccaerrve;|Zk zL^FGGbT?tr>a{Zt;W0l{`m%*WH#yFjjGNvC->0ZQY$-4&eu|c}-}(qq#)vX-bkUIi z+CTteZGzmQD3wb{JI1fNNH>^an7d1-XiN<>DEhH1B2q+vZT4hm^i+n#`Q`?u1wRbY z*=T1*f)~rFjglq5Xy`YP{I6l<8_MMuHk7G=m&=68z|zB2sEf?xDn683YD9t}F;RVR z!jQ~EQg77VV|Ip+7?Pyb5`qdy7r45)wUGAK-B_Kc(61dvln9VwQH>Q^<{90kVi_c`yB%EWrb1Yxe!E-1 zM@ItE8hh~1a9y~`6I277^%GNG+or%krx1*k8qqq-@$kFj=Wv$11ILOxv+EhXr1lE< zBlZ$b(#Mk#&yrH)>P1lBNF?(jbsegP+Vy zvDwL5w_tI}vK1q#v}>VrBZo?4>bQq+o4w z;XRLJ5q>vJOwSVa7LLlOy_Vnnyq@1!%0kMb{CP=Q&-1_+32EWvVyhgN$kIl$f(Tl5 zSz2KZVI;?BBIp4Td~;|r)jzyIod6Y9d6sf%6}QqbL-br6>C)zX(Fey@zuoGu`qt(v zZ@Q9AC_6%znyf3DDr=)MQ>x2y{RS0miX2-bugcT5biQqjv`DqSaR-dFl_>?HB`*%y z2K;zC>?BQ41ReAR_x@vi1K-^cnWm%?3Sk?ZL3w&U^Tf}o5eeTlWfQ+%V`qKm6bt)ae+ zrpNcMu$Kb|9*@s8XeMN5cviUe)hzHWI7+Dx6o&j>`1(jDI$@Z){M64(H>twI!n}jS zyszU>Yp}gxyik3lMJ6zTR9m1g7u=+{V=?RgcaU33MS>)#okDH%rUss^*YNxM(fhJw zi-Mb$f0b@|YR<#vAbq(nSSqi{xy}i?pkj zv3~Dev-D=O|E${_;svXN2DKjNUla1jl)t>{&!kxA>-E zP_sp$7Q#V@#A{?jHO7}d>hi}lt!?qnx?g1#luH*R2d<(&7aJ?#0p=oUfyT{FzD14} zGEQba9Yuo+-Q^y$Eu{+M+bZ`tq4Dui@l8@a8gFXdJxeI#-tZt~C-THf(~;_N44fkQ z{4NfAiJm04r`#Ju5-#7C1?e<|FmPUV<~^@lQ28sdr_^vRf``34MfFX)w5^(NY*N>r0!~tFOLRftnN4!%_Jr>@WQ_yYV=!Vs|t3-y8Waj$n4t zHa^g`9>$Jt5(^L2Jwn4J*-3Asp5MF3p*dC-m>o%m@0`9l0QZTDx3@RcDI$9t{^oNq@0afc!E?#N{K`U=9~OON;E8Vi9hcsyTR-DbsKF%znlR7l}Qte zf$uUFK7$KZfMzRBt}&OV>tM>_q)GW#61+wx(w57PHhW%G=H_2q{r;@F7&!n_g1%n@ z4HRViqMgpnTM9%m8@8WuvmRn;>*@wR%K;YfuTy0PytaCww&RW{kySL2T*&Zhbi)E!z{iuV(|#cYrPaRFs3p(e9esqRYwzh9$SjQUy` z$>Rpt@>Dj_TzVptT9x_TRC7dYuy|9oaBIjzCD^~Y*2^H{Fd^6zhSbR_Y@LRHC!*B zD5X^}Urr+75+XT-URYfqRL?_)1mY06_*9MR%ot3z>`HCEJO2BL-|ylXsO>Z%f@>>K z`m+Toq6)v{zt}Q_pk0MTA^puh;}$T8D}7lVy6U^FL2T;rr}W*v5_3k1{W(Evzb8Tm z3Y+&a;6zmlQjtAS^RuN4QwUa1E5I3QGF$;VUx?ZtM7KXHm~b7Qjg;s!q9Z{=?-?G| zubAt7f8EhKxJzcd?;+=fe+D-mft=cihZVcu12HHomzRT4y7D+C=sh$$7DinfV4i6s zh;Lxh^cSdW^_z|uamw?#r35gtWX9+IBLEZ^%9~7d&h_N?WPB5jlV$e%4{;+(O%ssw z7D7LT$`%(2fba8f)&BBI^gg*6+ZV2m+03|Ojh=V>p)sIr0o>+afwDGbFQTI=Ts@gz zx80j=4mNP|Q^ddoiACggUHQCOeN;jidy^IVlNH*Jg~x5vv0ceZno`;rO5ARAE;U>Y zEj#(%`}ggU=`c=2=G^zAct+XGxSU>r%;g~FBwymIMUZbQ0fVQyeyGROpta`?o{pJ* z7#L=@F5Mc<$G<6*M&|Ivi(e-V$6HvoW!yi<@w{rj6wD}*{vOKr7E+t@{cz}6+2<)+ z(Us@OMFnkxh4=IK?Ek2OH8Tzx>E0M$`SSyQRkQn6T0_@{Fi`wN)frA_T#|WeBYsvZ z%^-Ir4{{KFfviWmt}YWJe}z{89r4!>#3G%71(4`#$J8JiY6}oSw_@**siBFBZ;)Jx z5Zkr7s93!e%~plFY8V%UG-2pRT5#WNyWvT~Cf@we+;4t;k%a_I2Ycd0Ok(m@+txCL z3yBjCl^^_5rL1vFmncV8D^fkBLfP91#3=Jf&y}HbFoBqS3A(u~;%U_nVm+pXBu1 zYR3BP9Xe!Rq#Dl(sWS-6@X)2)<`k|A(~ZG5nrtagDwO}dL=PRQ{!s|ZXj&PaG9I0# zHxd`mP>$`#;>i!n5LGD>RRt1{iEu6%4_=2%d=8F-Z%+tBRHaBH7QKHFDr*%dgaN|* zP;J@4?=-8~J){%!9>m>jErg_?*mQ;Y7tMfT-5iGBG1+CP>q;u+#fX-6l%!}>K>04y z*=(fb6^>P0C9$|$Zn9LS9t2U?k^a%`Ms_SjmlC_OS|_^px#8yuIWNQx@zdkljBU?w zI>q4+4YKrAs6G2sFRmfZey3Bq$r}$q%Q=;FvFmwwRU@q1n*KKh^FGo12BsNpGR0t5 zNfOyNSd9&)64%_eF9iIvfKNRId&v`sgWrv3@JD|n%DF^^C@o0S@c#^F$01mXDAXvF zRjz<(&bqt1Al*cvd=Ms=FG4s4Bo+K`Wyl@`RN$kpBM^0DR5HTQ*asighwd#b6dm}d zhV77$`~^P@QoV=apKRg6JM|R46a2w=;hzd1VERK7{tz}Dl!t4m@X`PO^YAwQ$IB1S z=@3DoPA^|Nd)ny#J`q>=@o_niS}m%K=8p`B{nsRnZ_3;# z6~(`D-%Bg(ELzlTC!I9EU%D%%=d6^QZ#rEYXu^bSK`A4xc&)?IJ7Z{{zD58M z*z{z9%aZ2GYQN;$-l>t!ZPFi&Tk@#2<4^0uLjjWKYIA5{p9}Xq72sUiX5z8MW;rTA zMs>XF>W|KOjwy^R7fkY55hR?Iu#H?dX>rj}6zG_Rxg_b*YmqF8cx$u#@`MjplAmig zi%A=r2TDuexfF(p*ivVeQu1p%!>v)eSwb!n{yO4KdsM_CN3oV7jvMuWzG+VJCkX}@C=+Totq-}6U9+`5EaqzO_RiSr5Z*VJa^~Dr zT!Zt`6UTug5;5q|Es@iBE{42dZsLM{wU*+nUh13|8yKCAP({tePB2A^CX~3n`c%MW z<4W~r{{cd^U-!U>)qm|?0rUN6o*hrhAGW1C;kj~bG}_~@Lqy$T_Ag%K7tRR#_#A4$ z!2b2srr9F#pN?FYPHi%EG9J9_u~O@#wWS!m+ERH^C~un&J(DWQcUkJ)K^rvyy9klL z>-js4|JuxI21zQ7B2&<$T5bHs6kOE)WO`Dh$Ta9({IL^Fxd&a&=be)h9Cx?HC_0{L zc|r`9ajnO#?c4I~Sy6mj$baZp3AC8vu+;$~&yK!uj(9z|Y+zaHSh*+KshGjg@EG_k zAeC)eYFg@44mw^#h55ZgJ^)EGx5)~rxJ9{g!lY2snCTNG;nL#Iy~z&F1fCUY)n~iUu0EOe zylzPaEqcXIOF^q>dGqbc4b@wd%v^Yg_nSH=7mR3Y8}lBEWZ<>(GVo{A}m%PYMC6me#YOWdjUAE1{XLiW`m!&_9$9|gNxln=X;k6`KCoLlD?)LmmAl! z^d0QKjB8sEdP=V%=s4|E^JUWn2h9M*M(U^7P5tFtXs6GCv_C8=u-X zx5NNT?0;V%HRroX^N7fk#OofPa#y-c)wIo{Q?GkU3Ie5y0@~zkI-8i6m)rl*dZJSb z?Y%?78oZtRKIehPjm4yrh{dg(hq0Op%GQp*6HKg%SkaRDuNi+W8WM>{*NW8Sl=Z{rprVSvc%GdV>! zZ9TYYtD5=xT+sNqNobeo)71?-Xj3y3EW%9#hL0#I=H2@064%K6@eNGpDG5owrZk4_ zWcekr_+#-+dA(2YVdJ8U(M)#BI12Ya5{f*lUkc z$~=j;IP&`~(eKH}W|3UsJw10Vb}~*)lbSLU@#WojF4FoCZOfRkWb+4MWqJH;cVJ31 z!8pB+Y-*27wNDuDr4yb>YA^hfFBjYuR7;FP=96g#tXDArB zU2cH%)=$Qw2y@d~;8U(j_p9uclftKapx#vXsK~XV`aJo%385EW+&6&`483^6pg@Rs zPSHduXN-4kA;9L1X`+kV*gh5RnJRvu2mNPQ6&5WC+d!-{-uOz#&T%<>V(ga{k#;mQ*FDONnlB(VVaFv1#WBzbek= zl)Uz%jg^LrRl2$8nG-3jN`Ka?Ry7s`ZU3=Z?aSOM3rr~+MRdw{x-}zOfxf)fQmxtw z6w!k|&b*sMZ+&4YPah$TIRY>7DEp8)_PFPq68<88 zkE8J|DbTfyM>Yxb(JafdFepIQfBf@e`AeMYbQ7`7wcS|KxiQ_?)SO1K{2(z5tV*Ws zdL6MsRosfkI|U1?Tfkh;LTX@dUEG}B87J-U0WOoYHBT>_W@I6rT44F-d*;4HZcn%p z2UzcBO-;vsR`LAzOQG(=y{k6`os;mmO54sk6E2y%v&7IW))5d~+Ki$;)u=4Q7pb^s zf-=SX%c?#J_gi~vH9RtONMMWZ(42pRVXFq z5$E@5Ha+?Hm!?f5dSYGD`T{eK*M|GZF>w_+QlI4QS;=64GH>;<^x;;ju7_%zML}fw zVz1ihT0%DyzaQ)|a>_aus!TspVl1j_S_QwB`8icg@s5QL#|yJ2W~-)3cq$jl`Tq$9 zsq4uv4&CBr2xjKmT()#UnX7!hrv}5r{vY4lP_sB1NIQ!{a(fou0o~i*_cwS=vRN=W7 zCG#WVu&=Vyz6A7b)ygjJPa$aB$Z5KCBG#k&CCNI4_mTzJad*|atd>%;H=W{3sFLF55Kd`&MZ@-yR8sY`=)KW~s^UScX*lzw9E zeC?C5pujTp2MgsM0ZO`T%LmO|Qf&_9`N96Ev7Tn^r}w(TytH+E$yA04!6bjw!Ig)kx&!rT}V-9z1DELg{bIw}UI z&pvpO$lkW0bfCab@v5iAW&UhSaWl6YEn*hwEKz&0BCtN{oGH`BCAl7Bt5xLECL)^C zDfXN3XuNUrA^L>(*lYCs)G5jzEyDWd1!W7aHspbGejwiSr)ko2?L6yx{z+?f>6OV% zn8_u}?yWzB^33vZ@1gGSADJRGxmKbuAy=Z%-1~OHm1M`9<1|?uYU<)aT8G&|?K25#7zB*S%&aUYb2f@#`fL>VR zF6|PVwdC_t(EY-W#d3)X=^MFq>~E?;6EQ@6(~+z`q_?lFS+J_3Fv>_mQa|7jC4lU}+~|3jh#_=l4!P=YSJ$q$VN;w~@JIr+O0XC4rJ^FD-s$`x<*fOOR9*kjizFY_kF+9IU(E&a@_Fw+0i)uJK=d}Gh$ z&-`wI0q>607sh=TY{G7`2{xL|TAtmK;>^xjcDelN8ue~X`|^92QjJ{3tVek0!0R-O79}#7ms@gY|D3m<`*D->FWTN1O;_;89hZOR ziYoK&+{GdlCv}?qOns(ks2_WmsO0W6&*4{ILg&2YB&5TMJHJD+pd%o#pL6(K9@A-9 zgBCn1c`qP;kFHti{6dgp&d6nXcP+QS6VAIQbUl%lKIGazU!0JiP~W#_LD_K>MEePf zI~TF=-E63%m<{1Gc1cF3^c3Zs9*;%uK7U}U!CF;uGrVb?@$O9at2xf@#M%#&SNB+d z^xKwdSW^2jT-tr3jsmZ-ch1{TD5W0Fk+!lHoNjowK%Cm9B3wVD;7z9992Sma%S8L*>WdG> zrt!HlU58z0W1>-beCdvplXG6})j`QMc_5G_Ws#3=n4ZnscqIsKm0u;ahBL@|=TMFH zE`1?smS&khceU^8adF8m2J>mry?`D3*1yShBaGPW`e&Td@A}TKhqUhA<{17cTCG8Y zmznnGbl3M%3D$TYqkoeI($Ni(Wac}+GeM}IU|J^hA z_1S(C%|^_{;z8LT#{7)ah7tp1uF}&@e%ZoqhkI`h^p@SJjE~uV)B4CiU6p?(`4sgK z<+zr6no+JF4+hLz$KuTb28x0-3H7dNU4?EZ{P#9*+Us)tI3yQG7jiG|ZE%1LY-mqW z&u}=J77qoc6vBtkRl}t;03`kI-+ku9ad%k`)#Fj|EzhzIK;RN?@Wb|rr>#yTZCO*b zDe%wN`Nhs05yLp}SxXd03!5|@7N%)YH5INWq;W(wUR6+0q3z4%7F?_}(V=C#rT$BY zQdod1&&>#^;!ldcUS2J*HJpvNG%rj`d)usA-{%^8FPZB{cLT?^g-a`{kBcq+Ei7tO zeup%_QqiMoILMQCc_t;i$iIhZwe4{cw{XF$G0hJ@F7~N9o*A3SXR>RL-yr%^x;?+k zIi`GgzBWh5&F@Yf_ViNlRZYovxnTF@^Lc^A6MRh>vGLFKJDL-6U;KVNn zT;w~+8bi9%|K~z+zDk!6#(;9XuPoFVgIRH&XxWCWOGN#UU3d<|>>t-4rC>?aQgh}c zDMfl>`$^~||3_i*|FLvkK6qOgS&0qhBT#hvG04O2F7MFh{2>xBx__#$@;)rYveX}6 z5Bn~UWduH3S5wx`vv>Jb9RjvMwHE^n)*M(aGQaBZEAx4wt~37N$c$=ezA=(~9ol6q zHZ)*|Y93gyi1P=|lT9j{SQ5w%5DA(-jdXnBC$IEbg0Ia20@#MXg)ja^M_OEppRhv~ zY9+Mz*j*nhFzG`4ISwOuAizTfu)6^Pa$lR29y;rO-dv-jJa&+T^eN#>Us!s5IOGez z2;+vHfw@TVoIWL)RnRChD>Kjpk6kvCt)n!!5pmKu{NY+hE_7@Vm;~zVg;#p{2>EVu zxs4D6=C~WKAWfLy&l^NNZ21@CH`J7s0Tg2#aAGVOB!ZL6oWM(6<|Qu0$83O!YXNxp zb%YgF2@NEGcO2bbH52VlO?zg=K!65eGYilGrXd|8#fPh4cY~Qy9)buyY!*0hJsE2A z;s`@->niGjv^MqCJ(VD&<9{@z3ojj~X$HO?$6HT!BEZ|JfFU?1PQ}_YRDl#Tp=G`N zWR+F@6KTi>_8`b|5}-rbum7mk8c=;m&g#QfiT4nQIRce~7f`$eusO#Idp#p~(_;6B zIkX)-H+0gN3!bPeYaiY-30V=e{de|WMaVe6xJ!+Ka8=9TCOZX8gea$mFslPUS5y5| zp4MtIp|Bf>}Uvo6i;HgJ>Y&<9FUilNWb$X*1b0mPchz6U+r46SKd^W1EP%jS7O(hqP+_}tjNr}e~jYX`z5ncUTBJRFlFpBCZ6l$b?c@aUZT?tM+cqYz2QJe*)Hw17(9AGm- z5$a1oSnzUZs_)PQ-J^~hVU_m6RDkyr+hE7_)e%&5{mXY~?86fP_?e&$td+7|3E5QC z6;zFHAhiAaj&7_%5IC8LbKN*BAwS#kRcM^EX$jcM?35^ zpE7b#u#EJnUMA;R@>@i=t^p;nJsJy}D3pqQM?M%0#7jP}x1EkFzzq62IYJZh6@}wM zg;7GW^0svd9+tHBv_7c(bFcR&Szj{Rr2NS=!uSkSM^QP2j+&3`!=9UwMxZfGPbZN1 z2H=(D%}CB8GQd+)0lZ0Hn-=2ZN80nGjz_&u=fv=Cib7{V!sUj@u*8?`1lMtCc&PIX zg!;GQ)qdpCAb(85T4#23V*MCwrulvzl!Au3^61Gr>T8&sJbl{5Glv~7e@R^(zwY+; z!RWXQGXxyqpcrCBP2a_x_trPQf!g{0J|{~4)h2X6J5oBQv?=YxeeZlaj$7uc&NR{# z*%aoKy)wy#y5$e>CXM@%a^Dlr3ef`_?u(+w&*t* z!@zCH3I;R>0emMr(W6-xHVmaBX=830=U(nz_#;OnLk6EENucDF#sOA#K?1dIn>PO^ zA&V|H4iKM}KL}{4XQ}`Y%?*AdJ6p9-lGg*b9Mhh_eMi{|3k!reS<1!5g8DX%}ue}vKs#t~`TV5V%mdUVg4MvXU zP3=No#P4>#-7~P%;x`UJ0dHPRreqG#tG+}|>}ltT!F@_fN-9Fgn(^`RY%(-+Yz}(o z(MNeKAVI51NjuG&U8-O&^_CMO#jD4B-|xIownMKvB`doU5DtWOe*|<%pXdLEEa*Ra zk-f!Vk)`;!p~BFl2MpVK_f%?}+TZ}S1SNQsQY8>)L92HQj&WWm`TBLy^nK7Bye1ovd@_8FZAVt z`gACel?wruOh(V^>gbem_TuudIx zR1R#uiUoT?V&TdvYhXZly}{Nk4@9va^jUk6Tj8iO?kTe2F^BpY3>>U$b?+iFyw2f; z$Rk1TFa$hp9HGeU`8+0Mg>fYh8=qOt^wPB*8r!Uf{-O$C^U3AZ9X#wvn_I}ognti0 zdFI;qP??eW?OcS6h#V?!(-4_s%aA)NkOEhOut_7b99@#x5EcM&LAeIGRDA){MbcDW zEenA&6QMOarY^oe+;=c&5KD+ymvVbh35e@S19W0usV5wM=CAZT247Bju7iv3lr`tV z?&)9D-7q13J^nwG-9zTyh?X3I%Z}{~i{7vXNT%yvJFf5`VTxtglm$c`0nkNnPH^NS zI=Z-MKgn=Xj`ZRjk&9>nn?0Ioujq2yokU*q(L2~+9_RBG=+DTd#zqFKbGgI_Be?zK^eI2jw4b=7eES{U=MwBW5Yd22+PZvsxrJ7^;}JcCH^2ryQm&^x z*o#1P{Z=zCNy_bwgkAm2ELu9J=Q`SkBGemce*Go7jRmnao%{5g{Z4XLCBz||#U!AS z2I-qoLqM)To&O4>iBW|(#_hlk%w5EOck&rJIaYr5`6ltTk0w=D5soJk6?h-t)Olz< z^?i;I}nUoFZXutXZEsVO92AgZRK1#f$B*%OjOVNXxLV&O zE7q(l&s_6zcu@%%grL;%Y_;{TRm?WPVc`+2S_#z>})0S4!@|WZ?A!ILFcPn?&>qolk zDNaFl+LzmV&lwMmh#%}KF(@%F@$0|QNU}!dCqbpBMxgU~sL;w3BoCEI3CNnn#@@cH ztz8~90RPwOWUUEZA4!fVIbT71;PAHh)Xu|dH2 zaAm)}-HrH3CS$wJ+zdD|sdKo}72rW$MJxxo7Ue$O3sAHK5A0@573^d5xl|1mj!2)! z96QdzUfQ8gobEQ2WgN(ssUeznbCk-wp08{Z+=L5wbacm%2JhEQ*-=<14ym|t!7k4E`#Q>j^W&4)+ zw-qFZZV$cyxtTI=95Vxy4x*@oTuMqVCTL|dY80u2kG|$&!1GVZZ0pJ!W=Om_s)xBY zmwZ~_Zlw;lessxK7{$2iPEvig9+n=T*Mo z}eAd;Y&MteSquyAr$rmNtmk&L=F}^1t z)aj%aTz6F0xz#1)g!w=Mm*8qDV!OQp?%h4(+wq>`yGzA=46&G#B7Or+i4L!iXYw{1 z<(|sJuD^dY+Ym(+>K6awVD^SE#Ptc4ZzpH}QfOo1?z7Q5bomg_cpI?<_bQ2sCfk55Iz~a*JFOo`~F-D2on4mV|6IYAN?~K^VZyi*Cf6A7SP&C2%kz*`#PGcma z6Yx_N9u20!hb88a^9-|P5qRj?Czc{4##3&56J+bCz?xyB1#5Dr8ThTZ*<<(4fR zj)}W9)TJVAsaYC2abBrQpRSLC5D?+dhf1%PZW(1DvCVe%A0CQ>Fb1RM+>bV^kEWBS zf*M^-)ZHAVEVVs$`rbo|0Tc8KC{!shAyHQ-fe?XP!Yh$Dmhiy&g`FZROS$8z3av7&U=&$X$a z6|@F_1O5|{^$-J>-X^9x*_%e%*(g#Gz-}ZKS4dXM6{^FdG<`Ewa;~lc(Rtv17|Dla^#|r50bTQCo{)u3 zQ5oDAM4S@V4*faD>BQ<{0RZYtf@L%~8AkgNGkZTY8RJ>cw*cnolxVXIoMUu!h8uwq zf_E!R6pZ(UU{VF?j6PK?4cZTQNuFUQSwK=yM@H48%j}PHK$W+c5 zuqoSOF@M96@&b>$dO-OFQ}Hz%vORV~>o>LlAMP&_y|xl*C9 zLr}Lw=&3NzsL}-c1fzK!nADjeBggdH6+-hleAg4NoyB}JI-Q9ViN2mzkS+I+&(_qH zdtYE=(4Z{;K!AWcV?jvg;429QSa{x9_m!i2;6EZAImx?ovsTuSkib3!9hN7{Fs~zS z*sfHAoi}-u*E)f)?`uP%T{H4^$yJPn03==NsV7g!XQy|T`3s5a4t;eDT@2a)cMrg+ zQ8jmwGA80iUCy~LIy6o1v$OR|BA(OW@3vl7Q@SpC|6AE&84&ZO(5H}$5{Zj1%N%>z zBB74O&gCe&?UoWBH_9BgsIsVp)6*4WHE%^3$0t%x^OYn{plzKbu5dM`8}jAYv)RD( z2YEShrOPM99VjmOiEl^1OxtH&pui``Z>Cspv{K&8RF||hIbiT|9#WIVGv+5GA!l_% z_Hm8K%Z~@OrM@F>pQPaSX5^E<^6$=I|AFm-Zn^;!V`Lx%<% ztm?t+Op_(ZH>SM1IB0~HvNq?uX{{-4)q#nyrD6Y)-Kdiav)A z&WkMI6~Ke%)OnRuOYpE%uDrwNhF?b8fTl{MUw{bbY^~?$?(gE`z`Q+rwR#}K!T0j7llr5tQToSS zaDi`#$`XIfs5X>s!T;DD#@1BoX`1`dbtnizVfR3Kn*4O<`~Y0LqqW!2`HoU&qR(Z? zWCW=HK*DGATgI2f%VHS4#ESbK{%FnxRL3c7A}n~GCK6ER!95AH2gBj>3p*iZia`f zy^QcDlID$CPoIt@YD+%tm^Gp|2b`6Q2GJJ`X736z?GtlAl#(5c{vQXOl)9g*z zcebkAtpKPUC!S8l@vE@3<}Bs6K3K1X?pP{?<07mQl$M~RaGz*}`=Y%+h3J6e%CuKP zd$8{52GvGa?`d)B)ja(|Ljk?RNzADEE^Z}D5~pzrP0zA$RC<%8A&Xrxq8MUo5Iron zY$a{~*Z0-YYp#BZdgvK69?k!$a=Ml)cO@%@wB7*y)3MtG8--T1LGwwpk!Bi%F^7iv zOe_Z_zR8`AXvj9CW}Yf4QE|CQa7^gdPfMP}TW+`jN>*Svn2i*2x9LNrz+cJpyM?b-&*u{PT_ zr(mfV6L~8!!NhiKOf4W-SyUK)eh8YSC{&q~eDn=MMTHBL|76Z~T+YfFd_v4*EF1xS zJl|${3KbEx3Kz%z$z6A7d>cmwkLuhb{DH1*C7c{+{jAB4$$YmGjm}`+mOR9X@%0@u zJdPC2kS6~hSLH&I#H{r=Qo>($hg}>ZIqu@&2u&>0AloysqVR1=`baCJN}!_d^2A zuqTnP2`)dRd@5QdG;|u)KNBmPrt`O_7YNC(4Rmxo5q_f|iyK{ibI(Lh?WLHme%Lta z2n@#;y4BFn`3r6Bc;2-6K9ZZzAPICw=&RBYMlhtc`%XpfQ5b?|L@C@8tNu)w6V|Y9!y8EKl5TLigfZgnmM3AtDbDD0)S56{;Lq zOo+S~)ae&bt4!Bypr1X0+kWlX4tO3qQt1f8=oF3MUTg9NG16B`@`9VO&GR{2e1Ux}t?prM2%xMrz0TGq-BlDJe0r zu&713X_ZD0fnQQ!2UI)t+A=;U7&T`kV3GI*%#j+}T?ur1q^L{x4~^7#hiE9E6aYz$ z3fq@{zY1xswI~g3L->@HOqBj~(!h@fthG8Q9gedrGW+1;2sIi${`%AqTaXNzHVZ(5 z51_1j{SAtA1xN)JY0vTBu14CG^Y9l(5wQ-GZw<5&#@9z9t&#rWQ(cu%8DLkz)mY`N zA|B#+NtI(PbNbyHIbF$hlN)VTe5E2hWcSgf>Z*&Jk(FERnxvIWB{9% z{nAw-)nsTOIY5dfGQa|;7qi8jSX}Bx`M&f3O^oOMBWSgo6grEYOk1<89m&uAJhP_s zp6E7k`$qK~bpRCWo28}9N=+w19^SNYuOy@2Rek>`$tK>LLT?QKX`__twUUYIpKA*s z_33vcFOJPda!lL#v0ggPwNlkR&1qE)q>;BKX+NX5x$8HJB{CN`R7d0#pX^Tzk5jot zs`?n8fLFiM;vuzdo>*6d%aIvfIMMW!Nx-VLnwPg#SxZKb@cx1nWr>KnX?^COzU`&5 zcM+>PvM^#LT{4iOUC(3z^pl=)R92NvWCfch_>!ASh+Pz(Q6mfU_bUm66j`o_)H=)LG1$-FKaXz9Ond;RN)f z#$QLte3zM}S$f*RY7o3TDtsby7XnPt}`#pw9RBJ+O)i%)7qwVCRh{X#y3INo05L0UHmS zd=qG$5tuD2P#ei*d)j-Kxz=A17OCbYsxPVAk@}HfLzZuEiVkw1IprcUoWx4YsZyw0~E}ks>-m z&<^{xO8-dKp&|HysltB1_@aewYBveo7%pz!)FhX1LEzis26gD=v|HB~x>Zz7uSJI{ zHaO$&ggUQ0_iJ_hUv-^%P*e97$02SHp-@^`1VOE>3s%%BWDtpnbwL_IFd!nEP!L%n zDq)jF6nwsl(4obJomRjEgAz$dLWF<{mQvg>KnS2w5W*@#LW9zCuAO;*yx02&lbM?# z_x`qf&iQ_SpBrAU+aai<+cnHj9Q9mRaKgXs6w{@k=}76EZ57>`T~l)tDQ2TaqZ3Te z>sM~?Of3PNed|`*fqzNjk2I>wer5gZg+OnV5Z!7C%=)Et2tXi(1$w+s$F-96ZvQ?V)(_ z-4|YZos4f_@a0Bw#sLiO{%|nTOE_dLP%)Vm8^yc(zg;1uz-hyBmc_0ps^#_65<_K0>Wm&GpdJLbW&r9phQrYwuvQR){sOz^>nSR+9$}0a zearA#q~g0=zv~m~(E%@Ss!zDW4WZS3buwMc(j+B;Ay?g3h@LYz1Hvt%Xe;7t~cE$*ls); zxY>;3S|TS%(7EPWUUT=RFBJQ?u~a5%sb`D9CD|&o&lycV&>Zm^Ka z8o1<)tK8}^U1XkB9_HLju2Wy{4_(AKACWguJ<<_eP=ydlLqkKHdm(&`S<{F(N_RET zuDSbD7mFIdlnUxvOOtvfUeZ4sn#iPr3o!xKQulPNop)*iV4B&U@wGclt9n@iuk5KG z3l~ks)zG=C#uPWF69<$gYsP5NZ?d(vVzSk~eSujZCd^U^`l%lgOUv?WHF8X`34llK zS?4~@ixnsuP9eLNqV8zzBska54;l@)P1*1KK(i9m6-L{4C`XF$(KgA*I#hM6P4iCr zQzK8S(c1&hE8>+gZqc&%5q{%7%5)&km9jyY((Um6G6+|id_TN3vW1+$o7d>HUi9n( z6uaUirlRZ-o}&G@vL~`KLLyB|XJ~de_$0lLQbW`>7*yeI6dfghZL$c`DFx2M4M$*B zY#WAkzY>)L!T|$n*)MkcCl}~AEbqZKMN(>f28+2qr==6=jsy!Jhj?mO7DeP^m5zX> zy7!Q?S>fB z`-{2)j;#mzjXW&vud4{ljNVo=olB|EvZ^t5YmKR?zz;xoX zjWV_jt`?$Ja4-vuP~ahfPDTY+BVd<&W=$p^4QxNT?ThqIHXt3#eg@X290o{B?dZx7 ztuGz`o7_oS9N6MoiiHT=03O2N%FJmXeuQHHf5pZGI$aOP-!jr>TV@J{T3mS5&7kj% zdKQA=N+(PHJrIK2J=T{(Po3X3BfbkI5TS)jOFl~}hGvhnMSZtAGYOh- zh;X66C2GXa!7J6je%yHzi(Ovc4giucM0Bu*w#c=B|tW19Y&0;wBp-%?Uxdtrz&=!yS21*OK@SIgLz#SwQ z@5Fg!y_Q7NFJX(XRc~+e1A(m6bd?Kd9xLv5o?Td;#3#N*M8UB9Fxe}rp-q*(Fw7a) z+qSPVcXTO!b+meB<{{1xdXfOY3+hb_515L{8QAwR-V4YtE` zL95I5Rsy|0CoeDRMK20P&uLX15bpP-Fn62B-lHzmpNxEg#w&7PvEXqK2OhC+m3NhP z!kM?tgxinxfKWffs<&`WGWLHST(*`=5+QUoy;%jJ{p8>bXI%oMsMSxs%5oO;mu6BmODxQ2j-|`q2^4G& z!Hbctx#OmM(|WpZQ$%jfij1vK5DTNs-I;Y`31B@&rjL13uNA3#fVs>7i=J>8i)B1O zj*ErRV;aeNI3|qwI6c@eoxN!u9v;J}xM{cId^XC>gSCy_%(Rdmpq6D0K?$kMEb^W{ z`_EyBR!$Z98~+-9`QX<<3zi&D)*e3axJ<)Ye4RN)nSSUjSms8H^^Ylabj2bqDr6x@ z?jw>>`SKGoSela&*a_u}Cn$H4`|=*J-DvqtT?30_4%+hwWJ=D}gR``s3kA=at}bV~ zuoCC6$9rkWXF5Go5FsSXz)1@?0f+GlTyXKIGsfvd91s45H;2cDUAS`Kk-U+BQsBpn z`Z#Fu@VkiR0pbYw$gqIV|Nmd^*);ZaR^|Tj%TNRFiXRnC%|`HF8)9Nj761K%$sz?* zT{yGBfC^ih^uK?4+Gq4t|8UCq{Xd>+pSk)z>3CjSDA$+s8D4|q&VApq9sE-M0^)IW AtpET3 literal 0 HcmV?d00001 diff --git a/peripherals/morse/imagens/Morse_code_numbers.png b/peripherals/morse/imagens/Morse_code_numbers.png new file mode 100644 index 0000000000000000000000000000000000000000..442387bbed97cacd4c7f6b79897a15ff94ebeaa0 GIT binary patch literal 57497 zcmdqJhf|YX^zTa*q*_pVQIrm$3DQB7-V_B9Y0^YWq#H=60xG?ObZH{e5u_y)r56bb zgcgxN2!tL&4V)+M@7z0g?muv54l@i%cu1bT_u6Z(^3JMBleZBjS zC@841C@3gj(O&>wfk%qz06!>wAL-tss2=6P0Z(XLwT!hWDC!a!PaSB1=NDh=S@}{> zu=J3>Df_^mohT^&O6lL%di=%~KTn$_-{!3bHII{#`!Q@JBlq8z3f#qIB`Z5S8xDpQ*aG8G3y|!@q^&4@C13uPHKN~4 z`hDoxUcoH!0C7&jCx)Ins`M&GI))zh@Dtgg8Cz0p3^_&r&Bom%t-`}{4U(InJ)0SW z#5p$f%#|3)e_FT`j6PYw>uMZ7Tmpye<@BDD(C72?_lE-68KOpNmndDf;^5m+pyB+T zIM?C)?YF|i`GgYTk%gntK!tKk@+9wvZCw*M+YCgwcl`t2XB_3ox0i*g^75}lU8{NX z6SV`}7wTbR&~KD?f^ROWE)j-DiJC$NQT{c-^QaAQ=m{#d;1sjcY$Kc(l2jNma3P6&)VNMMu2+^hu?>ZH5>`Rs z^>meyc)Rm`yBv`Ej~gs9DwrFrN-F(YEXX(8k^InrRc-#DfbTuLUIBz+epmo9HQ$d| z8>8kyoG3VJEbS>c5d3&io-wLBoI8~+YmdipYxQoi-TCoU!(QGNbR?OVP)O%VDddW+ zZY3MlEL}kk_i^X*!N87nn}*QygU|wAygl9Qc2|U6$WzTD(D^P%@~`UYA}czin8Lgh z2c5Fncx9Ob1D5Q(TzBP~{dERlA9NaBQgX^=5&pq>M4D`de^ZNC{FUU}w&4l3PV5Ja z+TrGpt&i^Sx4&3+e2IUy+d`$A*h5kuc3_!+)=?n!%EFKq(zxk|2|j7p?Pq4d1p<3y z^U^uq*`Ge3jJmhj88bjN>>&M~$2vEsQ?{%0;o$mntn!E!1k)Yf5((dl2R|3gBHQBS zL+g;K&$pp?NB*3=O}}#YuHwFbx_7%TyXdO#CR^J>ehBi_jr7P1?*W#%j7OZGAZBCL zft55@$XB>{pEgrhWoCQ^oH#LpCZw2cozwxAx7Zo~jV9}&NW2cQF>xa3cQN0e&0Hl3 z>(TfIIbpek+W+Q}IyATZ9{PB)*~L|9$m%0v|Ij+A|D1tCP3?Hl5{?}8g@=d#ZAOqb z@Ro?8 z{Enb9GISFet9@{CIg8u1iS~Gx)GPXkJv?_syr9tJ0@7Uf}>%)7Hg21uK{1hYXddf zOdUC;ilEqbU|da!>YXPTVGSjfKNTi_pq{5vp-|wCiq-C1(Ih6ekW60>6gVQ~)88W$ z_$SzeDc9ed_-Ics`*;mVv&5b5rKXYAk3HH3cVri?@FihMS{#m*q&Wa}P}@Q~Z`Z7Gfm` zV(9pHt>$H)ujg)W_Zjt>|GM*9r!om@?k+-ymz?_Bn+}{jcy$oT7=TX z^O&tXJN6X+Oi<~opMOswmvH5uX5{dbdQkcz&$dQlXtWz%=jS!R-@5#*=`=5y$R{>( zhYO&Gbv5>~$2_pxuBT6-oGS=Nhwc5EP*}iX zac`YCY?sm~tuw+2Zd+aEmi0lEfn)k(S}J@@ z63;?IR1l`2Dyz$eLF2l9xVtQN&?e9^jg0vy?Np3@aGXjwV1qn~M`{uJd%kz?ut;jT zP#K*kN6u7Gq#_-kPX0S3lxwI3&n@vyWy}irPDTnQZYYgGMp3Y>U^Hso_MjD|h&URF z*GXk~@f~Tfd>t66Ed~EiP{(e1jYmiZ>u7w(xM7I<*Q*hJX1MRtdG+ieszoU-`S&kM zgMCtSPR(m4$|3d-{G`jwN$=V1j6s*S$!-PQ`Ww6!You)kCa=1yV(WPP%oX#pg5}_y zvLbzJ1_su(VJ5R6&ZVz0k0);y=Mzms}mn` zD{ngEm+=SV$*fdRoT1&TtM8*GDibf1-#$!IWEhG@BBUg zVJDr-SO~FUwmgLY{IIXtR4>R+Jwu2u>oPBaH*|HcKf=EN`&i@H*qT%X7n$Hh+A&B- zB!yyC&@IN`Uzz*3afGKG-E8=}`FX^*gLbT1gS+kC#|B`aZ;W*)xgY~E$HRm?svAuK zWpa6L0nKgmRr@)~AXzWV(dV;6+2>RTihzgo+}1PWw8F{!-V#Ke*q=!Swiu>bp4@1F z48mr<^L`KcOh@_2e%iHR47Tap+$xmm?|N0dj@ENJw6FgRd`<*6FxnkkZsS3F z$!vMvx%mJ>M2J;->vfEZd=$qw)ycYqKMXZHgvQ@dgK;U3P!SXU9S=+fxZbsa+&jF# z$4SLrkdt^EE{0U^&FW2wUdr{&TYuib3Y_$kHtD?-Ol)3B}yfx6ZlY1pZ5p2!^* zu_D1rrg=eigPQNHtOdCDW7D8sHpZ!R?AV9{jI!4eD)0gq>~X; z`{`6{knvbsKW%-s=yiJOVCusz){tMDE|>~gnpBx4n$!xj*B_|q>*FF&zF6* zL}_x!5Ka>?_!6ye;*-)OpBZExn(WU2Nw?dU;yC*iqb{#P!kMC-QZ-ci<$I>@<8R-x ztH;A<7i9&@H^gM=T1Ew361T4kq$D!=<#onAPac?re1;L6KRL?s zFLCs}JdU>wR_bw1+QV7o?51^@KRjZT8Zc0h-B&h(JSwvdm_nJlOg&2(j=6dhy!*^z za^r<0<;o@41Cg&Pag4Ux5ym&t*h-DB*%Ob739?6AR6MW!)w4B*M#uP#D^A zSDh_v*Aa(Wcde15QHR7($yT#uQb#RV+s3*n!@3QWB}m^b>zp-G#8&7E>hvx!M1YM4+Dge@?Pkx`>w(-`ro%8EIkT8JrSC!O^`%9u9at zt37z6=AR{>3Hb;}Qv?0;A)!ClVeZ)|I23p&ykFd#8cQNPtuPCYCUbk=$nC|Ni4Yu0gCn#N*Cb_|Uw>>5= zt<=@bnJJ4U$sEFBt>->57YS?Nhg9D#Rd@t?!$~Loy6QfCZdRA`E@*E#Z*i-nq%H-` z&Mr*CkBcs!%wbK;Y$vzn7K214vO)&Vb~6#}@pG>e?phE3YbllgY&+&W>2qyOxAEIjqknykf`lef6@pL;Ma2B8 zsSx2e_QDq>4wZ}V9eLi@Ep)n=4(0P1b{%#vuDsWp5huv46qC2}YqPviR#-ETI=iox zYQ0KY0-;zjAg1R0v{Yk_Q$vck{+MPj^b8y7_50_ipv1TemB%KPt@K}CFrL!GoM?QF z%5K;cC#2|jm?={UfJ?Ot0x?#PL(KRU+ZUO0Y_?0CN^<%y+w7lQFKy~NvvJSNs-NV1=)LG@5r^@(FbGd@RnDwEq#n(w(AXq|aGs|FX z2w;H+=cz^xtmh*#hNOyv5iUGi8Y>p1L3~)_D0@88d%li1tL*UTx=c?<_mA2wb*DA zn_qeIF|t+Na2}_|KQV)e=T_G-#-tX?rn?9&wjiB-&)I_~vGtkh%*aSm25Ik;$1d;8 z=*`#p{`XPUe#~M?=C+PUuIQV7@Z%3GUO^K%O`_hF{YpO!ZFf@?=p>{3RtAOmaZnGPNelcjq>P)`)K$6eTqzjAF=RkSj3m0(R5kJ z7iz00m-3oz4NG zpB~kFaT}aLL-72%t*3t+R{fyG)1*vPCc|HjPSQN0QFrR4qgNw1pdsfQ#bAHJUzbXS zR^D5kw^Xe93zh}nod%If);0IXo&^N6U zdDW8CG?jxNeS+ldItFxSLUgCJIWv-NLd#D*Y@X%6=&zv~zDRA9KmqcvF#M*|eR$=i zL=W7j=k6C8X{5%8n~B`Xnk8apu-Qr*cW^*iwrdz{o4+m~_*|&-_tC9R9z!s18oLtN z$j(x2d-%>6rESU+ew+HWNqvzUt>^E`ow|6G2P{BUu@LrYt2Qy9g`rf7okYK42JePb zpY<~;;|+sXVFK3DKDYx~sn_8O?6ZRYXYbJR@jV&f?b(;BWL+U6!>x;=XEvXxY^v zXRkuPV$kwIjub{F%~_mSU(Z>;W;p%GYp}TO38qQnAyPN8)J_@w(2 zUOdqDwz~*ZVyX$}iR_qmZk^J@@t;pa7NyY}DLdJi)aa&hRlnzT+;~akMKs zSC%hUE9eBQ!idKTw(p2_4l%NMEX1cvo*xXDhSZnJO`4Q0C*cb|mBL2$rQj2aTp3@I_|uO9&{kpZD1QLx|_+nkV~r z)8yFfxg<+#R#`K{xw#9%(gOG&6LT}qZXXLj)$FGa>&@Xq*yy-?fy8KW9&ty-acGveXt4eT<4et&Dtu5-g} znH>AYv%7RxU24-j1Y(Ohuff&2Oi%HI0ma0YUgn|@wq1s`q!KF(l3p-I(gnJggE^$nHTbe^hUo1MrXvOFC1r^$vhqO=AbL+H`I;l&_R7~ z7ab?+$hT~0R|^OR@W$n~xb{)~Hj2vl@1m(8pxLarJtFwQZtASqZ9r0@vxck0!;UYv z+_@-8{g>tb@~wip!K^;GPhWo_XJIsN&(hlNeBQh*CZhj6T7YC(ou@ErLb$&F%~bUelSpQ40QXM-hmlj1ji=rFKNgc?`ETZg045 zWVJOPKNljHb-do+^0~dow`ycVE)ALRykle^!sAiV_1$`Xyx@TpI9Tm$R24MqMF6t8;=?hd0+MsT>XxaodY_|pV*G+ z*=k94D2K-7I0PK8+nu-O^;t%1Tfc)jA*#lOw8G^}+4-eNWWnz|tv5|CYyeyDyy0qd zc6m8jR?OG--5djy0=7#H#gZk&__LUNX&BuMLc+JoZ(vQ)=8(zJ&NVh-xbVFGLYcfJ zPOgu`D&}z}#<)O>5dyPEF4f6kRmypbv$?UWB$UgygfT9Q{2jz462-k z8x4wxG%=+$3TC!CvL~zX3^rd&&tsYM&>Pajm8 zndV;M;C#!_SXiO^NSfzzF5M_y0SWKA1)><-y1|>1;`icKWPT7NxNKYnI~oZ075C*@ zFfnUc2C5ElU+s*dIPF71hC6N6nlSF`ryd$N>+yN`?R)L3n!O`bMns3>-q$p~HfbSx z{8UC@+5_3^L^UT#?Y#KpoBLxXRc{t6g)2@Di>9>`b5lu2o+#*T(M{Qa*k z=j#+lhn3TfP?Bv7m}$A_{Fhhz1GrI`=q2HXD!B2vwyj%K&zTEn8SKQfWYD-;RrNvm z0}NAcPEf->Wdfk5$+|J?Z<6I|#;O}?tPvBHcBHkFw#8&(`{@ZO=i%$Jjl+@atCLGE zO^7(JB9J-0n?l=8mT+}T#LzameYOr)=lFQr-4+lNo11aJo{#1gwoBYE2;x-O4&m>6brhS~fp=Ch zursOMnBrg_xce5CAunsQ_@^eszs=T)WEWMDI&uhT>^{T~mhGRGU7gJG{$)XNukSu) z{QHdG^eDx0mv{0{Kz1W3gzREvET#NyrVqB~w?djFqi#}M71?oBc7Q7uuo+noZjE%B z_`uoU9X`P=uZ(~}-FNxgJ|f{Ij+i?eAB`JaH%wKYrNMwxYbMTLi`0ZqZE8!;5BoYA zYg;A$>b|~)Jl@g5`BFA^CZul4;m&I-yHk{m+=`4PC z>jo=CFVzwOe-hS0Z5t3FzT?wQ;s$gh=YK-C zE&$Ga!Y2N7_~IuI<{WAsi*5^iyjL94n9Z~&P-jwjJVq%=71S@tR{JC%T9cSpC=l6R zk?BBvkn>z`vfri6JPo9@%x!fO%fS;UWh0g&f0{We#xp3VgX2z5#-R+<3(yt*G{gx-(%^X168{eF&~`1x(^0O>(_N)HZ>l;*uca8&1y6RQjDnlkIJJpQ(B0;B z%TW=v^Qrk1SRbMLC4Vs6MCO0o98h!+Z>a+APA2o1z_Zkfz%>xi@3~F|-qXv6 z6dGcEzV^6xi)zu0CwdaTC$uvJQ$q{FwENzj79>~u7M#pAkR?d&fIgNNjMg`%ve0e| zEu)$I7`vnUdu+jNA7|ht=>b;`^JFFNO@Rg-xcy0=oY$6pHkiF$N5%)ypTJ$L$~1PZ z`7!!4+wR&!H$gNPlh`3l{7016s+L3=#1g)NBoe8SgU zd(OrCO~YJs`p3=Hp)0M|5#$=DNkDz-9jlYOFWZ7xP;V91N>yb6s~MnZ7-LksE`zuc zJ;99>i_^OGiy$hLn2R(!-uaK&z zaBT7~DNARVj&;N7^0Hd|P9K-iA8ka>a&Tv9nwRar=z+6kHaYkcp8UEQC->m$OZ09=b0ah+UNASN z&eywKI^!T|d@;!pTbQeH;4;QFb8O0aq}rK`hYc z=vMO8aWSu-e5zA-;S{2n10zUh`U=l4wDgL6Uj87_3woIxL*XrP4)L=&4sf=ZJaqwO zn7z&4%k$gnLi8M|5w{xED1h0ENd5 z070Yco$oFNa9@2bE8u6nWd||H4#4i(#le*cs67|*vhN71H5=3*_*O^hiyw5+X7z*_ z^IwGtNv}l;BKAH{g5ADHZ*INmQ}K$^!)Zu}z z%$y1>&QE?)fV)6frT4GWYyjNvTr})-HZuiRPyuR24GvqoEX$^6wq96!zx;D0el=42 z$^6#Bu3l}^s^BiftoL_nX~$Q*R{r#T%wvR8?QL^RZ`#nE#NGIe zOFky(-9)%j?PRk7AJZ60E@kj5aVd#}Q46sIJq#9r|No3_ju;Fys(!5Rss_Fw{S1r)^8E zmeJVoCH6Eqq5qG@Cstnb=v5?ms@84)uX0x#sNm;WW-^$sC^U;8yjKSI+WotziHp((pQ4S{kf<-3Rm+8g#I4<`H z_a%v0mR739$5OtM<{AJ39f~%FC$?}z2C3pUd{W(|7QJ&iv7Fhx+#siUxnA| zA2{KCR@l7)3h8l<^pbVVZrE zER*hs_)=AQX<7s{+mys5TYZcW^L@LQe2p?wcMc;^e5R`WpkDaWZ7{!milSiE_&QsX zt)D>WZJBpLNHnRD)6Q-bMhnXhX!D5e|5ecNrv+d% zD50sKfmM$qD{J*J<|Y$KFz=o-2f?#11bg%>o0VZZaVH)LsJ!4KQ;-K<{6E`a*Q#NM z#f0?d9O~?izUh@~D!gZxre3!diJv%kDkxkizidbN#Qsenf@zJ_qi*WFzTZDt5oeW) zo|+r}X55*jo*L)$%48NG$iGLP`+mwtKy{7Uc428Ysyb?%tFWO6f!jZRk%UxCM z1J5SCG}w`Ir+w7FdV*Xryp}}>60#%1P90SI`giv$Ogk<+35iJWiu5<8T|}gtBo<(> zRJ@bq!twRzs~A~iSp)I=lyO_8XgU7v!R=p^9luyRxbT{!ym6y~&PH{&j1c5OVTc~0 z1g=W_hEMyZQ&s8qJ9c!Ue1EQb^JM+uN6ej%u6}0r_@7n*nmSFICiN$hZydp7)pfQ! z38pmMcYFFF|JVIpFS?FgWI{eISZ8tz;D7Ew;$3tQIP8FA=kGB)z(IR}_}@QFQ>>5H z&hBx(Y?Yb-HOnunehMEj&Z)Qt=)8;526>(rK6HTOag$HTFb)X{dC^0 z8+PLMLbC{ENF<$`JBCZf=nOOfJZV zKp6(7KUG-W31@{CjucF=7OD3$cUl7s%k>`<-iakscWTA?8V9m(`s=8k4&8%0{ zDt79_zOnr$9FEIdQ&Wy&EBCHYF+7eh%!-wI_m|uM^&S)x&b#NgsL_FoLH21boH(BB|J%hXxI@x?7Uu#{_c?z!!|T2nK(wWPkn(+1yNp>3H&$T0EARnq`JsDtui zx8Ku}MBEq(-!3XMeDzN#KZSE>;@N54(rj1j1ir=msRCj?$(s-0>KZN5+y1`hrE~$% zI-cpSt+J(qezPQ;Gezv9llnOON0)G(Pkgb5j$LI{loVR!fZ*Xv6Yl|Ex7m6{>8w#+ z%__!lqK+&d(@w}#<9Sn8Oeuuz;mmg5UDefSrA-s6pIN{NDZ2T-ox2Qxb@v>Ue;fp{ zWwl^dN;*gz;DULaN#HB3C1BlW6uyXlCam(%=1sCRO%wmiOkzeDwU9g09jsC(6b%s{ zfVlv;Jf8XX!{~*G{1$7QKCkBNs^V`KA`GSMHWULMA$%Q~be=gUU-yW&H{M>-G zH)3da3HWSFDkGlYua6Qc07z*vW`XV?L@6Zj`vy$@mcT}`Uo;tW^1>hT4BsFVm9>gp zx(Af2s%aV^HU{m-{~Lm4SC9Vp zp98NU{G9Wp^68ODmgas(qE83pSOnLQb05H!;$niwM=ow%L8=ycQGE4Q} z<;3Zx7&W|u>-llbxv~ntUZ|_AYOyM-Ad?g1RRADS?tgg8|H#WBt5MmuA)8Iv6W|k+ zPloKykP-T`aUK>)WN7U??$GcJP-mDr#TNk)qW*y80jBxLuWuAUM2F$~Rp4)@|AS#B z+P4M+L@Ei50{k)(1#FYn6=<9V-@*4jPs-cEPW*fuFLm9!N(<`)?fcY;b*|*V%vD$o zr|(K;Kot3k4cq<$U^)8EPsh&XH3sZLPM7$>X9RmXm1!qT&(V75QD&%{`t#${HqAYH z0C&X4xvDPV5oha&K}BG%x=|Ym#sOju*mVqu7-sVJ$fV`Un=5y@I@rvVd##SftT<7_ zN}F%x1_!*ooyd&QtmEGBAEu%AWFqc7^763irx0NI5sT*KE;^vnv9Z1Nd>sJ6!sd|v z(rLUrYXLmYcNzV#Y|SxZ6bQPArxCuZ<$IUv{%BubwdTXWZvg2fun8SlJCI0d!~LRY4aJVVYrTvcZAZ2)nglVm*|`0JoqjWG%`Z4ox` z-KTu*fLsDYagM%@R=CLtc(tQY)R1k{$jHv&DVYrEeQx~s64}1T)B#RqY!td8rx@c8 zke=Uc#PycH(|qAS>eqetI-5eSILjHcu1!#J0?4n~zozqz#i9nY&Pb)RokR^4fPngT z`FoK5MC#{A9*jT(xm+)~bw~sXOCcJjtS9j1FY%v$kM{{Wy(xX|`3!?aO~`&_v+nja`%R`*%WX8>e(Bb| z#jx4KaxD~r3$X*V*y^7<}v|`rHqD=odR{VSECngdh}^wL94mIVyZNl=dC^7xD3&e-lJauprK$DQ!ek^ zw)eKgLh#$zTGL`vS6)57fnX+*H}B)M1b9QJuoK`ADg`(0ditjmKgw?d_=(LPT}G;0 zO7=C)!)3CQ2UFSw2k^5u+T*L7%P!xJuMwet7I$ z0m!@FoRsgggYoM9_X3QLqK#03!C9mhFB^`*%T_)8$RX)=q2aT$Ip7=!lzwu8pE&vt zX#FW_Qn&MTjv@@5ao=ED<_SD%J|>9g_>^FMgg#(Y%7B-+j-o{g_3uS{cQ?o0LmjbI z)Uc4AQ_|LWL4);fb%ZBv*6R58zMGkVC!1Y$w%y!0#E>)g;9O(@KTGdDKT_&f zY?tzh2F|%HhcCozzyIjMS!&@u2c=(UvWkdqM312Kz_k({)J zA5K;j5h&ZufmpTNM9qn3Sv?QytI}Q|=aq$y@s$p0f2C__^x_Ph|@8wsFA{W_FQ;4&D3x1ik8)X^(!gbkrC57I+P7|!+ zHI{d>?7hjYzm;;h!66;{u}6-3ppmw7psI+38bDF-=jXGcvnDv_H7G$p{Eo@~Ma7l@ z<7bF6bS)8wGYvf^o`0Nbcd;(}rFTF!$bgYr)11C1(itpep=W0Y2#w$#?gi<#5l^oP zC$)*}(ceu)>YD)LZxF0y#lJA5%xcYxW@zD?D1W6Q@J{{@hTIZ>2a0aciOOlMP@@I1 z7APr&1cxqhqhC_`BoS6{!HTs$Vee(y1L1pl4XL@9Til6tiBODSGsT1}zi209(So*2 zAW$noWAUQtH2MmM74cm-c5Ys?0MvH^5ZxXDWZ)>i>0{=76O-xCddBY^{%-E_7D>=b z>-kqifY|wzel25s{N`&HviV{UN5OoZ2he8R)#K2Am!R}Z z04V!U=XJ}}hTju{^IFTb-s-N38zXfJ-z8E;lP+i*`M1r^Z%$fV`DIrU>RVitI9iyZ zCD6CgHuAvDc3kP-Kp*kQkqnI*-pGU?^CoF2ZX=(7#<%ok<= zTz7r;EXl7&6f@7#bj@C8mAzy2!N!mZlVRsQN-D9@nBC*O;Dqo;cg6c?Q>ggU6ZzWA ziR6U}*z}^!@-AD5Ly#3C9#1O$;pe|;eiD))6);CtGGq`8G+WGZ*@M}>r{}M99aWce zJ9VB#Ze96Lo0(wh?|w7Dt27SoJ54Co+%4EmEB7ckBaAHiiS0hMm^?eZF%?yUUN4*4 zzoeuw1t_V)(!+?%;C!YQmxUdF0iXT)jYg&@w@WHZin}74;{kzv_?JgqEmz1j3Y>Biw^Xn3)P0)7}T;nW^m+!SVtQg?;@6>bB@#2mWX4a(Kh@ z4E3KDmt3WP(iag*MH6jD$?~n?*k@c1AF);esT)n`OTKynA5~bs-1f9*_G&-*P%*Z} zr34zSI47@5yN$;Pe*|JL-e(m0`2<8V$Y(uqzXuZ!-}zmx?jO7(Me;#zI{%#SH1L(~ zVGE-aYu6Q8kivvPL+2veAQEj7kE>hM*ed>sPFjQ=0TBc^^l0mr>@82PA7tp?!lmm4 zm_A1)(2z+R^*nUL-L8$(s`g7bMs;dmu+Q%ZH~_%`0HxiobTLqia94gIDeg00aWG>3 z4l2cRUg(X@1D!Z13GUx8rC$&zty<#>kie{fC$K z-0t6=9jOtOpMfd6hx8zQZJUPgsMug(>g!H+O^^+#)kFuvICwAEK&Pn@fwyCWaa9B( zXx;fF*NX|37x_qhL{5j}I|?_yJ1Oeifh`V%rMCA*d~?R+(ZT8|*#ZnKWZ&YjD-ZcM z-E3CagYoA;6(U4iN;&)qfw84`-_1H=XxP0>j#?eFt)e_<5U@LgJOOa+KH|HM=84so zOzuF2RGKWHX~QwcC+H*irLiGaf*N;NYEqn8 z8F&R2hC?h3mb1v-4=ok9skpQ10M9AXU^O3IJoA?g$}69(+fz^peRr0-A$x>0A1gBI z+CI*E0Wt+ShMG8ReX0@O?>%qT`sSZHhS*_;hL`KD%w&T%Pm7F+ZdF%->2w)vdUAB^ z==Z5c&n~0TGpm;kzq~#HOsH~&>E5e&)!h@T5VL|((_j3x@8M(gd1DqH`!|ji5H?_z zqLysGlrvEXNO0>C^5`chWW1~*SqpwF;xouUF~*F`>O}g#U_7BI9}KGY&8v)Gn9$`1 zf4vE=*5?iXyGthVd;7P|s;iuY8`d8QUkGD5JH@+dSkL}$bFSN7&}tA80at5*orW_CoTNFp!ibR>Fe$d@aFc-OxTT-=0r6{b|tra;b*Ose_05S z`=Gt@z7j!&f42^43#bD|v;LdfWdAIzau)Pb3ieWud-U-@lLnZPxzaqyZl@k)qUpygUTXx{3G@FDt9Qzb>l_2k^j#5sY}DG5XJe zucMJ&rq7J4djX_`QzSOQB3aS@DsQmt>t%7fmSrvVV=cshNpzJ{;@JsDwH(3`kzB6~L{Gs4|FtsikpY7aK~m)x-)EH;w&Rjc)>R(y?z@ z;g)_d8!n+S)9tga85*H;S;ACAQXWOT6g+%Wo_uDCGe&8iIo`z);rMv%7WB6Ru2 zv;luJ6RO42j&FcmuM?>;`G20BS5-vv_haX%*`4e4Ks+@vq|$`^7R+>A^9 zaW~EQBOuQ~p8ZX|9I-0>oTgdb<}MtQqi=aC{Mo8`aXUva>+}t!-!L3)|b~uSj}hmfZRBDc4Nfn5(l^QaN&S7t2%m% zsrD9H8r!N6A`)JTKE13W%b(iu^}}?9ylT+|IO{mwUO_N9*;R!gD+NhKe<9{6+zdN- zVd5vBfxU_MUpUVwJJ3GX`eM8J#L4bjDs7hIH2x_o*6c#7cE`-0>dCaRmm(6y!7E}w zI;k=J@^5U3+BJ+DA!R3lGYVFLd62c9CdX`5{7X|J-CkbAMAdozOZ#Y4u6y;Xm9dh= z+vwU%w@Qi{gbpNc=zYjvYW|l;DS_fjzN*+cU?>ZAGaPweBP)bQSAj(C@bgz<=|izp zZbtBJpM;`>z&MhUdD8F-<%4RO3kx?JGelJ$ne121m+)4_4sK{O@(sle#6)!@{!Ztf zFtk~FG!j^=5zwDMJTM^?w^9OQ0<1RlS0rDf#;l;-dGITPOxSuPIidb0TXvpJr{1$# zDiE0Z60hYN@LCWpjJS&G zeby#F^GF@H>T&v-G+~xGQm3TPAx4nsTLnv-t2lu@_u-#3{v3{*&RfYa6!-;E`rJQ- zy6kEYQ6!tki8<&fslzH^u=@yR6JM%Ed!o9U(XOK%T_4}2w@wxwS6==}SHK+qo^90| zC1(>GJHSCU*1bTdF}zR(#C9*yc(Y(dSuesP8=@itro!*T`=*n66AisQySY)*+$Smu z@_dJ8XA|9Wp)6bS6WqRRZx9KEwQWvp9n=gu1Rb01xn@>TE4~8?SArkCVjypU7#7;6 z7kIRxjANj%S&!le6-z`eG(A!J1MM|n)0n6L~=oeE5&NG&Zaoa zzTM~PetGI>+({$yY{np$hbMaH17!FS` zo0}fY5kOElA9Aha0qroEp3YHe;AB}M7FjX=Q@!SN!C--B%MDTQ+l1V;rYrGwhpx%; zn%or%1PS8PDH<{>%N z&Xg7tqP^>~meM%DhEV!_6d+RNo4=P^#sAI|RB4S}7mSw(PJEkyb23oU_KfVX-Tz`a z|L5-&po6@`FMO6P_Y(gx2^R5u=wk}BzS4hMFTV-MigzwBeqjXYJf}IQA8;+nZuPv9 z+noerJLGfHuH>WMv*(E~k%cD&Z*hn(RByk?R@TRD9U4Ej>WeEz$ns!~QBbt(cI}}q_us;oh&)4d zAH~)0^iwBe)`>@b`w<<_(@bj*D09rBcq>ZtcM@*%O&$BXC^%n4mO^H7~vx z2uK_9I1JKBr_wBuY;TaaMuAHC*(4Y5*3cx2QBI<`I>B-hpme7cBn`lTea=ChPn9;% zVx74kF|m9;ds{Zq&g-4AS{E4W`7qK2H}V=p@=T>*?U#)x%h(52-5-julFC__jxjw_ z`iM-h7_tVAmW^}thtvDSo4RrtrAl%0UC8c$TNJsM8oI3i;d*I+;6Yg?hdu#h+bhqt zqID)f$2iG5T_5*Lp@ylCIOu;)%W4{E03FD8f&nv|<3qiXSSaV+Ea_f2T~TaqyB)3% zrk`~Hb8zXdic@85adJyeGm#)*fZl(`7cs0}P{g!&6H7?Q%aw8B|EKs*3|)83*l_s#S|Jzj7g@96;5HJ24h57N?hg>QnG$WQVBT8Mk;O(u0r?zT&4fJ`2 zy}!(rWk;=lI`XY5QwphBe=(@dP@Q}bDG$K?;IYbss!o^A-B^g|d*;gK={+;oN?ErS zt4nWFTQY;KP|cCN7p3=_vLI3Ft{CI`;hp!g6L)E{|Le6C^k)8}CrlVbF-KjTP5^oW zz#R9%OqKPDAK;X)Fd4FXR_v0lq4_GeA+O_Lwy-m`V5{DQn8D3l_@>cxHHB|beUvk` z(casuw+N%L!yWPab6zba4=GnDxxFx;fVx;uqbL80kDP|`4!_@5?ym#TO@9GOp|Sm&!+pSEJK)C8`GHjd(SD&r43vpeL@W6x|RT#LemY4LKbN!Q%_ z)I7YCaX_Gahs@W(B8Rt}Gtth2GX*EhpwJeaJd11FEfDJ8k5lX$Pvb_R8fY;0I|=Q( z859$;50I%A{SIGacYR_uWk#k26Hm8>y7-K#S0`}m?!0MqHZS?9hKr1nuTl@OT_h@T zOzG>AUMF?n&CP8ifQ+sqE~_!v zBB3B5(k&$tBh3H(b5+l(mw1q4sWvv{H~H6hird54eB zSQAJ%z7$$h4^3px(}7Uz%|gGdoL?&O)BLyJGoCMyr{zBXD2$$Dwf4_cwBk2y_$)IS zNyIfKU5LiiQ*hN{<7vi=v{7px;5ANxi}FpZQ3Vaji3!f@Vat~E_gV)z?hZ{desl;t}`W+l1QGh5y1b^V&Ykaq=^N0ql@DxZ17+*84Z32izL2 zk~uMN{G<+^$8K-CHf|WStuB|iPIXU!?f5qFjG72SQMA)%fh1tK#51rH`QPMlBX#<( z=W>M+@}<3s2MsO?$GUHBC`VUO<4d+{b{D=A{3ZyM?0b;@F(9Ocezk?azLw_e0_Un|Cu6f_0Z!@aCOu@$r?TfA!!=iA9OlG2Sk#QT2-B&rYv89f&Q)XpQQSeaRxw<^6t@|&i&}1l|e^DN^ zV>yX-fuOfB!Lp_cj^$w|dX8+Emwj#yql3rm7e{dyQ_?Wh+?z%;y54%1T*vPLbK0Nw zLw5b7%HiUE)t@x^uuzrZ(tB(N!PB{t-!Rec0`2y9;@0kGDE>e>3YzJhux#oc60AN$ zsGmelrTYrBMAiv>^gJ=5FMg#Ve*ADgXu+pc(0uIy{j_oB*F)IiEsjbKgQ`yp$+%|( z4#DNjLQ<0d>ar}7$=-VKl8!=x;_%zjb#?>)xD%9Wi1^b-R|(nhH|D2P?+$n;=W2WX z7yXJiLB2;tkzhuJ{bbk>uJ$LHi(t}+ac=5yYC#^7s!X`-^4^<{=bx@>CDVKwlei*9 zktJVv{!KK!HZIy0vvV^bnstGi#1`pK613Z(jSr*@VBXM>yPk|?z0RIIv{a-WAz7b# zB^}gTIWY(Rv*bdzX090eJj%_lq%)_+hZv-_T_#piy!v_9>PD}_>u-|>g)%9aXV}sSC8>7|Rh6Dl^7vI|iaougOeG0|y?1y{Ybm&tbQfDIlmC|P+o;xRv zn(L3EDguA^*z!}q_%BKIzU2`ldypG>r!A2l?S92+xECgR7|5U5()!s}ERJ=7a!b@F zP>La%b(8Ukm9)mdY?}NgPI4+zkGl;1MNbP;99r|MVd<~3O6IiYnyZ`C7f|A;MCL0_ zb{bf}9ne?LstMl2)8Oo1IW^}gDSxWey3Kx8D^FC7IG@RHSbSurMEt_QqIn{7*^Y&| zi3+(q6}{2(njYlk-%Cv}=)950$k&Z;wF5YXcPquGAES~#qh=QwF;7-g*Q^ORyZ1?0#qbeJ> z!54mq6@jrA@=TWuyRTHw%2(iIr$~RNYCMjjPQ>#mav5YybjGa`jhGP1@++ct%f@e;c&bwtiW`TYJSSsGLd+SJe&~q5qOtv=tYt^#441XL_9ya3?!(2tM{lVa zMQ!xmV|;?0$dLLq9x7||dildbnpUQ0&0}P+aI*1mYvquty})Nu1KKdv4s|lVS!}b( zj@+~TC8*tAzdxmSYPB#(*~jTW^GN7@R^`xrE-Q?}E_|lrVa^mao?z?H^+(|fUBXS{ z$;R^cZGjE*Q%ajALthh88NORT$-TIBJ?(C4`R3U~dpl^{I`54RR8>+ozS*p6!eU0T zACr94g-;yYjYb4{swTpTD|5Jc+ihkn9?q9mm0uEnTssuV3LCJu(~R%=?=%?HG)L6N ztc|ByV^NPB3f`-2{CkOQ4va;k3f^z4lhNN+STXH(SYtW)RWteX`Mb znzt?R=-0L$F(XZc`dqAQgA>%mG69T~%~AHQMX!Fn_1SDjwas>su8-HjxRlu9n5i!L zjN_AP%70#IrEW61pE54&m;N|+IV=}Te-c7;zmG&YC7h1ZPpXUW4&JQ(bi|@!Qioz{ zN(lagwM_n&<3(>#T-^<0DEbOR@WJQe58#Kh6bRQ+RK=I)nZ}p4Fj?Hq z?!>AkEW(g$$n3;liF#?#&L-r}@dSulsItkcZeiwQV~Q%PH}4E`d5AIFYg;4;a|<`^ zljI{RIF*|2EfaP16aWU{Ro>;AI_ltL?cV=rbFn~W(OkdYhKPtd$^S+`iQ>K3Qm>!6#HGj*oo}RDNW|8@v}^lq%l; zUs0P7qA5g_tK9N+rsIW*8!?7z?3((~Xly0(OWza2%hK!45jD?$Q(-`HwFJ5Go-O?N zZ68YR1`oUkIZASu_i@jX`~E(k-l8;r7<<&GfK_vhOfbqkt|z!;7&uzOR|m4Sx<;>v ze_EHC9(qCK(SQ>TTA(<%$JohK80~cl5wz&AHWU@4as>2YW8p*Pe=5Z}DVMm*WcyIH z3mc=7o?0WadCS|%^AGZ0br4)we@BvDI}!|fr!?Gi^8AChQ2={PE3ETdTO5|zkgEx7 z26H5A*!>e?pQK;q?B+I_=a_)iRdfG~2Me`A54EM9to>~xUfO?XFr3l`*RbcP?G$zG zIJFJQD5!7SCNm-ZVJr#>g)K=!li*p(f7Eb*;^bVyVo)rO-h zxKA>8Wv#nLG4<VBa_*p2A;R|bv9a`@`|WnFn~sY6 z>E*jMYM&HUI=F^MU{f2ySk{y4Rt5W!bGOc`InTOfw@P%x;qY&KRiKqEJfkR`NFbsN zBb0hZqInD#U4^7s_%<0C{JCHMd4xHU?PO2il85WyWbO`pC_vKWJNfTBVo76$_e-sA zM*4T+pl)#)T|r)gQN~E8e3S3B85)s+(m!XT49vu@3?ZwF*)unksVbMfi)vJ8>RH-ioZ_jz7OK z&)h6x%@$QtE8n>#EOR&mYez#3KpuYo^|gO##>so+TW8u`QT@QH_L^XODk_ywD?7D= zgX^iKyas*;p;7<$GVi#Y*{{O`lT~ih^@mp3l?Cf^0#OA+e!%*+U?}t#Z5pbHP)cZf z&MJ9Niyqx{8{1-ko|xKF*z+m4k3L>^!BI#Iez4e^uIOg5$0N92Qr?r)Lb5`%@5Q(C zt{=-E`{%--(%%3dSQTWVG=JDj9kM zdvtl*&=PQlj~cn*?3L`8L-)YV({8~H3PIa8IbKs;O)88oJ$)uFpeQv7LBo{#9xZo- zzDV#{$FeNA#Ii1Ydg8qBvx0I%5!Z2n+@-}|I}1H8Y)pqE9uAd?q%;KPH?C}jF3KQ| zznMqqbFM$K3R*O_Oz8THZIyA$1ow7$bIb=qEsmB4ttUS#=fB`r3W-A0#Kr3}JH+c? z{5VVmOTLYp_Ao0FWMShewx~G>jN65y|4zS*-jP2YYFbPVA1R@OpR}MdY!N1FK2uYt zY+}e;`_DW8pS3>RsDl-IyUV#hhQjPEILeNtPgl2XV=}&l&~3CG0(navIr5}4Vrsyc zeZ=!_bWx1V&8AyAs0q)Ci!RR-ui|ea?K#$(sb}yP>~K2U9?S5}@WZZOv>hr-{Psy8=!ycY zu{El0?1*8%zyI%VqvK~fVMJ+`H($+C7i(8c`w%>rsxEj%)wOhXA-Z#Y`JO-*gU4s# z{c(m>QM*{${hY>NVcVih`mcyqrHpAuG9%`-8Wg%12Zwjw&T-;hH>bqB=Hhuaru{{K zv~)^$%o+L#cgb^^gy}yQIJ*PTI-F45$kFYfeMlR(1upSd`}KkK1|mm0P}X7FZ?}tr zc(`C%tvmh%#*Z zmaBE;L2W`ArfnPt&a?%Q(w}D!2FqHua^DBk-wO8->Vr^Fra;ZzvWRG<7@c~->$uxn zKZ6=l!9Rf@p((jicyG`7ohJJ1UujvH)ex~t-R98mc-ST%6XYd;hk;8G*dqY6jrsD{aG8w^; zCsV$8c139NbkANm0F8!TanP=?fEhHz$D-*0XNHChs%U6kRxuIl5dC>2i6re!?<}jQ zB?Licbjbo2sTe*LAgAa>@K^s&i`srR(>@UU>Md74jsI}yAdP2QSO5P&UZ1MadQYNU z2*u45-^@0asR4^-Ox?=9QUbv@gW#`8?bn6`oEOm%(%(59AUqeiPXYBicPh$`K&i0R zZ2z-|kq`y}<>|IC3c6ZF1z4?du`e~Wkz603hIcLV{GagJ0@m#p1A1q`K;uj)L>u%c zwDEPK_)^{0(idq-O#azm`!8Z)=>UM4%&Of_+Wj-g_zw@4*?W;090Ym~a&o}+I2*W~ z4?yIb&%Q1yb&JM|%0bYu+mYuIZ1c-PEbF?4dIpfLIcBz=t_Z{8hVuhGp^M-#aH@*g zvGhX7CmL#>qW{MFwyY-45>s-_kXtkPqj4fbU;j3K&>~JkG zoU}2=_4h%5FDDPR2*mde0bXx^AQo^XuxJerL$y0H#SnK^`%eY~Ng!(>cCwavZcT0b z>Iq~&9f}3Dr`=ucbX&Pq(Ks~A3{dW&&RVI)F%aV%Heln1F8~SGRil_^Jpc8Rt=!Re ze=m8s2do4WTM$pTKQh@of8YQ;vOvU#1+;3_^aaWB@RNnnF1L5ij6ywK^QXeNn$ls7Zs$u8=eL6m18V+&X8O z&+t?5sF|F9(E{~~Sr|!Ih0^IfQw3Q=1k}QbQdjz+`~yp1ai*`-#^3V51Hj__Mnh2~ zoEMoOb84nv8!PAqYF@saBi*!)S)#t=_uvPT`7ic2ZL$v7#5)@`X<;g0DqNYW^tU`+A9hp%}}ug-!@lFWcpliVuRzQ!tqWc9%qtSc_J z_A4N*+dcKeIYVtsRkXvZ>jH501pzF*S$jl-13qje9S%-vsb@j$Jxthkyw^9N%q4W~ zq&|hfubX76rPr+P>Yjp|Vq$QlVsxVZDn5LfizNV}bJ%J%yEq6bB%nO#U=Y}GcXu;h z`i6NIG>S&g9r#QgI#+vp%^Nc*=8l^~5te}|eRk3Wfe1P=F9;3hV z=ys!+aTt0EQj)5G?ML@td`kG0$iAWjZJDsFvBrcvn3)XCX;Yj%gOmeV&>3yCZw)NYHKW9OfCnZ97`O#@OzKmfAQWk0#!>AhYdC-+=wFWnq=J={)m z^w%aU#PT{oK)fSVwae^Z7sV3{>v~8LnG*$7MvV7d>v{+vak$Bg3C~)|Hvf{=O;r zWqH5Ik!Z{)80^yQE_JmaspFm3SUs=S4q*5Ju(uu7E0;q4s`6Zo-24l_>9HJ1JTSNp z7bEOS%X8A>whn!+G@Z1O1vIVLw!+594{U*-yC9>56V48V*!73zpG9|mthMQ+_%w9Y z`7&#grrrO;*Gu#&sYT(e9fc5)ApRJ~kb_lL@dpU; z@I3AiS0aV=zNRMW(zDU1?gSq9e`iK-r+n}SdM+6}*xlwwIelUM(jU%?lH~8_FlB*0 z47e|0vJSK^oN=e4BPL8yEh$FsF=}L^TPA!g#(6P8z=S*Prw5(^nu1bxCy5P`F6=hL zyP9TzmabeFmC#XCUWLk|Xq}+Fq_b=*zAd6D_Y-O5X(*SA`+3K?zPM7vamxBez=2ur zR@57k8Y*4Cm(y`HG?yC;7EPTr__@nkSjKqqzw;%%fjRnXPoWN%^Ka62eG=hS3&_74 zDoCP#E0dSf4%d!xvt7AFcSpNwdB?!|0ps<>#``2$wF1!@+51@-m$*5)OYX5yW?Je^ z+_O{J>*+ONj}~Agzx9S8)wBZ@m2~HA^}dj#4{Y(L(M?K0fDb4cuoruCX*Bm+S}2M- z{bSzZ90=>riWqv}Sx4(%=VZllhTi1EyUsn;XS22-D3-VQB6M)p}j3 z@K`^CMcU&iJwCJjG+^dP&ocbRE?}UqMY$r={ z$Js=seB4m-31gC?I*htX0)`yS=$`UiuG%0GDR0oAh{)(4oy8DpVf~Isq`oL;O6*W7 z`ptv4q8nLa5tt>zBghy)_10bY1tCYASHbsu&#Y^RuPQ-+iOrll>Xvfr?jR$&M|D4p z_liSZ^yO_whKAOJW;$?0${A(5c*)XxPnF}kEr}Dw^92wLt&_V4PfBMIwZn_--Mwt$ z*&?+Cg)RgI_dd-m*R#U$dyn;B9fPw-!JIWJSAN9S?tMj%gXZ}-fr%h&g#ixoU5zk_ zHTz|A+-deV%Mbihn|rKNzZ}lIO62Cwr>U)8>UnQVAKbHx+gj3Td67o3|IESbVu!&;5iqo%ckbIy@_;r~>O>_t`%NkN-ZSkg7$H%|bSXd3Wm#{FV0at?G! zGQGIlQpE|1FLHuR6G!x=#p2Vcg1FERYMk4by(}AWHZ+DtSzcU7WMF6>B(RV&*cqf3U9}uB zjm$&ymJwM@qb#e9M#GH}a~;FJ*stq{2YBR0P&QR}EHxt*|5UNTS-a}IhfcNnO;DHQ zSyw#bB4mAGs%C+tzi^IL>uuSamb_NPBBj-fvK=f}NPq!l`(>|FswJ)K&da`C-n|(1Lr(SWwAbVUSKCYBIgwSxq2*>W zk07f!K(k;Rp~{++*XO@f_RPHsg|-#G<(KAztr9;{(iBYYGITFLhoN^QdVa57zNK$P zLUp=oXYLr)%krrbzBOc);zrTKon3Axu*LH65VCQW@BiGXr!*7RoyL$z>^F`Vxx#{7 z$Y#xzKyGjP4{Jk{JI-%blVZ@y_e`^~vDI=yao77*+E9x}Q7f)yTOxolKxSWQW!*c? zcC)x;MXn*3Pl}|=v)`&N#ragRn+J`l%0<7eN&fZLkBz6l{;G$<~B{jIrIv(dir#I@oT3@ zN1x29>8hR!j4Dcu zXCZA>B`EBj80fCId^%nrr{^i8P>jmXK8d4JX}Wb7A6v>rJtawlJk>9w<8FtG(XKpI zl(_fcQp*_Dp#{la9W$_eIJ0z%>kI@OFiiNmy2^p6+-53;oxeT>@HVp^<0;06yRsg* zvM!0y{Ox_x>Gzq^!Z-a2QZ7ampYP^p`ui-~t9jPcKiYm|disvmT6$11U}1JrL7QNm zLDk+FweuXKVrE6!>i#)8kbkb9pWY%Z=$Ck=?fT~=?0qRWrfzKR#YSY-X+?3V>5IC?*=azr>3CGbbD>eNEVLyw_q@_c&&q)N8HnzVSK5i7L5RKc6XqlCzdTT@s zx44LpkhkM1USCn&zPojJsAck0QA|_ER8eGHQsUOaJ{nOZb&Xghm`gvpH$cJ9ieZKj3Y|E7$|Z(_AEAj`1hB#HLTFw|`rGVS=*x@9qHN-PZ!O$;xU#PyDnO&81eg9s@ zLQN8=w&@#S@%wsz^{1c8O6{YbD6NN9%u7y&n9Xe%3c~h~^f07P3Qa})Rn z@6TDiyJ^fSmq|y5XEQnx}3nFgJJg088nE+^9smtT1>N6)2xG7p}*PF*Q2Axy2NIWBlNvo zqu=j+GvE)fvS;mJT*@P?ccgDZE?M&j?sEAo?c1u@&7eitoODu_cW5r_N?_UbN zo+<^F5kA+CTys62UZ@c(I2avjD9Rd>jxF}7S8YonuQ&OpcdZt>oy~Q*$sMAfxu+o1unDRdqYA9SU|h*e8m?Mn;r05MB$q{vpyD{5L@hMp+()aT z{JvW!yG&g^7KWHVhyBQ!NxdVQwDA1S=E%;CE#uccd;$!;=}xci##f78dH?&n%f0LP z7S%27Y1D0QhV`bG_QG!p*J-*>b;J@xZ^#=Sn^m_9$Fg77Zo^d#fym0^Yj<{yL{WkA z*gNe6L7Hv8NUi)|skIZY(DzwP?J_d%ga%5>9WyNQPRf%6H8Vc7l)WyXp>Nt17_OCW z$T`=$2@=ryo`t#ut2_CP)RHU#r0w;MP;F~qaEctSfvgl7JKx%0fS=wASiX$tiHJ)m zmhUF)_wJO}ZBSDLU7wOUttYN3O)CfBB-M5ts(QKK6NHAJfGAHjwz02nZcQ1%nS0|n zTnvXlPDuhG^8v?h`p=nxV%etn5$a&!A%=kO-7WV9d5XepzcPAgrR2C|?x4DHMX=Xp zjq7j^R}u`9{9aH9wqE?8X#V6hAXhf->^NVUXl0Ct2x&R5+14Bbki0SU(PJvxykrU4 z0`_EEr4>)M2B?8$wqoj<`?0W-fidj@aoH;MR?+5PzGKw5(T4GgaPRp^A#!bYk$Yh~ zxz9tJiHx;RC3NxrN8o*t898vbJHGhmy)3m%QSHT0fAP9WP9u7(o+AAo?B&czF4y%J zk!01>+^3g{LwARF8W%Pi=UMZ={ToeGBa_) z56Z8PR=t0NY~)3_!c3yDPn*zaRLLzh5%l3lf@1Y$uQcoD*;^|`bo;9GrIenqCr|Xd z;p^q0F1_laQ`%VRIEA%&MV-^5Y@+VJnVIf-nNMwkuU_sqeuz2MqDVZU^QDq|BKVOK=ya{y990bP#{wibh7wq2LR;h#fW`(50CX^*Vf zMS3ckr_T>rX zVlX;y|62TstMgm+jL{UM>7QRYw&!R>4wM-dL&vgNS<}F<$f?j66N-em zr5V&f&XSbWQW{`)75v@}MA@75+zX#qgAi%gqets;J>#3fjJU~90ezCU4X0hVrpSub zDb*&t9<)^+gZJVp*MAVr544PEOv2a$n6c*zRGPHd4F$;?eq5F3d+|EgLEY zJXSiN-}3K!!8Ej6la^{ck8Khyn%M395H9pBzyCj*_*BdBe7$G`n`hH~#G6zi_!@>A zB}S4ml}V_!Dq0b)$*&CQEBB~G=tFcOo77B4Fd}iKCIqYV_qRS+M39Rh1}9^RPsCIo zA*$&l9qQjffQjo#q-t?(N;Ss{XzEzwIo@_8v1{Wet9gGVHQoGL@O&QU7?|2f z(`r3$RN2;R`Jv{^>`X0!?_JAgd-|1ZW+sMBg>qRy)=lr#hdd91*Mpi>>xWQ{QSw{r zCLTLU0Dskz6?KZdTk8lD=zE$DsbgI6p3W6b5R15YovTqke6QlM)4F-#uDzi57m76_ z&Sw#4Lxqp>)G#h=MyOFy)p>5tM#5dM< z(>ivg(PH%7CC{8_g_BF30_EQBKQAS@DY!|J-LPun0}ztBcfk&*#_IQj)Ao>rj3Kp1 zz4Dp%bQIPofjj~yuEwvF=3h0~@P!Ye>;2lr-KT@;hRX|welcFfgxyuka}w2?{Lf{^ z{;t0Xs_P^(tMAqaIf-z4)KM{+$olsZ0j@af&@RT_udI(7X+?qok6Ra*8kR}Sb$dh3 zpP|pWIZF)Kwu2vc|3x|fyrd6C;oUvF8|%Udo}<3QGZM^==8OTTNZQPrgWCzvsDkbF z%S!ZC(c~YWMrE*s;MMNJ2xAR-TBQ= zzXEOtzOy@kJ1HeCV~rXQS z%S@p)Ax=CSsu^XyZ&mP&O9ZkV(H`XNxW`uE3N>+|A6dd-i>|D8*ZWG-DKYLbf%=P^ zZ|ce$e>9;oL#X&`G4d*DjqN42ZFXJnkRj9u&3uqWV0Xw5xi>>YeBFHmD2HrK<26?8 z7nvl4pPG(xOCgYVN~mUR-e@{00t9S&csI?mwsNvvJrWvlW*YI5ZN_2wsF+(l%UdL1 zP=zfaNi`iUFk`{Hu4-xddn_p6?4}Qm3Ujr@`^Hu@;S9Ys+tvk)vhB#`OvU@UbbI5m zsDq`^=s--nPp@_>!}2?#&%bGJhA=;b-{yD<+{Y^0wu~<$digXWhdxHEHId>=c%{Np zR5j(;oTWDNGBss;So%d71IXS&9(-7|$1c%N0+aFrmke_(BhG`?-gmY{r>KhrY_-8k z#6|qH!e0;cHCB7>kzfk7=9O$3d*?}i*ur7m*iIE$9tF5xN$0?lWyfOzhvbP`qtlOD z35e0&86BQ7+LeDO=O?2xAFD2255f;jeuI9TnJ{Wu_3j2Wh zlw6EutP>UyT}3rfC6ClA+VVb$)yrVA-oZT$-xl!*XzEOTjQYgIkR4B8wzJ0 zZh_LwQVN!Q;EvNX6a_^BKTGJDBHbezuD*%)w)!fJCB=b(5{n-y1MSPk)*7lj8Kz0w zb`iVItQk_@>o2?ZQ8ybfkB?lbcsCa(y6*oxpDw9bG86am`{2N^?4k;x6LU`BRE@WD zmC$31@MD}HyV*&!Rjkk}vdi3UGJ*`+%$y~XHEwE_Awj|zd1K)uSV3wvcA|4;9@p?h zdsm8~a|{19-z}m>j=tRQSGW@>zBSyM|D#8U7{7I(_}23&Pu~*jej3un{jFC~9JHWq z>^pa!m^q#N9=GglAk=zHPbGHk!K2J+l zHmW{zvS`2Wg(y$u9X>%#?P2QWLHK`@YqBfuv9c!Dn}Y5VGK%@XJ*(#ICXDd@m>TA? zS9!_PF+rAL^hJZ@2Pd)Vlncm+qqfOA`C~BLF2YGX1wVRTB>3dKL!*Ji#ezd6?mXDe z>TTJ5%ZdRXOaFD+2nNeqp*;fxzVDo~W&yt8CB<LyNC5>K=X9+z}g$Fe4{ z!+mhig7uMNQ9G)qS6)pfC_Wn<7$|J|X)F1K+1QDOCk(_<$_``P_HQHRIt{FxA zqutq9lAzg&r#JmXx!*4j2eNGd>$R&KY>qmVh3W)qg;9Fo@B4oKhChpn5BV_#&eCJ` zyT}L8*XT!#;ytyx+j|WvMp=~365uYzZK()G9)(RGrnyaf3zESSE2n?6)M_3{9osG2 zQ+$&1)Ynqx*c`SY3c~meC5x`i-oYKy*!RdN50;Es;<7&mCiw~**|bKoQaSyMsWZS; zKKV=9)I#2m}=ayyXV}jyeaiaplcFR4d)Pt(`x!wnLTxP4vpBeyGXi*Nh zAIk%$=*Vj;Hfbguq=Oc`zZv=+{~l1_o{Mv)Biiy~d68NzsRWg0ga~DTYUxmBQ z{dhRP9HLK@HY$?n^4B;rtB=Fpqr4kq|LOA((tWK3wXo@IkeHfn$|dF>({FPneNAMm zlF_o!4R@@TvMAH}=X4Ri`P^>vz+g%y`X@PsNWGD39<9i~s_MAjpv8B~6VH=NNmdCF z%-_J>-pY*8j#pB@`{e1JH5Y_j+)c6&D+w_RF!?p0}B zbSdc@(d*T46YlKLp6t)oV;|R;43eAq7}GcTP**C|<==3<*JotQG;JQRP+d0Wy+!w* zbZ0q-YLbW&9Bk>rF_I#N%68LPdXs4ho$M)+`Te2Xs2oBY~6&zA@_M)j;w_3Gq zw<^&9uU8R~5B8h?I)zCOq?C)WLA<@`yECH^SXZeK(h%>7|H^DT{iH$dE}iur^=X<+ zT1vv@Nv#;m98`09zkc;lnPV(zX7-HuWO?ZR9(g$C03*<+SXnOZqaXxEDQ?m6R@sUw zp9Y=Gp5`L4_CYhaGqu5liiGp(k)u~vmuKZ`8YtdKYLFb?9zxL-58ym#4jpsr>bjtj zkFFN$t#1M6rA-2w)mHs(h)pvXn7c>=&0$+G9&)d3ZiM4UyjM*M#iH$)Mt*;Xn2I{j ziFYVv$r=V9T%>^sv7-CuuE&ldS>>M3@00hMJHH*ghm*m82B+mF*@=wLzE=&|E%898 zVB5Eu7NF{DhvFhW;m-7k=M7gBpoRBr$a~G92YnhOVNVsEUYF$wGoQSSwCB9#XcuFy zfHsLupzV2?tH<=!JGT4-sg_c_IxG$`uW&jfV~cU?E)`8tmkuwF)uBG_A2YCVyxLmA z)?%E8c!8e|^C_avG;(;&;o5ocI9I}7R-JTRdI7fiLtbh|_PMJTe#!aspK3aWBu_n| zsA>s+9I6e3{-K{pier##qe$&+**rPhXIKv2G80+3t#L*qHz`%E(%{A(pc9-BG!=yV z#9Em9i2Y-3-2zOC_am^O*6uap<=Tb5{~Dy1%72 z?#VcE5w>2rB(9B|w!WU{;r)lgMcE5hyV{Z?nmDg}au&pVgYJtt5bry`|4>Y!dlgE# zI{+}IQgYCW-XG4u=>N>EiK#D0B%HzVZYB+iF_gzQIy{z0-ejYj%YiF3<@lXvoao`n zS`%Lu|C2xf9L%vPh`$iCdk{ouVYZjBaFOpmru22N2~w`jTqmxf*>q!MwDHT9n7cO` zqdsky-)`9e#>^SAOV6YZYJ-?VmPOPPO*y#BZQu4_6YUs#uvMPk8OES%t7;?XZ5J$G z>}$m8yr&&?0DMhfU6Ij=PkgF=`1Y-JnegC?i{Q*9S8A1@hs|SyNA$T_{V{uAMU&kb z|F`DGcELmc=ZeQRhlt9AQ=P^R@L1FFSIHq<7myoeqsaVUq6osw1GzKn6G}wv`S)IC z64Vs_*&6Iupq5(n!(vog=Dj_qvT2t!zo0`z?b&o~xwmV*!a8rqmUp9>@tuxSpl2Gr zUi>jemK8`eoRsN^?amZbIxXjaeHkrP@3e^~=EP{sM9HsWbtQk4`8h#;q8G@d?ypyl zQ3cjCXICz>pY4oCqU`!C zABAl<|5LKvUGJNEc_NL-xLEHxYhYUgkKcWqLXeY=6LR@ycYMF0l?+m5aKw(Ywj(iX zJ)*}x>O^uyL#{#fZ`geUt>iZ24nf+r|1ElC)(!TNi8v+=OxJ185XH?aaWv71k=spM*Yh@gt8!kgw+?2@0{Msm#dB;8YsCg*eg zfGjA4U@cefONCMTAMTuRiXV@(7iU=Vq zmZrb@EIWk{Zakp>It-229#KOQ{q;m-s^PC$L$khJDeX#H9~qgA%LLR;!YhQYPlU|3 zso;3{uqilbEaQX`+0>}x8avjV@lQ`1QA(_dk-ph6@Ns=r!td^*X>OHB)N^frzjav5 z;E?gI9QROL?4Tyep!sL`LC=Yd>y&kL-qY#PpN0WV|62H0S@f^Ku2Y;}SCly6d(gPi z_JBW-FzK1)i(Fgl`FOY=eCrnKs)p_!imy4+sgp_8 zi&hhv{J1im;j_h}jQy9AsdQLbjia%?n_kkv4GvCSsjMEp9qYQ+N>+&}>Aooe?xDUZ zDY^4%$mX4%>^hEasX7J!{Mm;)$y(Kl1uy2WsNzCi+NCog7)4|0=`Nuww;&o~hL^18 z1z}~qGE_o`{eec|W>T*2r?ND86(wBaTL(+&-y|BVbP+>ncEzO1xll(v=|HPz*Pe$R z0}+)AZdabJy5Q^lD@)pR-i}N%cRYgO`6@9-6L7Y?9`H%jN>uka(1!zXIGwWuRcQ3$LTou9_ z?JO#`?fI@3KZKbn*lwQ47Hn;e-0y-ka}(-SBUt)1=LaO8`}GI)C(LQrqY}@3IAaRa zC7(0DcWnV}m02)dx#g^#R zCR>ytD5h*TgYLFq{B;38{Y}X0te+FABuk(*U#st>MIGy?zAALa& z-6u3H9xMr{SNc!VV2Xbjwia-3Rk13eO{IPDa9Ay1W?P2%=A*G7L`6_+7NNaa=&L&_ z3+%YHv7M%wG=Yvn1}?9i$S1cjZ{ziQ3v4f_2`D+JbsQD5uj<711(_)qA+j=#6;sF_ zTPE|zPpw~~OPq{X@W0F;H*cHZX{efuW9Uh{KNoCvQLxa)A{S!WAWE~ceZkAnP>B?Y z835mH)Ue{-I4V$3*`BTIZzrL4`*vLAYQ(X9yDK%5-SX(ffD$__=L}+KCW1WZEL=ZT z8FjD`JG+ANXn!xeF_NLWE}UOu2UhaOy-m57khKKzmsnU`aLCs zV}>Nzw{A9m`O%Fk!n%5|?v;U6kFriWc@K}Aqmj8$tO3h0KRd5pY7YBwxnGo_d&Q1w z`=5e2$yG+Albb@iwXm}({lDIK$Ga@P-LbjrT8FVS55*Kwu= zcY%Nx5fFK?wQjE@%r_5Op-u(yI-XH3wlYR;^C zoQ#G5sUg{D{)Z>mDKbgZ&VtT=Y6e0L@)=WqOFj|$q~HdZs7!<~?#trg$N196t07G^ z9tG@HtijpxfFTZHPKvdrpjvA2pF$olt6ocT8L_BBkn;s#t~=g~ld06@GXvOvTpc;f ze(tGjFdO?U-jP3ujA#GZdnIktGw*X=6wApU5N-O^T?oR*k)cdtgVkBa0Gf7*o%h8- zan~CuFhEwSu;dZ;i$YfB#az)<;cDd6-%_9prNkIyyaX?ROi%Tw%x5ojuVEtc6&$%TChT3ic$Fj%%55RNhn#w*Z17DD2R|TMlpOUk+ zL%wLJW!f*~{!UZEa#bufeGV|tIcK{U=P*x~!5GhfjQ+$gtK%W?K=EZ(oP4nYg{shy zg9&}3;#C*`fPHGv(1>dERRF7_ZD<3g8bI6mY!Zim2$;UDen&-5xm^g~-ilBLKnQG2PD`H^a0djpigoEOVM8jq;&Tdm`dWq+~XzRY5z|IUd2EZ zLa6mi4vGp4{X^U#H^&f-d!$M~L|hMP`;R9550n2l*d^AhkZXVjj#6o`1y1Kq2X&yT zc0|ffRGU`8<3m~Od;pyufP?ak#HCGtfyO*cbnE5o^{dqW*SnhB9n|HfM;szRY0MG) zw-+6a+V(z}UD|MC{NF|Vqr=FdZbV}hz|sY11mHo@z%IIlhT!R&5_|RJLc||P71oqz zf~1bm**A0}GS2$iPc`?EAb7es?Ou=pah20vJWi<)yKFo46AUT%!>e@MgN9&mpUM6Z z_ZJOpW9BP&jhy0qJV-4eaQp|D9{6hg&Tu&lu}OWgarMj9$5L3v9tqiXy(6f*?&BF8 zLTR2CV@V-$Y&*&H?}0IoT8!Q1=A033l@Oiw-22Vw!nC==bm0W88Wii z84EBep@4y=2D*i#xO4*l01d21rVn>j|9{*{o24W7fHxFf26|^`s6Omtak>qK1ke!u zjs|4+q2%v$4t=I#aY)LT2_?GR;^v?zO=LZ3T5D35e2wT`cw_UN>(a;|aE@FMLPH`4qk`ev!WK+h^1~{gdVjfkW?v z=jW}=YNcS{J|4rM*$Z}aWZK&uc!&GyD14tzaE5!Rr@*s(-SVrbrs5=-XR0Y8e#%5k zf7H`bG|c0BArW`&nsqFimEGmjD3mUce3{;PNhjx2vQm63&ErfY=0X0Si#!AsezI-* ztujEThny<|$eXWO2Q724r~eznQ1Bru=c*QsW}Z{#rF{T`oa9i8AbTg0`WdMim_`}! z*omInLF$Nmu*;UY!1WY@8A6}$-E9C+kj&j6 z$t#T@kCYjUf6j=bCt-M_AcGQ5u8N0&X4x`!a6@}*k#&e<{o2P>g|MP0Q&N+06D zv=Uz=dxX4$$YQdFf5YCR^D{6@TzB_;*UKVa1-aVIy*HS|%9UI0Nr+iK_0HE>*hR_H zwzeP`!`r(a*if~`e9xJp0W^5~nW@N&!i1p}v({%$?%w=fFU(xVk1JvZsBM&T4?vN( zrCg8YBAY%O5DL8Yb-mh@VFL+1#jS;B=+rZn!Y9S92N;Loq1Lyo)FO9ATQs$4V$3P{ zpe)ZI+ffH;gSwE6&wX+cPBmri{d7f;eQ12N4-wo<<#LArfA@eC!Ow9(tN1CKlFdp~ zBwkHgEBLO4SrA*)O2SRJBH)iCkH4k1pRPO!;u?@mPKK4ecFNXhv-{&Q1qt1( zqtXobjex#228%slmD-;_y-CF6z6@&}Un=*AYpv(C^Q@MeBtiI3=WRndztsZb)W9#; za+Cf;5IXviSMC&W?E2@4)o0kz5U}3I1U<0gEgq)Fm~YKEgB7W7U%sptE~BKzFT)du zoFlf{^`CUswD8j(A#>QNIrM*>{@8(oXGHuh#G3!hO}RS7$!{R#wPm50OUn5dNc244 zW~V#)K2#@TF}XWYV&woN9x90w|IOK!pTAOcsLT6y)!E|D8+wVF|dD8<`7HXkEG36Eq2$7eyC(rvL zO;(YcuPX-wMHnj%rJK2xEF0FOw>3_PBXCOh^I`LYBijg}WfN+<-`K zp1k~jaCg>!P5pn^r$ImvQE5<6K)O3bWPqd}l#q6$bUQi}R9ae68p+X}%E*yYBUHv1 zB|65CzR&UdxbHvW{#Av!v$OYkzpvMIJultpWCPRgEj^Ey#E}L6eb*(BjSLZQGNeJ9 zf1OnG1OOyiX9Dn2WhGy|W>Om0@kJf>R~@T3z0dz;VBU_}g-9SWdCD%Yg#O2QN`{D3 zWIP|{?;6VKG}fr$DpePCSIGlPTafyGpN*uu;57c%Sz?NVHvbAQbk5}dlKRI+!60x)B%z20UwchcN$&)0`;neRE%|BY!=Xd}+rNN?A=3fv1J{_O8>Gc-w6AgB| z&5!p>G0zC&s=F6b2yWeX74c8+x!Ejm0&vzJq-3c}w!7v@Tf9%7D@F`Spi`N3!X($k zmsMxoS~+NEp1(1--TeqI@q1z?tlL7^qdjsF(oI38VvjJOj83KlOwPX`-ZN6v>nRPR zI#B%+rKT{d_?`pLKw)E?=CT4DnRI`=xSh&;0a$Acr>?-pFQDLp6| z>SDDKD0&dN{7XsBGm*eTwCICiKqwYNNB9Z~=p$zSk$>;1`CdQQXlbQ73DmN=_m=7J zBRanam`_#7)@Co3?`K>_B0gGdMLq6nvI~!-)_l=#5Vguy?`*Nl&9T9}c=Oor z6(`LHRD2xbJUK%@g{ekBw$y@?6ONyIK3K(;&Kq0+ zSY=7O8kP>alXW96Alf)_-<7LNB`6fD&h@ex(cEa+ToTd=(a88%F z$D0w+d@IcT`A6pnidT5Yt;)tCu@I{s1M3&zNR#Hq4Ups2@XzFVpQEdqd%hj;f0p(_ z9(=vBA^b0PBK*79ca056(qXzk7GM|Pr1PU4Zi}B>%miP!mz*(;s~)#8XVcTku}N(&c7=Q3`k2(f!&LX zKiCwpNRlNfkku>fKb_+4lh9sgV7Av1y#^%TYD(z?wXIOeY!*6JMG2UW68+(vlLR{1 zqJt(HoVOg923X?u4odQ*rn=ye_Pt*Iov4@K@!8MKc=Cb|4>E{We zu@>u3TAAKN6I|XW5x$Mq(cRtiLmA}*?4QIG4;)>(a@b8gOlSnn2J$dQmHgd$!k!V1 zg$mbxz*o?Po;BW!A5{+PVw7FOLY}YXmrPJKZ~>W)>ij?E`8A?4-z8^LD2F#r(VPpf)$NeT4L32z#7$&hFI2!?(d_!3XSs` z99dA6V0voWJglVu)~axn840}VPVtZD0Xpb3lV9n}%8L@yR?VsR{O%YYsB)w+T-)OB z7)ET1`=~Y3IBQLCjbNGpu3P zaET#~%X%@UT=t2{xr&%ba+ z<6ndmsyLYcOy&Vipi(W=#aE`AqQx(h{Sp3p$3JKS*{+uLiYa$Ls}1gtne@nR5th!O{w zv_NTOaCzsjJny`NXP$R53s4X4*!X=iK95-wrEQ(oZ8OBKc~16nbSWqF;d84I`|Q}c zLw#E};!?cnP2w+&I zNZu5xv!S|z#E27HcnCG)p+UfOIE(=3Q+{EAU(j9rKZhor-so#M-d6Qa$%=5WM#owx zr#-Pm_v~1fjdhc~Xk$b=P#1S{Hf8PR+?H$4=36%|UW=2Wu~?s+rplPwyEgJGdu+Ot z(fdPI!iL)=x39>`Np1mWwTY9jl>aqz=9I7A{V@PQCiNr55< zF^3y(fNjuQtC%z3;54I z7x@k&V$bAhc_;7Zv#-PtySVfuE5HO%K!5k`V{AlKNVXXn(ulHVG(JlP8!ZYa>fu`R zus&&@l>gMNYd+V5ws6~TEK}aw1#;8>xrD{e9)X_*FX`{}dIpu;jwg2?hai3OW>~Gm zY9Ot^LD$my-#s9X%NC1zEsvNKzD?l?`D+Q4W#2NYmq_{ z<@Pn#4XDlqvp?-CEksUs0pF>mU@qv?uKqF17&u@BaWJ&vi6eOhq%#y{;2gXh%}$Rp ztW44vEsDneb!dRO%WU^qJ`}*}gef-P+?sCN)?y_rKAyord}l@#c@KWeIj-O1^SB<= zC!z$f=p-{%?buO0LZD%79Ow*6lx|yi0Zi(j2vJb;}fF?3feOt>~?nnlDcNY;^f&z#X^~&=pNPOy6QzyQ}vy| z<7;FG*(DwN&ztUfm(s=fF~}c#R(g~VV5M+NOwax9?jF@XHgFd5+R)ak?Xa>M3ym@g z9<8g|DLvWL`AVeD;ZCthy-Hqj^5VQ(W?-#Mk8u9F`Nky|<`r@ncCNAoV+_#^>v*S4 zs;hr*s$Dj$i2rdxI2cdg`!&&)`dvx)J7$?b7+BH4(5iVszxGjd^5b8ZiBt08(afg& zdVPuK5b^af6X_fC9Yx~S|1E`FRAi*~?OP%90AxD;CcT>Rwzt#r=uq{YRp7|y;=S>; zLOjd(lN2L=&=w!ZkIz>nHnS83-P)N)0~iP=>`Q4+UgV$bXj%Z!F||W4AWeUfkLOR! zONhC$ZBin&9Ly3C3LKtMcH5+5{dnw7j&Rf-m}!>zJcJ<+Z$68qQKmLD1qr} z%vQ~aO0GCzGmyn7xQt zefvOc<^FxH?nU-fJR4Ai9^7(_r&w&v`n$5qr(>Pf-)(@M82Hh|Y>AI(?+joASlGR` z_h-SqLi{~XIqB%Fnt>t(#7z}qz`al%#ZvT1j2L5ea;pvT*Gl)N%~Nz;B$F+zgVQ5< z5x4j^fC$WcLY)!eA`IghM^A04E;F%2kNtt+%Xh)Xzm&w8G!NQf7M}SjXZBw&hjV(% zGHm%#0<*ncPrpKj=SEpXNaj7?M}D*7g2LQme1E*5p3%FNCcI(DlmUG7GWfS%?gtB- zUDR8>>ud)#!j7a{XzCrcZACx2-5C$r!>pPDm! ziB5lVHuz%>>HZfeMX!xY12QgICXT(iO*}jA{YX`nakD8P-d?uJ>~2^_C)-LAHmSu< z+i8Oy=@XYuxjVNSv+hF9dB=+t?=jjuk0{FU;yfivQ9_rj!5hm8l@@^Zr^JGch`$&> zCJSrG#WD@&hw_N4Wu7nWgmLEbIb1I)?mi*`lK3}ulRQD9>3xZS2dln;fL7M+MOU<6 zK@$8UM!bxK@I1VNxLw`xu6TkyOB9PFHSHPt0F`I38x0f*K)jNsq2;9W}#b4&r zgA<>F>@kRxjUsWWi*Q)KF;*7nb+`lbh?y3Dww?@tBbOPn$CG?4pe&hK2^3tZWgw2O z3sB=OW5{CFEnhMAw3ze+IfRYWS-u?DM(WsrN8oIByoD)OAj&=?shZBLptm-Yv^MY9 zG;xM3^@`9yBc*QO4>0q(2*%HidzO^Bgq1IqL;hS}(Wl5<(%4O3`7y~^R@~W$0J>lN zh55PEMS1S_`nt)b6Q0J=p$|Y}%K#Vs1~ohBdG-;H1(W56?Ao!*V&!{_*E-DZ_0k^K zUR=&DyN7S-xKS)LvbZH%tp{x`J|aMa@k+eH-qdr$Ele+XGtU^>5R|DO1`R5#A}4R3 z(sh;DZmRS?y7|NHpzFE~b&hmz97P;l_Pza30alxJ#Qo`5QEA-SYx7U4^M~8v>c#W$ zV5YP#Rng6*m;B9`DNjQjM?(1b!ry+MmQ#fWK6R>3J1dxM&Ady_m5a5SgW(8>De2W^ zBM*EP9aD12W*s{;RfLlJHt)WKA-&nQb7k;eq7RC0k+nmtK9|U+R<47T^hM8NJ&SDk z_M5#*$GyHVos262$7{>%6rp^7$%+om*1yH7pB4DuICU(-R2&)=OdE5LrvA=aAaftN zp0J4aUu2$kMArPyuzXVXzifaSOAwr{CqZpEV7rMJP^FNra~a(5;Feafz?cGaa2PZASZoZJU{DLJuj*cBc*ftt0+a z^htdNtTGmfy~UNJ%%hCpb);u$IJf)O=A?v)9|-_7K$t_@u zoq+VNob2IjDBR57IZr$WjwE6n-aRO+B+KkxYSii;@G-upJgKP~*=D|X)cba!Rybeh zNP+!ehb(i6DF1sj4Y`h0j;H!aDWhxq+$AUh?!93Z4RYFdeZq?EX*@GNg2-mHIiu+C z(zrO$7Xi$Q7L*%JK-qJuJTa!Td2ZkO^?B0)R;gZu%?1PlIL|{emb^#`fy<|wpS*7s zaIip`sm&u(CsOZ&dIp$^w?{)t^b~eApO4NuPFTcTsXJ9;@u=82ZTWnZ+YgvQt$#%9 z^9OZSOr~N3xL)0Mj5#*AGE}hwM3GkBev#IS-hA@Mib`zb5~$CDL6r?82sb$rSiW%Y zveh;UQ~yGtu32iNS10-CX&W-(<;FxUo)RuPXARKb+WyWp%NlQFDBcN%jVc zYmIILfV$^LnJvKTjBIEisBz`yNAFJ@jJ7g;9|!Ms0g%RKsz!P!^NLi=DX>R7fONn& zjEa3gPuY5@X~E~!_;fZW(?-4O$i^Pfr!O4VJjgd3=Co-fzBRHLe#0j4QC@py40}V> z0uWoE_!Ru~C-8^3%GmJEV6Ln#SDhAT$sc01)eF{w!n>4Roi|RvCY++ZeHnnibUIS% z6wuC@Zvmv)+y@H>Hr|rGxOMk(=d$*@+#9hibl57lqg3jn2mIiJWAcH-vpOq)%^1iARhj$CLsPnnt_<3l_mXNT?Vw{EyuC0$C9R2x`wNgy+!Ju zfcs^AN$~8_?oPOm4y!Z$6^Y^dT4{6yoan=Oca2SL)OBsZKf5}@Wo|yLqcXLY$>~`> zy7ymBL60P5N}O%&XkPGpHtza5jq@*tgB4kX>Drg->D2}7Na=<3(;vRsHh#|@L*wuT zzp7WoH1>(@;jxnvm{sDsDe!j|uHcb5 z$DP(`IYb#ex^zZyRW{;V5|jeY&*B}LodR^a1lxyg&@vG#!%!)lC9(#qdBZ`Hk13@; zBrO}o*?2G9GTn^Z_(~_!5o87N-z=ByDcL!?>h2j8fBZrnsUAj`jejR10g2YI+hRGE zEz;NPn5^(9F*$u9iQKSL;@;m0(@nTvk#|BQ*}lD3Sa&cfjw=Dd5vZIk-;YbApBpB{ zWy(ZmkjH*J77*!biWheJA&S;P-oM7({Tm#7;1hX95%~`ihlU^d`wL%^8LMZrPq)QR zA8T84IVyV4IaseQz({nxU&e&ASBlMhKsJ+MSwu6DKescg@E;YLuBH%DZ(Q3D#{I3a zn!9t#pj$8CD7vOlzFM3w`b>BmF+?**N_eXjQYMA)g;S*k#O>;Y-{&IcWjP`-rW0eS ze%LBFcLjsWq;__`;iGUOuUzwk`Hb#70|F6)@(Vnqw7;rK)2yTZ^|9#uSE~7V=IMVK z#|>p~K7~Q?DAUyQL*CKTTLHB4@h(%ic4WCkOg51{|4veu&_TJC)XVrs$~tVqk6h?X zaGWDuRI@uE`pI8YkRDbtpryWVZwB$NpN^0^_m3z4xoBC7sz!6Reh1~X!XSRl2Z=fb(<*GX=wa z;%Vm=gvnIW08z4Uz7?I=fQe7bMw2|2l?|DEx`TD|_69TVoiw)8c?!u8;6uq|s|h8O zJnWz%n<(wtk}YXSATmn8KE&XMJK?p>NS?vs<+n-Wi{+izxCuaeW;0FuEIZjE3GjS?q9oU5BNj&1Q`iM^Dr%zoXx?p z@(+hs^or^I?y3_IE$Yr&V!>Mjovz;HC?KpyiQj`1)sp^Ri(y^`2AUIKWTPb;M$GqF z-CR)km3elpzY6OiQ^7~;3Hmyy%;%Mp*LJ%;L-%jzrvA8D`$}UcXb9*OGdO_AuKzw8 zXAWsa8k<8c0CvAii;_l3vQv*(`4I!7l z?~mOOr@0hWpqz0ku)j8cXH*H2&yuRe_MB`fs!t{g;{FBCaMteM;2IV&aB)ZXyFk*$ zQBm#6;0Q7AfHcs22K0iEi^0eWB&7m>An*Dj7##9pH(q^6$tf=BQ+r|<$?VJL|K2F) z#k$gb?Bt4a&{?-W9x|>qHs1jZmb;zr(Z}1eQ2*Bt2$V=RBho-EKRrfqd4&ey!pXf0v5*Zvey+vU|RDs&EZ|NqeIBj;tXHkII!wY7R~0(fK`fn zc}h{Gl>Y4w0Z7-lF9jQ^1g_BDk2;u*VY_-zsr!@uK=?=A`JX+*=aJWVxG@GimdW=B zPZs3AFyZ}@0v|O0Y*mnyco$q5DE0PslK1j{+^SPqdZTvuu))Eo!sWtR5^%0gHs^b6 zER*2@K_vKLN5m4lZM$iU7*j*@g5y4kN?OXx&L_Kcq#~6Fo5>v>L0uLRYjEOCjr?K0 z?oW>SYd7K&7R^N0m8SXRhcXowuY5=g#Si;7^JCMhSr7HOipS#)ont+#!X7vm8R*T- zSoHyyIvAKqyZZ2xrBQ1WN9smsMqV3BQgpC}e2c5MzYb&GP;_^Zvsj8W+;l7t%*Dok zRC6u{9)2hp&X&>_L4@c!AMaOEo5M~HYlgqdOA59K3?&Y6urHr>r% z!IZ>kEN0javCF=2x9b~U=2qZP{G}ihfxab1>l}tOOVehP=w~$ZrZc17AL1&->1K2yQXRLlmGnVk+BlF1*F*zfLEqWPZeDcsJX&OJ)5arKB|3a8t*p0n5ehUw~skgbBOA7FzxGo_y{ptCE zmcvOYjrGr=Tx=l@W4cX#r;?^_e9XLvshu^Y$kCd@mxJZpU-=}z%`Ifrd|2^I{ieqo zl`hynTkNXJVjJDGOfHVwFQqYXo4@2Mr=L@BxPL|U^LE75p9_Ai`n!)*LO3hYO(W7! zpa}GR-|w(Q_`#8czxk^D!9*K{loIde#No}Q1hqtXsI6pa?U2WobL@$1BChGlUhtFS zx%f0vT~EaJpQeN)Kr%o=G~b05o!b)L$Y&Jt3)2Y}eN50^!y1xY9krzryDy&LYxy>! z;JsweZ0a;Q~inIadgW>k4qjV?3_uypjouo{{T?Dous38H;+44fD3|3<2_x|zu{BQyp`g$o;W4uwu3zGxcB8-4 zcpHgME>m|^<0H!3de1ns^^%-d8_UdgeVwvH6n6Uc(KDOy$5xdVlF=%c)vZ*(9HRw} z!ii*uA;0CjXy$cqE1s6U-=e?uqpn9Myh2n)_8FT}Z=#JpG4)#>DONDv>LHc8O?+GuyW`K9Rz;JGllu zi-D&`o^1lr8`Np2fY}E2YaB4UI}`Th7QU68k-BSK6VPGbRcN5djmTAzg?IZg9q?J? zVhJA$I6Rhx$e$d@lCt?8?C_c7>x;rO1(8#h6G@Kw0ad4;CU~AkMC$ISN_-$wS#-ZeR1%lTpup2)R-O}q zFUn};_r6H--7w##HID&!)?1`w)pm~GNsKsBVHuE=7`2Hy{eF!f7VR2`zwG&VqOOSX ztSPYs2{4(F2Zov-8GIZal6+)cns=MOnYZe==$GNJnD4dhCs|}fb{kS;8LQ9j=%)#@ zHhFn8Zwgvy{Bd9{3G_l7_0WKFncbn7Cx>#&DFwv#$&}7Jr6_0{$pw!tANnyq<&RT8 z^cHq2<`FPQOh5+6uRLjLX zu*mXSG47dC(L3?iArJ1}9_LTXcnx+yw1`5*R04?xTd4=_XjuwwUQ`G05xr;c%0bUK zCsoV-OdZt`8riYuJK>WoR*CkzE)r$IBP;>TKlWdW8f#Fr+w)ui#+vL-_#NCyeT0Xg zW(!Kqy7bx*H97>)cvn};<5!d1V{$va+(7=hn0JAPyK|iQj^L`r30A1&qCbEGwjUyh6Lzyg0-Mx5sZ;!Ll(}ff2yNanp2r?Lw;M*fE+a4*7$s9IwB$k<^4tAq2cYnNt^|G91R{6XwFI_y$ z@28|dmpCcp(ff*AAyN`Y9V+BiY!y5_u&gnk%r>OFYwF3bDiZtS5Ff=_tUo28o{r$= z3)22xlYDZLGR1PBe}^ZmatKb1eWWRDAX)_Lm}9yf?2y`t*D*dJVDb}C!A7|DW-jt= zKSO>Y8W}Q`KbiWY@x?Oh6fx&!_7B-?8zS}0Ws}`UT$OLN_-ZI_gLYKrPTdT%7g9|d_!%EfUsN?VIaIQ;<`LeQlf#qs;k*l&~S4mEWUAAepj zH0;$@kCY8yQukhp8#zu&mIf*lb6B-a^0rKwTa)$ht)m$?$xIWHn(JWgz|A>F@~DWLW&DN|uBADzk&0h3ZNQh4M}QN~M(kH@aQNIxgu_06 zLJ9D+UaGH&1aff^5HXvF=h_K-_D{pxNVsK7f1XlXzlKH(GMBx^529CSVm(2` zI7(2dh_p#>AtFd*D3lk!N zUMaUhkBAc4p%6Ht{fqnS;ziUZ2;;tt>9)kHgf=uw`6G#mI{--i`-99$b9AJReuBCW zBKVD<#iy$*ijK9mRX-a%9_z<{>NK~_6FR@Bmb;m*je^_eRsm(pKMdyqCtBxjEm4Em zy};A=ZdW9%0drC#6U|s3+JpQ9u<^II5~{PlHo_DHl@>kD`elorPPe2x$U=b5y(E8e z{DGXSqsU=zeYt*!i zPF6kN1NZAv^d%p3^Cop=_}<;#N0-V!3H{-1>9Sp0dZ-Up5JB>EcYMR$MG&t+C=u|9 zIo)E@4tN4w`>;V%^FGe=ZumL?W8?1Cb!0`{{JS=Buo$cLrZ(0Hn(MrOZ`WA9Wu!Jk zkbR&Kc!lgc%_-miOUi7UJ$o5kldNa(L08*b=ros<0IT4WJ?pX*0nppOCzxFX7oe_d z#NAL`4=r0f9%eID8h0&ZLuPt%a_f7IkX9+f7?MELpvU_GZEwJ{*e zH2jC;F8yj8+N9cj6Z{F5r~mu6psD&s5bytUoA0vyf9^tmAxw+`AlXO5|1Q;6cojWI z-X&Nu!=-t#Z<@3Yc<$?1fGOG~zD#xe0_3kF@W6%%_8;$xT#L6i@Yla5-g=-#g9xEM zuaF=i5aS5gW_y~?Lk~9l0IN3w6V-PNW(sa#Y^RA10DRFoM68w0({1 zU|qR*(4b_Vco1L&R|*39cxM4irb?aoWkyM39`GX*p+Hl|{)9YOE@1dK>^qYzjoA4G z;8R_S9R45C9T4*x)`8)B!vM!G&xQeZj93EzRS$Dk`t!~}3FKI+b^s_`9LRArIs>kih)8YkhfWZBi;l2kcoU z0GwKEGZEv@+v`H3eIU>MLL8q6Lkm9$2Eg6Y9Y1f@$|?3;(HB#kPEL?goc%=itr;Y+ zv#p2i{Ua;}*V!1|J^`GbAq3RgMRUnBgH+&`D1nH4DQf@>>i|}BJ0buNf+I*T&-VFQ zOb4)EJtjGDg}y2=0f6)41)(ATylP`0`Psi){(xa^$Ru(KqJ{x^u!~a({*4&(M8J5| zoc#FWgi2Gce|Jbz#rvS84c;P3To&?ANh|16=fox5Dt`#t>uqU)HxFjd%2saD(8(9c zm4)6-DXI@+)}`BG*PlGTBDOB}FYEH=_-(D!2)0EY2NW>*ID#pnqs>_I%(DiYneV+> zz$Rv~2Y{fr2wNi)*;ddgxqQEswx1*}l`5YDH=ZkOm@jd3l#hv;JX5L{{S zOdx{sFT7uXw0c&_9t72l;QYq)V2R|(<& z(>~wzYZqvtJpPw-2>KCIdk>txnoN2V3L>?X6asK8#TwE)}RG@m}Xj4-)~xE5Io9 z7z#M+FuihxQ5?HA4d5Ev0DepjfH(HiRdl-(^o0YCK+&b!K&_f6Y*>fY^0ZX8t3ppK z1L3)9AYbP^wc}U2?O!`;0<<7doe7EK0j@-KyjJ^`ti5(P*6Xi$f>+!kXgpd3a{}=M zw0Php3BC1>eZfo)MoO4p88psDj{gJDOXhCr3iFTiSNIGR#{gNI-k4$1G0+Xi#*@*^ z=@w)@WhtfUi0X-u!z2wR?VPv}3Q18eIH6dpGUb5l<{R12pl=j`c--DSmZQ(z)H8Qv zHaBzxz0OcQ=jJrmjvb29A-BQmnyWoRb+e_t>50vW6KT;Uu}T86k@w~Nx*i+=SM7Wk z{E`mFhh?6P&QZT1<>Sqdg3V;FHp+9*jqzjRfk6Uc@DAUg*`e;_+ngEGN%_GpbZekl zAg7@kL0q}ELwBIFUwk3#K|_pZOI?QD{ayA(dKa@mQVUNf{8S^4K}JpBw@M^PsCN@8 zRN0SKMK|pG1i7k9vTEXVCoqVgqqsg; z(+5VR1^|bj;s)q69^XPg83X&5NsCjqa-sXa>PWf7bUDYApQ?amsOjrlfLJe!Tm>4- zkpSpe^sr9A;xoy(QCWc?B4#5cJJ!%Iwc{tsHm1!lKL7mHYX*JmLQLt{doYa5)e zVIi_h%n!uYR^OJlAQz=*Ye$>FJN6CWJ4)2nJ@XG3J${vKK`HHPN=f#}*t?9Bjy#ow zvxgiC0xh50tf;^$#y=y=KzVGoX9af&n_`-awlcHxAp3xA%O$L*RelMv2 zO>esa7JTYVmS3jhhT1hZ(Z0{$APu6e#N8I5hJy0TfCK&Io`u4+ zmd)}g%Ps#~Q$gD#AWo-AVJt8mBGSZRZ64MAE0A!wQ08(9EW!1Q>Lj|(fumb@kv^a1I=;DBK>LmA055xy_2yOVy#3V^DbEIK~)^sJfZ)X=J~i9MdYp^HNo zL)JIt#eAv<6Y}%Mk@MV1fQ1>|c~ z0Gljy;mBq-16vYxQk;V)e4E=p*!qvhzs{1yl5OS1MK-JVsQIe5f9EpGWQd zkNxG>{85+5CW|F5c4EZ6H@qtW`v%??eg2cF=T*?p2Qlz(Ly(N*@e6Fu*a)Uo=698& zawU0|(b7X(zsaE8gZZ8dzaZ*QD;@HNVeb7RfI(4Ok!_XzbqEJx3=X8h%o_lW!I#aT za)B({Yhb+FpS3G4wm>zEAz{m2&~2IGlXdgI1tPI{I<}E8! z$Nv>dG$((O&X0R*v|02!X{{Mjd7>F6$Qmy**!E&So4%84^Cs3FPEPrMcPwBnv%L-+~{MeZUwYJMej4 zIMyDwct2|{J{2n9P6^#Qw2<|esI3O>y9!BsWH?eBNcduUI_wgz$aD3*YhhA$5Pu(u zxw2KxVNfS-phl4AbHt+;}fY;c=%m` z_v^Zwxt$K&d3BSA;w^T60yPu?K`-hUhxFR^>d$-YEV7@p(&rev(`LJ{3m&!Ov{*bf-`=L;+H^GplBucpuS@drkqg^WBWRK7L;b zM0_Z4sb%i{V-!3LD*pMWP0{gS9LSNTG$Qh`Qw4}xP|`&~pB%jEWT3s$P68{r9z<$d zCXteV>|nL@-B8{*K_Pcn0*xkr2?@n9AA;36**(Za8+YncjvA4OgC(s%CO! zb#foo*DLna8i>+Q#=}xEd?SM1q7Nkm1Wy^uVGMV0?ebzL(@?Xv(}R*j5V%s3=o&%0 zNGCtLku+^%f-WP?|6Y^=T+;{70U!!?kRr$|$m|w8qr1}%?1EA~9hB{cPjQx|cGbxR z=5{2TOt3iXuCRC+t8Tx%*=p9{8MIX1xEP1PVEp^rx0NK0LZRQhg-AA%LLpI#qYYKF(NN_|$UNb@w?hqWRfJihjb9@HYuVa+>9|8YS? zvC{Pk!}YW!cZjaredh5f=G=pewmRg@fO1Q(qFBh9>J#Ia)=oFgAEecvGuioHxR68wd#Ki}h+Nhn8jzudL3-OZ@$;$#o`bP9Ji<0&FtfWYoBteWOOMOp$LBAy;?7FY+UcsW=$`Po#_qKswp_%;Sv5A;epW^Kjileb`Z%?=<6As! zd9!~Mmj*u{i#|Mjk5?02Dw2MMI5WRcj4rLpk^m;1)=a0k(+LONn4hscIwyF*E5w98 zbjGvK4Et**2H$0qz52LkYR!VykF~8@ac4t&elSC`CFBIUVH&L+<>nl)onvhf-eywg z3j~5QA0D72WLQ%oOMkPhXqP#t#+1PwvJ;TTVvlXhBjX*~F2GE*Dr9tt*aOiCe-0YFkaqWLK*r=I05k5*y#FV4xP7<^p$#XpQ zwg^#(o81x;tW_YJ5$sGBaw8V+(#oG1yBfPTa*fWO+R_mKlCSCgn*gwTkmw$Z7HyKv zpp^l?MvHi1ZfE*8sBfZOYI?SaOf51l2aS0NY?<$+Vt)g%dB|uP*S96zd~!>hd92UD=SJA!vQH*~n_b6I>txoyDo?^V zY3KlmRjvZ6{C%N==jmQFxiivWr-(=tFv&j74?4nalZ_= zxcS^3&~CW8lP~>8N=U`q2ft$_`gDK~MO|JN8fV4xxsp*VX7V|MJK+ z{agoSe4ZT**Ixz;u{Ugdc4hkZ{P$h~8mZJ|a{dE_qhy(-e*7r0Tu-417<)&k)?TzF{12E;5boVQ z1mU*(4P>@InVriMZM)U8nss2qMy^d6z&t(c{ru2bS#YAAbiW)cd8t-ns60n<2n0Im zDS6jMis=iDii%762t)bfSX&s|f1X4vU+)go6_!01;iu2ny>WxJnzeF4YL|J+IL_w_ zmOtV7wFg`{`iwV&PI(`K$%Lj29!!lYpfjruu)%&0-6K>jtEo3YD$2)%lIreZeXE|m zgP%{`z6mys8=d-yi8cKKL`Kf5}Q)CB*ay;8lcc?*RX4vx9id2 z-^s#gqq3!Ku!I|Ymv`O9!Oqjt`*0WasQ|@3Im-rMRcW+zFTE}8EK{N0W9z@4cRN{y zYu8*{65sD_y#8JjT@ed|5pcR13L8O3*MT0GKz4`w+>8P7AFnu&L36@2gUx0<;wo;C zZNbcj))8bGshAq`l2*y2j048IRixARxH2uOaB_wa$*NhYT{sk|5HL2=qg_R!&~2Cq z`d}NE+ryfGekp5*w0!M+H|H1F3l>jQUOS`F$I>CM-9FHnzG1qEO}9MJlYM}(--urc zj7krksUX1Ohouq^YCchJEd`D_n=!ww8pSeo3T+O?bKISzihUbv)%q*K0S*%;q_BJjt7uEZp3(C6GOj zhuuD4CjCHna<$t}$h1UCUq@r>i(D!Qbzn=p?|m_=#j;{KoXBIp3>|E%`a#&O-C%BJ zvmxoql$@TRk7HbogW|@atobL`*h~K$`n6N#I3@^cN~TW;unV&Bw&Em`gP|RxLp#Ab z=gVM4RDx#UK=7d5M+9tss#c*vy1nDuS$=iz)+>_9#QssElaTI8iG-&J2ZeX{S?fM` zzWFw_(@8J(EphGa^Tbijf1=1l4opMundD`;F#2A8P6OSpfB5}ZuVEVvm*KZ;KK2_E zV=ji%7x4b1V;To2psA)dM5OoWv4Ul8!IUmuF}KjC--2l{{KTi&aYtB#45Acv0fT!9EIM)iH`TXmIVdufxhISZ6kC5>^=O@9CdUAz-=TL~rooZ_ zCCOFIX!J6e7hEa;Lu4n;O3y0Sp(k~pf&g-8wbhnDy@-Hlhuuzk?PQyFKsic03*)uw z=XI+YEEqFMm;J3Zt7FIV^;=%)t|7M9*!-KB{SB9AFq+Du`8FaWO?g_e>c2naf~+V) zmfSl_M(NQGqgt6wvOY+KIuyV%rpivMB^j%3(+wZirynB56at~7ElENfQG+AF!%v8v z^+{&OBbsa@)`9=bZaDvxx(7+{dsyb4bvF(1eUSDZg_OUmAYop@VU5b6V1%M8G&+Y8 z5yx5PHN=^Cv98r6TBGAsm2-w(4F=i`-96GE{5wTeQoJy zF;m$51RrFG=RmzbZ;JcUUvVzMjLR>p9sdshEG2IP`4zybo9{RwevFwqW`B*ZCi?!% zouMt-v)kn$GP5y;W3Zk*oo3JA7@R`8FD%x-?S$M+!H7)^!KqGn0=;i}vCgfgIC@Zy zE|9$HL-zl@Le^MDwhZ+a9vEUje;&MAW^FR%VS9?*>krJ>zRQubW;Fr>wAs0(UJ0#` z_5@{|_)?YBu{{AzUh9`okkm(2dM5Gl-sOVilB#sqHN^at&ytby^melWAz=9)^X;i$ zgVVY1D@eVk->s>1by5LTe!eZpCPcRV$;{?t{?%a&?yi?mwf!P$ROz#1U}~Ack;9~m zAeGqF8x@QOHYux_?6&#)pY0r9+~e5{x}pf|Cr*P1klFoFX-@5OtnX_96toqbK(WnN zG2+DTtgcW&p8#Ky0pB_(BK;1Oun~i1gNRP)$wluTJ-a=~YiT~nNdP>_Ba(x&>PRLc zSW%#08>a6#2VN_qZGNq``&21oqs}6;L$Mv8f>^;-Lqtesz1f;Vz1evC-^BU& zS8z;FQfi6cEMO82naHuWE5l9tivk**TW3-;-H@UIyCpCUgIFg>7pLA)3XSMH=j=>w}H58p9vO~ z1KGQD`&~!L!JZ1$ncjs?Lj6sN$SsBWqvOxndFOC(mUP>tLbhyx2tuBz924De^I0)o z_pU*2^5DA2-aS#6c8bQ*4w+eJIr`Eu-9Y7~q0DP028FU<~P2hsi)it!tiz%0MWsKK=!S{Kl#7lau|nn-)9b^kpLS zfrpBhEjs@9g!9)sK?O5?+f?e+ecf2A&Et=>sOw}eNnJ++J0Nzx%jd^n@9IwH?AAe~ z>$5Hw(xs@hN4Ge(%*dCjh$fW$d|Lc4&1m@eenqC#lRUG&yWOv7<@M zf`Be)(1T~^_=^-dO>wk7QgmMr0LX2$qCmeP+-de~vXNaZx)DE>0h-NNs z_%kTvug_?+RE#Za5=ZLmZf0gs75C^TsZ)9^ERuY^QuDK1ocLkRF8A(4{Cdlvo{P%biyTHL*YFwo;m7Vp5IBLQ{+0g zo6Uz(B5c6#ReBdOkp+g-kl@8IRw`mjP6BH!aO7Ma?wi!8O z=k|_SFePYC`C~LgE&}J#xzUR(lObqJ-U&7nTqr|inMdck&Q=}hST20w3%9v;bhE6C zL0?wiPtT|;J(G*v(bXb!t>DSEdAy#vDW^aE>6@*RcTB&FzG8{{5gAJ`Ec?l$K^ zJ*HpVZH&ns#^w@7Y!*wb6Jz<|JdB-->qP1^ZFH|-+W}@?C=qy|4(IG=Kl{dH)1i{L zqI3nPg3PO3?P|?|^#Pfe2t4N8X?*(ApPrrew5Q#W$99zfGfY6iIeVMVJPP{kJLYg1 zIA0oI4y$$VbS!;Y#nTGT-6t z*>}8SOpxX`Jzd}e7ig1nqZ=6NX&E>_0j7Y$V_`CzyQ)Jl(j;GxpA%>%DC!v*1s%%k zJoRh8G(BP?Cu~R7o^C2`dCOaF=m`6Ou@B6$4gF^)Ml2IlvmIr&xVrjFz!vs-FM|Gj zwjsT{-~H~*F6Bd~?8G(uF)CTc7uki$Ge^G>U5kOFjaP$ibGCgU)*S zdXjH!zsIElc=||iiLsBu&S7X5=1Zz;ZCL%efzwW`SfP&M-%@XB7eUf;+>0REy$=mY z6ZsNt?ja9($i~3HfgRD=Zu5YEF}a8AF?Jl+iLv}}9>&ha10$9WFd+)#jI4X+WBKW9 zI5Jy-f&ho}N{15%7WT^bUl8DYj_g*qy46N-Dac5W=`(cB@0}q|jiej7IauX++~XcM zJNd~^zVYalgH>L?Iox!@WDf0fT%gCn>&Wf1UX}%Um6>xM>I9dCYsi`}DS6C#vzy(l z$tiHiBi#gU_$xdA7<%_Iuy^-feDI^pbiASCt!FFKr{b9>I};++;}57JFbD z$`bwCZ=XQP*7^4N3WGEw*E}wQoJ&sSX0H}8CokRPMk{(F1AY39(Jy(?ar?EI(A>_x z%`7V0W*~0@2r_$_%!m`+<;IX4`QOXf(@RZmQ0P?|Z7s#(#>>c6f@17#VTv*oR)e~5 zQ?5>P^QHZ}LH3l1UGz3>+t*#{lTCuJG$pW%ACV@TsyZ(e3O%+JV4_at*0;WO>u_|k z9FERNaA1*gh?D9a*w7jIpHU`D-*95mFv`L?>i8~kiA%H~uTLx2PmNx#f#plR&x&Dw1!wEL;=aBw#n{U!X1asch`FcUViS?BIWKK(QBLRLawEw`&Jg{Y*`A9Vf3SiGNJs+JNgr4 zV=N!ZXS#byn>00+Z?dayrxAS#M^K!)v!6bFI_bdikf(I>-7&rALQnS;2lLLIJDV?G zzMKqz7k|nDNq((y4`n~bJl8{#ii2mD|B8(r49!s{l;x#o=R6xiREBTex;0heOnOun z#A%$T>yU6``lXcX$-xdLOi)ReE8|7Htn3?ZALKZW;|nOq;>f4#Oc1(z_wI>7^vM`9 zW)=>P6EcR69&3_#r9m(n+uy%`PYcEBdiL^l@0>@iP#g_T4r3mJ?mnEmckkZh_-J87 z?nj*NDd|S`MHulw+l@;-L>v$8T9g{ z7k%jEx#xe8a^W7_k83%4cBc{t$$y3Hs@rKq=ZLZ=4HI%KE2OgIIj7P=H)YzfPv@OW z`Ig6eC`|IAZ*V-Oll)ra9?E{?nWubm0zEs=(6dyjP!8X|eLG#79u(J)&knwGQlCA0 zHkF0+Sg9!6y+>swVG}N4ODWfvb2*qJUgFk5R2ycn2qQ$iP#-*au=)7$hSIG0|=44KbYuU<_Kj&N(fe9uA}jOQph=LP-s>(^87#+OlDpS@4lA|`gutl(KGopiWWTs{Jn4Z{H?|#D72kM{$k1&ZS&Fo=N`qKY#w5Ry|;sltDI11xH!5 z?IZi^S&}?UC?AGFv0o~8!n4PEH82Q96M?mzL2aUIGSXY?H^8>q*C6ru z^b#YSG5KpTc@r3jdx#Sp$0<68=(SCDVZ1(hI?5Q2W6xV&(pA0^$LW?7W@IVuoFj)Y z#uFLK1LU2Gp!_BBmV+;U>8wKK8YF!3`79|{!&sI+eE4wEf*A)hm3Wn4>#lmnd0yYY zf8V@*{d#I;PfIqN%+X|*EmdX=@|Z@Sc_}3v>|lxOw>bZ-+3(6A}N?f68zBGLL&M z@$c<0tU}~9WZ{uW+Hktipx7p^{WDNxx4ScurmLW@?ZPFlp=B1Qyu!A|m zQL)#vogz4sx-mJ9j&>bTCUmhdJfA*&+T6ZKOy5cC6KsK@=Z@wNz8SOp@lV>0X77Vbpa={zbOwKb{}uB3VjrE@!b6<}@i~ zAI7r7E(hPOU}5V}cG+;IRPxhx?mW>zz^T+gpas*quZB@@EayXg=2A+z-mKn&nOd!` zS2GXay?eKL@#4ki;lqd1fO3vxgh4ZV_5S_)Q>&-7FHMjz_KOpli6x_BIm%@lvVGIL zZ2d-(_LBUoY`cf?{L=3i!BU!|?7~vG)1;Jr7|RZOO!NS;scf`y%O>%$yX^DLn>VK} za*ie2%&9as(*({pZ{AG1nX$XVl9b6Yol#0D*PB%x%(;Py6UgZ_Nyoyz$HzyL9334^ zt&|3mn_2DmO#azb#h8$PTIF@)%y=%V*FdS@^?(IbMWVUUR4LZUOeQG&s!5u!y+^lsEJ7|}&^ zQN!Q!Jiq^1@B8)r{C+rV*4b;#nYH)X`@XO1y03da7(g_M3F!&3u&{`=wbYETu&|r& zuRsF)`;o%NeB}KHwvUmf3RcY+rDfrRg+=oHp9{PH zRrw1ntUH9ZnzD(%?Oq;Un9WSf-+u1>ebIer|B`5ScJo&TZ+$HmwJ;Xt)MO0(`xK0& zYlA__ODjuD##pMD`KPm?)xrDuEx^_Gx$^@zodAW_fHC=V>+IaX^Mk5ap@FXyn^&V5 zJeybhIt}3^yLSwK^}pf4{~3s8aCU4C^Z8CJh4xyjdPw8-@0ce%eHCZypRw-=^`h2Y zE&qPo+bo($`kygksj(q1?5+QvXhA24`F9L0&G-HPf9U_$HBE>vzk9s1J_ur$x^4e& z9gl<(VBF!Ypoqvt{5d`qeYJg^{E3eh?J;KhmU)zGZO6p+9}~}s zUg8)Dyn#%U8f;1)oK$2a)uTLa$KUeb)kZ5#b)3x8GuGB9`w1wU3nc?B&dNDI(VM!N z7~APFZTU>o&g55d%@fEib9d1RA0Gt_uVm7|75-m82oR%38vBAUfGP@!FZf#h5K_^o z)MtLD{$D2RD2#|ZE_NA$P6x~Uf91V7Lh*He7s|hpAX)Nx?Adnt+WqTuS;4P2yw+`J zQ1@jha5k@rj6upKDz4Es+O4*e?9KjC()HsY+E*Qa1#hn=xi4;pg^rdc*`))1K1rNo zTyrbv`e5n#E0Jtq1^^}IBmm8W>O1ZV81B&j?cbYW6}oQ=IRVo%Ad)eh8i>hSaBF8Y z$$|eD+~)Dl8^Tck-+ninKemo;h=l0gFMT<{s#*3X9_xI@PAy;&>muf4Khg}O6@MW; zH1yzOvoFgrk&dB}<%go5gzGeE#Z~UYuO9sjp*!GPlc6dvr;k34?WUCoz~R!%j+WS} z>8CQcc_(}RyH`K-$fxb_IL`mqQq$4zrWH)jhqa(r+@pb&Yi3y0#Z$Byv6t|?8US{WB^v$ zR2BFM8tT0Sl+9t@J-N4u=_r!5>dR|gPJYz|~=j7C! zcO}z|wf?sFkJ%BKw48y2ms!}fGEhSNN6ax1-+0$I%~_fXagaNB1MGXEz!o{|&+mB! zRkbbfG!kj!SR*x`8O)IKTh$RZEqQb2haPbT9nH*1zy1kGWK`V5*j$U5MEZ9m?8BbBf+9IQ8HL^WOI*Py`B~i<|xQVmgftp z3|?e%zG>p=9<-!{iZxwWmvvhd3Vv%RBYFZRXj6d=QEsnO#w0LCehyEk6%7J7jmtmy zTHDE-bi3{ybaB)#ZC3r062b5GzVV>!N&3$Q=-M6E|DDI`V%TGO=tx&}W8-oOTV6;b ztQ?8#cMH7`BYE8Vc+T!ky|&^$+E3nTBq!$cF>gt!<0OKLEgKW7bI3BN`jX744(I|f z1FdH0^lQ)}XvjTf|a2jj*2+v;y=+3Oak9h) z9JJ-ZSc{bW>&x9x&ACf(wXk4WUu{lR7V8wGz$bO<{a=QS}pkx#U@g1v%+sk|pnLy`i!;N_pCF-&b zFQw z^L;A;L5@03NwWxB_w}5Drl@ge!!r`l-$W@hG8kgAx!&vyij(NS6EKa8`H4Q;T5DP9 zc>gMik#&B1+jV!u`6tf)ox=a#%qN4BDoM+1t;Q7%_fGGKn%@^6KtY!qQuyxI=Sz_u zi?5$AwGs(??7EwnJXE%|1sWNBCZo$!D?C$?RncW}`_V{b+4L*X%;W1ve%RlTU0)aQ zI{k%;VMhBZ~4Gq=d^NeslKt7#>>jf571`*ld&?>SEQD0B|bxB*{_{bCfhe+Yf-Zt3MXmctPgX`gQXT;9`WOqs3J zq%~miVD5=b3l2L`^MyD_=23c%n^ESGwndaxhIT?lr%?8JFSpZom?6QVC0k1;R`77l z^TR`tC%n~##SEUjim%#(A1PceZaKXS*Er*OH<&b1j_rSiwRCYu_+LW3U`~lcVURjWn%p3*G(J{O^&rIi%8mYS89Ys zKY=XWi4(D06Kp?s$bny`u**ykf2!do2q z{lA@A`6f_ZMdbv`kI6FC=Yj4~j7csG<6E&AizmGKO4A~P zSYt#jfR0SSJ~!#JfZ$3%YwuG~Zwcjcqq<;F!h96JN_N;rAVhbJ)niqDaf>X;<*4zPJZcw{QLzPQHHsrpHxmmXTnnw^-W3)_MDf zAmnzq%xkc*@{{8)$1H_Fh1g+y1}QEYSdQ%rc&2r>QT$S%`qUSoCl7#`H96Id(Sq?K z!sHnxcA0Ns<7AL7FLt(yQmNx3C5?Th7n`P}A@8`DT&@=ZEw+EjCqCN<`EJuE3d$Fg zQRg|K(o_HVud$P5Bx+IY*{UR)IrNa-C`O(Vv)bX$(jWBx_#YX>9}&80X7V#!%5!0;N9 zSM4Xv_wdTB%C??)*gP)|2rFY3D|_!XEXE_NuTh69CHW`#J2^qqQ{P`?3FY&;uvp4@ zBb2y80_6Qx{}K8WZq#%9y5H0Oq?){+qqJ$2jn?O(Gd$t~pxSrNmV?&Hl;s<5&7x zMYxFKxH45eEnP9(nc*S6PtRxQZ?1M$4%t>^aX~a*ccK6HO{o&^A35~vy(@A0eetvM z)zS3S_-X~y*H34k`9ysFs5~sEjYbziTdQ$!`6{Kq@$&QH<$aAQ_UP|;A8*QQH1qx) z*G&1eL#ig$VA<4hmPZvKczb`rcTZJ{T0JJiK8(ZS91)DIYXFPX8GNEF%K9YBZb1)j?tdiYku4{AZjr+yjG4JD2PPlVkElBWq`H zoUE}X;re9XuFd9pfT>|AC3y!I%XIe#k+K|CvCRLl|I&D8!G#u)c-QVgihJ%fso4$^NWkM&ehq)gRZ_& zn&+28J|aP9e1Ct-2)o+x0Cl`;sp^9KR= znSRYnpqoXO8k3=8!=gLG3acNYEC8T00;%y=tYwsltDg;21f19CfHoFnwXNa@@G0p; zl%ac(7!g=5oZ~WLsB7qf?dv-eV0>cGV_24Te3V*A{(|y9Z#Fk_Ys7Q z-<3OK^EGqZ_3Z=O2^rU_hUuG(-RgSicd7qkq4Pt#OqM}qcp8iaoyb_*R~dRE=GhV~ zB>8G7+K0MU$zntJ#a1SpgxF(bl$AS_ntc9ce}yHAh+mt4x@p%`88m9Ro^oY5*n#y`e_}{wMga#oLpYXy6CIu^**r)&?vGq`HWp2 ztkB*VR@VQ67c3)Tx8-P@lDb*dkW9YrYqhmtf_Rr@YMpwuz_^2+9>FGQL(vyZDa^{{ zk2@-rDS&R5Hrc|!28uTBTt&rGDTVfAA6y0o1$tsPDvw6Q#tTbJ={1vro#E&Y6gg{C zAkfyzKtkVtoDVJnd0?%lM_f@^d9>#bzz8+<7Xu3>V_{SrkK#NgczI-e(AV+r2>~jgT@%4UfpQ zde{`$j-S^j2i=(&7e40`bP&CVV5=qWFPv#u+2t9w;9`%*74P`FUjx4Q^&1-Vbqx+$ z&^Q}s2yRpUchnv~Ousdso0Yc0+21g?>vmjhwEwYtBJ8(M{>?+0d}`3q3VP1VgEWCyCHxUlRj%SgRy|BFD73 zXm@KPRew$R%F47)ck%Noly!g9{(!W6+hwQ{%Unk32$ajlO-V6U_cuR2V`2e~SAQhl zjq|y>I?V^j$Y=+XlpZ7{$C=M?+VO!vQ_U?UC5*T&Z8zk)*&wPUgz~$LJpUb1McUn06qs$|!z;Vnc;Z~i=a;)PSrVZ<;0FnkH3Ehwf}0s^oVmnu ztI$@rdUXckuwR+FUFxKuv8Vx1O%a(4pTq?<6s+i){QB3Q_R&+T#_>^eLwqBPZHDX@ zS62YBgxslLntf&LmCp*pZ4QLD>Smc`XsVf|~}n)}};*H*~9d}k&4FKao=Tf$EMQ*)w$xrwI<^y#H1^KPM6 z6oMV6M*KD%jAC0O>e{^qt&$)T96`x+C9swzrLsfm=c4s|dMr(gbB^W<97w`f#&o0T z*8^dwq}K}CdS4Jfv;t78Jb5nNPWDu=tc3T?;%f_RYbao!xQY&TQfHi6@dM z^}cq*i=i)6EE*1IaZUDfM2~oDl6XQS`?S$EdcLsCsm`8T?W(Sh8a=&T86W~;rCAE- zCv)dYH9KTgo;~wuWYA|6Fk;IMWz;-6^P*>E%BxPp<7ov}u}Z`J z9Gsb5Fth0LZGMi*-BgGm1WW(yP`58n6HH5}q)M z`9x+#PxNB$tKj!cvYy{hcXWi^drZE0$Pwy9v&w5Czml)P(>8sKf+H9hR`KgnxDEE6 z7is&-Te=B|LjF4M^x+}(`9KOZ_J(xZ46Djz`;*%XVq;1ZIGQ{1$`h*P1g=nfYTpWw z$qF2m2{w1#@c0$Q(IRQ;wi_aEhqIJrMzKAqn0*j8u0Jr(My5USWnUn#HWS>;F`NgK z+_hV~p7c&aWr6nnJfLo7NIKk|eese)tdzPId?@lhIAqJt-)Cbyfv7U2(&}3A3oCL1 znDKCOio`^lC!w99ZE)BTU7KRUfdCWT4~r}XAA07Xb?l&%C39czv5Hks>HlD&@U!~* z7t_--IU!A*ZI{%I2hG5GXQBQoi(!$s3vBD_rl`^KZnH~ShUfasHVfz2Wzz*21!VI8 ziRxZz#1678A@E!QxXrLH;-X;HOh%Ub?r>XIg>^Bk6x$O}_?u$Nof{`tPs+72&FL%T z??n5Xhss$lT~3G&xfUrZByBV4OZ%Wa+f^jKXMkORfSu#I3CN}Q6dPX3*dW~EAe$~@&W1pLaswk-YzfyxSCR<4p-vU>P2U8$=VF_p4G z4goaz`2=|2f zGM}bmA0XTGlZQEjh`^epr9u36lKN)qktV*+3%QyyYg~0TsXg)6qYBc#`AmsDpq~Ib z&9)$$)eGVXp{F74AgTEMsUX`MsR`FjZ(nH6Zw`?kkwqvY_m*vyw(d|>;-R^V=Dwk! zDZfA8$N#B7-NeM?$W-?P|5TIjkTpucjoI)2##t%sv#;`yL58jc&sW-go_P9+fDrrE zEaoSH0Med^PMcWTCNV9oyKlLxns(AR6l~*a$20sya@(~TPYl6^*T@`9KKa?>@hMQp zBP`)+(>LFCP8FjSk-<{xThdk5A)S6V+yaE+%OBT#3KB;h^QP!} z@#!I_kr+O&EOEA#S|#=@1jQH-P#p8g6j7WA)ZmpI6k?8Eb#Tfysoc!b9Y?AKNQRef zu}p9f|7jHM;!+DHvNB0Pp1M&pxsqdNl}`&UWjjfTRDL))ffTdrt!|ZfvT{vYEGZTE zS*wtcm#bA5WLCBC`RKJCH!i;F-zo@A%vqo)Jp`1`bRN4v|E=A@H$IluB;J{i#{HeM z4P>BkZ)GH+DTqUgHIyzsd9jsIzdBk*|M7Lj_H0|immSp;aIgndL{iU%mv;&vC8XQt zscjG!JM8l0iQ&R$^Sc+VwO+nEWQ!AbrPpTxl6t&zT)uqRBCO6*P#NXQZ0>LQ;Wc$V=QORiWF7i?iqL_UdXcVi*D+=oxD&+II`UXz$slVQ> z<*THPd)O*1YdcnIU42S7Uk9CSmnld($=>lEyy5?Rj8FGz_h4eBge|8;w*x|55NWm4 zIPPK_QxL9{m8^A05rAy{q@|_lWX7zKNFP~ncjJ7MIkSs_LOUGRtNzFB-j*XPH}P&i z?1Ioiiy*Kfc6`eV`nGGgiz-jU!}3RRk_07Y(>0na9tm(Ei z?+8VsQjM7WB7QIPbp}#Y&U*IU^ty?-q90PGJ#@l_BqQ|`5~@+jP&e1YYLj%@S6373 zw(I-^0yy0}vTgwD&-ktsPJ=RXr3fzqStghd%8X}Ne4of2C!2-4tk@TBl<|9KbHR7} zm6K%MU)Nn9W3W*#C$VWd0N%w_2=s@_gY7D|)xuiaYh_#HVFNR9@` z7`JGb13kgo6`u_w+c%~GtEg}Xk&t5?g;3yXpU+^k~Al8wH%7r!s2+^|?jC3jsOVxZ)e(OX{jVoIZl4h-;BDi>?(T|vPac1R{eT+Nw z;Q7|r?+Z-r0jaws|Ci|F8aXBBGG_DtgkKA~2rGfbMpw^HS;HivCeMEKyGjulNlg`$ zlHslL$XuNaQp;}cW5*X0$yuZYm3#cod;dhN)l)HkC61oDF!XIi1YFw;lCSK92XaR zHsRuI<=!lBGHMkt|F{KiaaE~c9bti2Etz%h z8~D089a!n#``DYBV?Uu#Qg`wgl+S}nV$%tWiCzRbEe?FH{i5{A8Zzpk1ZE`$&T-Br zwZ6J0p{C>oi}bl_fN#yD+2CZNb4r54^;k#;_JX1EbS(fAWh#7=1kU+rHonkg?Ce>88jL*}CJ_3G#>uxls5XFP z>#r-i@Zf3l!S~UiL|bR>2bFOEVrSBe4VAN|tXs+2Q>hBO$%i%iTs;(wU4!keT$x$^ zk5c97w6t|Jm6a7T1gs)x?5+gvM!&457^T~G<@x>_QEvuR^)gLX4p+3NU9_+kzZ7%3 z9u01-U@G#Yo>Av59*RsEJS{~wuZM}dK-n?y1`Y;?Z%;lD*QdBd?L2L+6-Us!N-W?< zEsOg5#BU*w8uv>Kq5ifMW+&9&!cT;aw(#29cjAx2 z{#>+yO0Pc?R7QLzE3K_AbmutMIkS%9&dV@@;lO#NXSiQR3zPEG1reJnIUUOJ82>zR zoLG8$L0{ZAT3T=OPQa>Gc_Vqy$v+HJ`Vp^DtG5{8je6i^)qOtHX|toJf?{r>!5VbI z$?Y3E`g`1%U4{y@I_D(!q-Vo4Ka1-k})PIqN>4_aR^^exG9dWk1grhR10$i zm}d~3YEK*sDPmw-pI+g-7lxR8_@ZO7}G4IRYoxnv9qRAqzE_R(Lro?BOB+^BqN5MU_euI{8UY}u(X2#n=1|I+{v`T= z8MDU{D+F7)-hckZchbXax?#qy$7*`=5RG3Q(-Lx$g-z-tC8t{h+|Lo%#qlQl zcH&+Q*p`!BA_F|kPKBku#e`bx(*PB!bONuOx*o7PVg5(RCjZmhI@NrJWXdh}O5b&^UP6Eq=IOFl=GBx%3Y?nla0J z*dZdf^a@qi%n`Co?P;sY?_!E8hIJ#}r43$l#ri6C;LOTeCeF#4ndWJRtq%b!yBLZH zE(M4v_#b${t7^f4AsG9H#Wo&VPEW2+~!KY7c~+A->IDzl?6t?H(S^7-kpo-&U%2X!}TLa z*T~Z|M#RP-ceaxNxYJ7!n049ugx)5q8^O-1fcF9D>+Q0W1$ryW9E7ojOM3$(W;6{} zK82uvF#JP^*7%bi<5>GK9nMqeKS!s@(1Kj)WAWpKu|7v8S3A^0oi@GD@7F%hzYN57 zHiq)H8PxTJ0;P@JS4-A|ursqtee-d@oLVb?3(w29n=q)*$D))giN8^H@@m8?ZYVA+ zUEEORDr#_T^L~Sqn@IGs&#$60z6O$%4l}+oLfi$clzxg*nwqv=0OyYw!D^=E;4M%n zZZyMrX#|Cs6xV7kPd~t@ojdpWOkg8wtB8#;HO0hUXfrwp2P(<5n zEl|SshS9h!%!e!Bb?FVt*#keDVZg;PhE0ugb0XNy+M3JASTuQaP$`<5__F2gd{8%@ z|J_p4g-5yHe_{G!Ee7j+(AjSFM$by*We)(ylNUUsN&kS0G>=4jZD`)Ws@cV@i(~t! zajgp=P5jW@VYEMw%nM@rv6}y;0Bfvknur)%%|!_zh$kjHI$KBv5D(v!1|c~3F5AXP zv3~z*R@x3r7+0U;>dM~L7HiMIl_nPYB>JgXd{4<`aoRm$j!!t)t730@?bJFTBzz3E zM%laVbBJf%rr9f&J}|)GZj`bcSdZEwWhyx6N(1Wcv#3L3J; z3eul8X&`^5O-!*I0q!dxTPzZ$JVU-5+@)-soKz0|@gjmXHGMmAKL1U+e9gCOX4Va{ zA8>O#H~wrB%}Hw@L%5OdkPOp*MhbyQ6f2dcx3 z;R}Fd>v;ON%sP`EL+&%rhzyt&lFWhRkDYt|rj39*8iy`pygFmYZR}&k?o+fuvVk2u zR)4+kbQzF|M%h1MDU|0WAEdcS8#A$wtv3qu4geTCEwhMG3&u31Lq~>c*?Is@n)J^L zH^LsyHy{FG02vRz&SwJU1Kruc95Di=2xHR5;OJRLLNBnDrIytv;EUIlXFvJT97LgO zo7>YPCbn(T6Y-o2gf;XJYge%sGS@Q1g{>ii=?8k1g_Q^5oHj5MXZCPUK{39^>UfUQ zul`>8pZ{+1N?pM<8Vk}KoBdZUaefQOX@(_6UjG>o+4~VF)u#u4_mQ2excmhG1rYuw zFxgBdF#t8U?IPovO?e`~(?vHpIQf>&z;IVHRTmfXQYIP3K!$-|ry9M@H;1}IU2(GW zxn)#cv_MR_(yhYG6lfDuWD)T-^Ft*9jGPgJixVY2uAX z*pO!@>6i>9XKn%u&fMtit)V(YWK{0G$1hbrh{W?mr=R7kS5$b8pI1b_5Qh9B2z*4x z-81J-dS4waopvxITUG7PNVVy6;N|dUDLW1}wdJKkYAnOlo6@DQWYnXmoAURbgB`ZP z3DDS`ZGX9U{eVXC2m__*FgFB`sa{3+hKz4$DND?OazXlK{Q86mhw3M~BYFCA?@r}1 zti5U9-#g@(sTG5?S&_@Z_50jywcvAdnUb(DHi9De zS!hwFmWuDM!AHcBO%wzwXWtPUFM}*N!zEIc7@dsLcSGxgpSiRI{e@!>aBasvNEC$( zW9hl{#y`&=z9!(55!E*Xvi&q7W6q8Gl%=NDA6PD43}-`7$ED<3X@r@QL!mExW6*OR z$`fNnKe3EeaR3Uf0w5`w(H`I1QQm8A^mOOT)eNxX%b)@&2^Z|L5E&2scex6cq8+y^ zVhZ}k>ADwo#>lxw)1$F1#Fh_&r!wV+=EXWV%L$^S-S=eOk_L?gdm-pz3T@~UdY zf3qk5e(0ma{7afiKLSq(Vtps_ODKU?SqbkC(rcWtZ+bfe(dpIcpBsp!y15D+*QLEE z4#|!2fK)BgLjw%m=Mp1(MDC5PMuiiOZrS~eT;On7fkSr zd7AS;_^=^f#>fsrJMj>GPh%vw-8@*Zv~yyJNQ#(h!gYn(%aRY$&v7jyV?`Ceo=Zgf z_v_0_HuCx_EIadO#`H!GwvpdW_GvD|Zw4OO6f<)D12_ojDnZ+2p zei6XC7oqy@J*H2)tDluco4O&hzs0&s&I9uOc%VXNE((WWvpf3kQU`4`{gHx)UJ9^j zH`0d?aw^B$l$n;nm;f(I0mSyT4z`=p4LGis6GDYQo04VMx-{|<%!^KSW~g#=H_b@# z(g`e_DS6eCyo7j3xc$HruzaHtC&>h?C-L=Qnyj$lZrGWi^N{sb{|afJ=rDfeySG4d z?=2KrOkBG9Xf;mI(^Gcxmxh%uGc=Sw&mJU15+64;ZA!4zr{(O@+->Uc)Uhkx;}d7e z`fdF7K)eIj`m}l37A#V%|Lm30d+iE>ba8>qbWhnkNN=0Kr#xQV<}~*ZuAQJzOG=5n zWF6(1!fvPb=G|6TlR$GiVLoE-^sQhVJWQSXRFhc8_xLY?71PRw&C7egON z%aV*i{5UPTenc-?ScDOaaB4|(@tM{n5VxSS;5k^%gj(VZ+Qt0y4MH?!CMA8}EO^v+ z9zOQ!%Rwfy%V+L$Cym{czXt0BJZrV82Ogk58U_>y=C7>f7J)vp3OrL& zTE@b~Jzqip-ftl+Z=39f#kiE~Bu|DBS5q3(lX5Z&fV+YvCQ|?4$r1N>(#HSxW^oQr=jB#?2J|QH z58`;aqi|;9(yYXeuFwUeV8sg3TbI*KoS9FW%IQ?0gfWJyJL^w8zl+!4nzOOj)eC+iqF!!u#2$-NlV1+(vF1M33!wuj{1exFl;%gfYV7>w zj(5W92``p+1^@_jL<#^!+VS9w0`yLp{z9PN$(jM-?GJ@R9V2pE3NamhUxCje^3l1! zBgMAJgYdn;_NZRuH;HB4D&4uh(Bf@M(0vq@NF4KaU*NT&%T0Ad!H#xAb+){5Y$H3? zVDeGET=x$62+hZ#06%WGk6(TQ8m3s!9Z3Gofz+Dpm}gk$Ge`93zg-lxqE5V5{iUpO zf>R?l<+nK+pU8Z(Ikm>1sFc~a^TFMEYvbDu=Y_8BB@Fz0oj}_Exozt zl{q^--u7=x3%sO1?wc4BdTA_=Ym@;I9BP_)<3Qv^CdK#=H}i8 zdNp?SP$rc~^AQqw9pNzE{SKk^lF>NIms3e(+aphvsMp4~8^5pUYhq$6YrCXYLf$R3 zx<3A7vBPk>_OFYqX3gD>I19egut2)_rv*@-+hNFU#V!ZK*6snKp$7uU8$7w5nz&=;&0p%c4uhK12~1HTx1aC4(X!(CDMdRD$l!;&@= zUo;g@fpHoKA%;=+x(p$Nal&E%Nm&2360#|h;_VV;S+{dHB`V#b&Te2JIZmvlmYnDMW zO^^O*XuBxuUwI(iBDIsd=I6f_sqcL`#*l1d@*zY7`5ru^(zz|}^CeWH%Ms`aaW$Gr z1(OY_XKQEf%yWEY3;UB-=b8Y~^MZ*#T^unT4DKGDYrou=^&G{9<4Qj8T>xRK#M9Gw zMJGd?x=HH=;nbkt3>(T?l{NTY_Y0&_pOL2rGGs|r$!$=7=B0Ci_eI)Nj+zujsQj^d zvcXYS++~u)L-w`mxB}VsBsXK;*K>JJQ=VoEzg@DiPK)|(lPp%Eoe23nxCJtI!qn2} z@4H0twD5i7F4%W=j5{a7+spUa*tgFlJ-)ih^`DKA66in7I;$|H)6qLEIh&lUr zWoo(|E?7N&_RDmR?Q8Rpv^1h-`q3M%2W85r9K4dcN1lb=Y_q*c>y_-(A)42?#8n(b zQZ1q~Qn{@rgedI7rsOEdSShCfrpgCa1)K6l+@69K2%$JJo4L~!%ktk-$D*;(Envh~E{I|E8ub!ughNjja+g*$|`y;T+0QJ7t5!s>Vfib9bhW7Xx5I%wqaE& z{Cr5UH!`%e>|3g|S;CqZ3+5vNo%pwEQq{k#e9I%YlXJQ({t?>LaYT?F={EZTlgjRv z)Riom7-98Y@jVMsN#uPC-%!E%HAVF8vd>Lq!wUIrl-kfqgM3;(fW!e`TrS8{kkcrvo@t&lphDJ14&Q{xoEAkk$9U9Via> zD)1I^&UOKs*h#`}*6cO3Ky5r?x1PZk9(B6@>WtwOfYh(epF8{H{&p%xB;(^;7?iLR znq#ly59sE1z5b=1Ar&IG$oIa9^w#m{91B9hkK6AS_DJ!Ldc5N}02gyb;T5s?2zLyL zWg-%JU&K*1iybZfL66n1u_%d#oE5^JyZgH0RSruNbREb_3aoicw}&c&jcuVS~KNldH~z#(WZoMkF`Iu+{oa8wq8 zoDxwx3L7Kw3}Pf>DcXud31lIuJ7p)~3rJqc#SwR6xyLV2u+(R-xw<$J)TlGEoE>%F zs7o!~A(ffJl;)p9zc1Ed`VZ&-hq6K`T=j!`Nn0TvPQJq`qk%=)vIYsMg_~Mou+YkC z#SiTLzm9Ip?AEd~?fRqRYOk0vUx;2Dn7^Pi=SHWxJ*tG z2|Sm(O>C}kAYMe6*%Aq$^x2{d-+Of*|qHALTvj4yvkKckp1q2g)I4&MSK z`L#hkHtUP-6>+@2OVExcu1YQcI>(Zv!-iZ$DlFB+6@>#Kf$R(Lm6895szAXsY@72) znxoQi6l#*$_Kct@@YKlrZb@t3BgDnw_eb|WHuwrdaMJ&}PX-P@4`o{YDNTQs)z`nQ zoZBY}^aCsbm!*x~#3#)t7jC{J?)bY2xIOM(IUHWOWu~!irC`PuOdJy^rnEn@aFR>^ zLm@D7Eb;b;be)n|j7L)Jp!f&s<68#r2lv7Q%*4GYL_}H3*c}MQ$+G#S7wnDmthD9e z6s*l{gRHLgtwGyl=(nQsg;)q*%k;8OaEmg9>&Z@~PqvULbdQ$&k((VGD51tH&G5BL zvoH-4-69RcLGyef@+9tL;Z8^X#cFN8XWWa4!IN!%Z=%Ex;;B6X3+-O;DpKgdtH#yi zig($%!gJCP=Q>?(8Z^S(8DzbzkBO_#jO);8y&$=}_6LZlp+uMoQQ_@1x$Q?Vu7 zMW=GBDy_eZ)h~e4sU%mWclpGdydY0yUr2kz;qo7J zw7YCDxCZUEfh@Ml8*7;R{Wm9J66N;nronCa{lI3S4rJeB#hZtU!TWEd?|%N)8skwF zk{rpwE9P#h%Nb85c1YEqDM>RIZ~M4sL(+4~U1w-2@{WrrBh6JT80QFfEuKhhFP=BEm)YIhVffi7j!CCWVA}WaQdt1R;O|l) z=&|uLqV1DdQ@ha&0=JcBQGUy#sAD1>&;ithV*z(5~m zm0-zO=BjVx_ocivQdXslX_dVLWEgj@aD_I}54ji>X}g-!KdPs>4I9lo(6ChIyy_`Y z6?=9Xd&(kMJ>M*)J*5crY~lQFU;rX4?jP^}WLTeKEd21YmwmL*2^%7|#BeFT$%|!3 z*IB_214>zu-PiKXMWd71`8S3LTE(#UeVgevh$WJYcTsakKdu4Ix*bk94A<1ZuSmV3 za`CLENQhm*{WDR78{T&B4y~z50Tl7!dJ7b;zk}A5#^z=uJZ46fYUpg>LYUKYA~T#U zGS*rLYA>i4$Ww?qXsR8@u85H?uTH^u4FwQeIpt(3!e+RG1X@=iht7>AA@Bk#@;PSjnGzxtnkn_EsRe8k%kl#VXJ+~*rA;&cYd5-kqPu1kTH#*2 zrp3u2QK-MxIF{{}8c=ZF4%zxMUm4m{8+wkfAa4}&M)8hBDD+SyKWM|xy)}piIvo8` zQ!N(T`mUK@?N{syk@T4xke-ujjTke;%f-^wKtArEKHhyQcyDJ4a(O>(W9QR_+PET{>0TGhx@|R&L9NoteUk&7}$W;w;nE(d$>Ob^B3pUg58_G_<4(DMokj zuq~}#KBICYm`6ZXNC#x8nD{A_Fz>WFyzRF$`(DE+4O^Sg8PP{Piw+}o z)sD);%2XrO==V>~HVt!`f>U*zKKz!7olu`pL`_Rbz`Ywb&E+~y!q-Oaa;1AMg4)A*z` zvyg_fv!_#J{-r70aDEJjRoHW^vcBcTvQ2k=KTncV_%+8_Cy$;BtIPjk=_|vUeE+xE z7%;j)y1S%PQb1Z-B^8y10iy**gLKyjNdYNAItEBehct{X>F#IW-~Ty|z1(r^#l7qP zoS(BUR#jC?aIb63JBSE>(KEVc)o*N_)5W#wcLn23zIe^&5z2i|x;wMex(l)IZDzP= z52RJ|97~?pIUT{YpOf9wj=75worS{5rs@jRbOr}P`#zGWZ^jm+n4ATf=LTD{7o9kJ z81Z@D&Y#b{sm8VeW*j8d#?|DO79ZYLp_MGW<9=0@`#s}za*l}Y;2H}2I#J6zVV;pX z0pVo1>A}|_c;-LIEGLq88;{!WZnDePjVpTZ@b6Fl7n-{k$LZ7>j^Av=UpDV z;G>{Doe0Y6mUdg7nc8nXd2^uV@Nh0nCUg1B$J`ZLX4~=iQM+WUPDfeq-H~6U@$w^2 z&f|jxWFVI2wU8IDkFqy48fEE;E|mjVYb9&&3WHe+SAFw98R~Qcb=h^b%Gm7xW<|7! zhx|hAF8Lzcnc7Xf4o%9Y?mzJtc|+POje5{ z@kqdK+L@51KWI_TH>vVE-${xve@LQ3cuyBNGo#3GVKlPidCy43V{LS^C?~Mf-#IkC zh`h7VY@9bJ^4Iycdm|@VwvJ%rTupshq$o56Bd;QlZ0g@yF$GjCboWcnJzg8+t_saM zZA$P=pPQTuM(jZW;T9RMN&na^{U~jMU_13N{UWtSB9kuWM{#*1hbB!~RKO7MUz{i= zpn#}4nK980{PXtRTIHpL`%p_o@4&o|)lRH#?lHib1d7%1qjy%-KRjpQNcpUypVv|Wqaz&w$>`cK-N;#Fc?N_9p7g1oa2WpH>{54QMO|MV9-2?HxP*K9!IOjcg z@X`CZy{C)+Uf2rvS9jkzvykl_E`ceG>I!z zE6u#>s&H0n*0UWlYOQ$Ka`eepi#iN3_e2-($P+7m(&C{6%XkcBX0-j@Z%h^=ixAO| z%qyA(fFvx!i`UuB)cS2r-bl^E3#LKP4*G&^u~F+VT5yE+Md4REblko z`Cp7EN)K0O{FG#wN}R!+MrffA4iQxbh&*E`y9Ld2>DP>@j4dtv|K7R`^maxYDC5Dp zL?kJPz0Gnftekkm?zL9KC5FzIEKA?Lm!kD;kAmu#vN5qH4Dw^%SmUmf3Q#FeX(O2v zp4NMmM2Wmc1-`5mBwM`g{g`KA%KpIsio}4wee6Zk z4o=}2TFVdZDTqGB0i&`P-pRD4u{s46%w+o?htwB?sOd77C|kdvc-qgH9;6{s#cmkV zxDwd~ePx`=CPn--@~_^^Z%;e+vF*A4viQU}Q=lW1zvhbnQXMl^;iV>uF^(2Jtnk~0 zOop>#Lz9)MEynMm@cXQ9;z4eofB1=c!L2ha3RJVsLKYZIlo8*$veXpjen3I4kzSFzTBJiSwR`=v>Y;8fg#;Xwp^>?!Q`APAq2{BZ{*6&Ai7F_ag!FKi)QFs6U zRpE*Aizk_}B*9^2e3DL>JQ3OSePSY zih8w(A@6Qg__evzMMqp|yh9?Bv!!Pnn}GOCc?M=&*sgYsDdv+06N75~0(4eLRt`^g z#BTfjM9o&KL-X^Z=QQ0K7FciuBFJur?Trn{=#wjiqLvg>92s%3HKlVdM`IIH;K5F2k`fDbl8J+5MFwMC6*O;g zn!(lL_2nM_ClftsGyN>}WBR?Z7W>-b1lhoWEw+4xdE}eZSO0i|zH#6UK4@5qMMPaP zdae6`-=NH9XNNDtL&ce%CZvy{?tJD?dm5JOO< zw~X>sy}s@S3x~32!i>8yW^L?bvd~JJI57APRqc2nTq*3EIw?62-${*TQkD?a1$EtaNF?Jhaa% zSJMmoib*QD3_J#G3eWs@-7jPfS@i|IaLU58h4UtTDP#uA*=stDGplId>%NVM9#-By z+)IlRUGT_e#@oQG@rL~4X_P3mD?v*V$EYSU6!To$A~UIdy4_sk zP?HkB-AOyQqL+sIMaG8iJ3R=kB|Hnk?uGNYcYxR@D2uUd!4)!o(gd}cdv!eWid3hO zN?aASOSoozrWP>!&AP!T$c5F^v>6epRm>yMom@Hz**RX4@DEBeaIXyhuSDumKyjL- zIY@T*2PiKxNp|~^&dCC~DlL11IT>(^lkc$-UYzBmgbelU3i#uHUDZaTg)(JFg?i=< zxAF*j&B)5!T*jo5G%-^cRro5W(f7X7DOoeO-Rg+eMNPC%uL(KfJJ6ZjLKOOc9|Qn%WIzVAk8ef}yc+6txRlzvD0Y z{`-qLC>_8h$6=Vf*T@==T?x#-tk59+h>G;;Hed{d7zAnYXA;#avw0MgW|4?mt+raN z*D>0Wb#7|@GKy{_E0G&1=K3Y-=R(a;^4L5J4dVZU+X%pf5X#+KcKbm7Z@+u0dQNv6 zlD0uR_B7Cbg7eNz{q)ka^}={>W}2gB_g7rJcVB@`?S(eTVG--;jG!~1Tj9E50llNh zlM&jJ4K}Z%sYo>q+r^^qAwBYoChWM!4DdTrYQ5aEIPPLt?oR6Pql=ThF)^(_qcDhu z2fZ>MzuHa-kV9{T>!ZeHa!}S+%_)h6^n-N%HTF4aIDj4k78x+k6l zD((WT4|@ai;mZ*&xYW1Ppyz>Oh_7+(-29@2@=hZO2Lbvx`lCm9A(8+a!7p6QB%F$c0snd*dt`c`p=fQ%H)1Iy$%2k$f* z-wdvpf~w`DqXk#b_b7l`RjIPp#ar7Ib;jCi;qBA<<*Zu?_m0I}M(fEmc4al#K+jx; z#*mcDA|(=PdhGT$Y0@-5HaLn6xiP!3_K(^{UB8!Oqf6UzHKJyvI?JJp9zOI`LGqk=@!o-{dGQp6}=DfQk6sm z1?8bK;_u~yp51+1`uO8K1YsJzi+r%`DJiw)UXYFQ1bh*v?$ z0`(&Ef4Znxaqucm$5UbIQO$X1F1*Sq$xw%P&H|I^7I{-rb$%lh^aX8ZHP;U(c4q6y zr_Q}P`zaxp-an*k`)Ff?w6)`sHvYP*du46!H6Bz=;xYR;0*n`E+j9)fWpm@Se>xyu zCKJG4MHq|?q>$QVfRr;_EN?y)43k-Y3TDq}f1$PagUbA$>x)FL-?l`nsy5kb_&hmh zZof!o9n|%olcErgBZj2HYd%j^_3d(W6pxUf^GcS(Tdu)t+OLN(u&9_b zO9Vne!uk=|dc1bl+B?yZU?KB=B=r-&h>S@RbM9~5slb2USX_E9 zih$eim;n#f1SmHtU5@vK+5KLR94Vwj9M*C&;w$LZ`K~#a8_19DS1=KiPvWKnfKyxJ z=Tjgz8bxfYVF?N_uv5>cb-_G4oUd5g&yh9HrRX3&(WW`Op6l(0lc=;x11EXbcZQK& zD>wQTlg`wRcH>lK|1&g9~ zUvzMRTdMDrprHjd*xaPUjbn{tc z0ZL=G;CO7&f(5WYe2H*%N?VM~Q(heDpyD%L2}BglFnXPk+ceqma69{e_YeJWbSB%{ z9y?uH@8?Urwu%}~rf>s#Np;#jqIqt=h!f%&kz$n0gfBF#MAC?WaG0wEc5 z&q(Gw8I>vW=1?P#r10w?M>_W_{iPju(-L(4@L07THlJw5k_Fq0V7x+*c;_Fq5fpdM zOzNviG+3d=tyfuyqe-8XMEcKF9V~|~Z|!Q0{<0B;T)m3f(=NMELXR-xV@Kix-YE(uTOC%rE=PSZusPh zR52Pm*MpS)?J$jFDRDj+H%k1Q=wv%(W)~D(__31>PYh-1R#{tg-#@-waHd8y`Tf_m z*tIztAy3TH40F>`6 zr>=y;lpB~Kom$|W08&K?(>P>k3sEalT}0ZNCsCjopNBqxJgI6fsyo1oVYK~D)c_>3LypXd=Q9 zsfdKE0fT^4N=u_yllS2P*pNN*)eLs6BG?cCSS6=<7b#>5y(%|ts2`?JWRRPO(@5Rw9_@-{y)W4TFJ7!s><{C0}IFAaYMnyHP5$8>3WXv zcA2lAM%zMn=m@_J%rA`DojrhMpA@&I9RFLwYmC*)>naN&C5+0& zHmvnxenlagK3QGc9jctK<_4M{WS6oqX$e(UVS<>hnH4J{_xL^Z?Xbz#1lJcqCM-w; z8|E2y+m&Y7PEE+<2`x}(I@MK|;!UE!*pDAk!bDSovf6#qSE+AbO6b~VI(|ZcK90Tp zoED=*u>|i!gOL5yP^AIe@$B9kDE2tGVLx{wHSDdrvRPyp-K=piRLbmFNubS6K@Zke~^Xo(PLcY{%cYXznsshu?%oSR3~Fs+PxIGS4)CQQoX z%hrhPN{y8!k3~tJpvcA~%13F{r_&pYVr>5ibRZk*Qde|P{9ez^ULWQE{OP&G=G(;> zYWCWH*TD;M0@(H9_JLTkvSDZDUZj$qGST&Ei=pv+SB%K}?qd_Bibe+iKiEy5t~vv- z0S(s#*8+`HfgvXSGR+Nh3&b+kH1oXkVXZtzy=8@7pcw{bTosX{tayXFYBCwXj`tEf15o%yLP8+k#=jw*kkzF0}5=;a9awL06zQUAU zBi~2bYEku=#=uzP`81PSJ*n4RYPC9zUyuzK!Tex7#J!jBQf+*6q@F zdUwEby@a4N)x3#2mPVNn2k!SQh|SbEmVejujl)S%EXQ@jRL?26pt|&u?8~`bebyAx zc}XlHm1C{F{b2^1yLuLIggZOprfc3`tax-xdP>m_Mz>mA_woJiE4=Tt)|PBD#R2HT zms+KL8~OTxZ9Z9D9DUg2m-MpydnUx&ek$X%cM<*RA}>+)lNZ7aNhKq{b6PG@A+Cb^ ze7jOw^2}L(35$no#{V4y6o7XOY%m)e6Vs-76U6-7TeKM`@2|kJ0qt-xlT^|bgCv&rli~f~rDY>e?C0aIC|Ll)mxI;bl5qMw z)X^4cV$YJai{)0q65al+`3`N>&?<_wI7BBPtZ%nPY(-XT;pWs!Z+!+{$*RcHe*I{u zdfK!Jj^WwxB}Zx&70WAwZ!bGg?r#(|n1;TkGE>~477z}vsO4WK+8{|y?CqC)a2$Ci z#S^H0=4+>D&!@)v-5Xld`4E)<{xe2+NFk85gd(f1u=ls9Ch=$XK@U^-XAwajFa3AQ zj5#p<6;t@_Mys1H4n(M4b|FXRImfyMZ-WMdtPLZ&>ON=Z8 ztapmf#>-qDVDsJ#=MvS}%PI1+)`=~J=HVVH@7($lHQUJrr5-3CCKiYr)8Dz$04Yx3 z7~%-$$BW~v1{!}i52;LdjLgv%AbOQIcp>)&bk%AemlAHFJc>ax?zijBDHFh9w1iSP z+Ab6p?>5|KpRSW;@A~y;{rVneUDlUo>(4wibDH1;#Ux8sGM_^MMO>&JfXqa9!?TP* zH30)5kyi^Mi`qSfw?zgO>7xsYy}z@uA+Gj&p2AKl@hl>mhQ)vobPQbaeUCZ;PMh)> zAt!CLpM&dXCl7_XH|Xro^4Ruo&gn(r*9EsF;g2!^{RamlRMXE_Eib`uCyr1% zDyR!;>`w^O&D!!@WBahPsfnI<>i+Fy`$U!tlqg7+(T9*wB?UebtARd=sSjel?ZGqS=`v*n5eUSK{k^VloTMQt2|3ocDChG9Kee)4Z=2FOgA1n96@nhe( z?S3|R`3qJMzYN+Fz9$Q0nhC_e6qUyYTyO-Ndhr0aR)Sy{;;z2v_c8V@VU`PkjyYpe zCu1ha*5=Mn8|l=QC+WrWwY0|VVV|L;JCXTeSwcEW$CpoUXyf6?sT`?Oh!#CqlilC=st)!D8ZpqmHP9`S;}1irqnJJ>2({)4L(C~G%HDu=|JBG>e|WnP zWvh+H`5Gu3e{`le1k^@<;}3RiufDq?{BN5$AtZRXpBAyniBiX=@jF(5H;50$^3Ek04%%x88p%o*i8TE=Gp0dXc)4P za-7wK?>{L}!mmUMP2&jvfBJBztmnAcFXpII@DtbBj+ z)xPY~&gPXPnX5vaDx$S34DzU*j2?{Uwd{K{+}D$FHoPeu5*JMbzcEV;4BG^KN~+v& zWI3c!P2C^z&$l8Nf`3&H?CKhkiT#Nfis+r|dN`VP6UAIKVR)|vf%GWx z_yQZX&wj-D>H6on!-m(Q>pnfLqzA3&g=jIN5Y=rfumO$qC^jhC_z$d&lvR)X!wUA? zE2&~v-~oK;F1JW|e5&7Kt-M1DNBf-HLfcLWKn~5somm9THV^2>7Jknjc`myxtQJz2 z-cKZSLY1aO1^35Rh=QGZlTnQ5ju< z)!_bRs&y6dv6nH0O5G|KGVwCg)kJcOdZLw(V@@3Y!6vy@k{A!7eLETO1) z$DL0!#f#k7!%^?0MwE^>_~`><-5uvJT;Gmdi*D0mK`5T%Lnht8%pjHEGm?Mc8OE&7<#^i5ZsuRPDKMu0Mx*P}z#A}(jIesfHiiXZ$jkN$#-lKAbmgDk_Gea zFJu$7k%llW&3Dn1(h&Ww2m^rn+pwK#D~sw`EPFp4=eCnSPNEL{h87s!N_?{K)J3l2 z7t%fI7kKA?#pWS%N5NesjrQcCffRSb55laFZ&|e;bDYBYJ@h8#k;S@zG+QnFhr&+g z3y_&`dAyL9RM^Pd&_T5`q7?J5PVWakYnrZ98~kSX0$6i%?HcW@xq|Bx2eCOTpTz|M ztX}8u{@`YRhDb?{6K)V~azS4N$eC>u-R8bKvB2=kq>4vt0HWHYJELp&`p@1%L z_peI!wxvj+w>!#Zq4N}R^yO85_&K-d9UZm)mrG*x?nk=Kh@%%ClLKH|LSp;jnY?Hr zr;aBi=D~@bj|*#{@16*meEPtIC?(V;m0D0&Us%~X@0M(+07=9(j1_*HpBPf!z+5_E;G%53pdTYgWU7K=3aePPxQ2TW6%FC}JDR$#QuBx)z5XBV+ zh6lu4nh@mQsA$!?qXD*$!dIGGkX3;T_9Af`*~i9!f&2aFdrH$d*v(A$6YX+N3(aF~ zCDUxB0@6ULk;}NtdBO;!xfqgnLNn$vPoddzg1vY$u>yHI>ga7xlkH6DxFs#CY)1Dt zOd^)}LIC70{x%$eu_NY0)f{UcQC~z#BErn)tM3D0zsNK`yrZJA5gT+s(hP2#&3@m;WBrb4g&b4kq!FR_&P1hvXdGHM zx00Ka|LEIICM;&&{@p2#-iY5w?#*2AkmKtEgu|GgV-sM~F3+S!)x}RfxcYg_^CxAV zs~`Uv=jD+(j1H%>F z^sD!tF_(;7wP0ly6|0Msh2u3}=hfgUx$elMX$f;bkZM^+x67lkMq~Dd=R8`h9`Rrt z-~Su}8Ea4kvFWd5TKLtKlR+Q)F9%RN)5bE>O4d5`6$>wm>t%Fn=TdupwRP z&nGuRW(fY$^q}-=gDc^x3K3uXfC=3$2E6b?qT~$1SxnT8NS(OCoXpaQK zS+>(hL}Lv{xBd9Dy6%rq2qXoVG4spTn^w5m#8%yZ`JJZWh)y3I!-x)%A?ONvCqu4! z5jCQ6^c@dMsH6PSm0u>5{ClPhjpvIC-c-{7J|~|&wN~$YaJ!U3^|Wo^61D$K_MU|r z2It_1``Q0N#pnOX-j#$n>5bsA#=I8(TM4#sx|6Fr97s`~)_aozyD%Bu)jdWgxWbpY<>tpSN64s)wj(m$lO`x2EsKT*#t~8lv7rlU$$xNhaUg;w{OQX-1MTxrDgD!ZrKZTG%?qNG~!=CSlRiPU^M=Z zBtBZX;S)R!>&0@9JwGTcDu9Ee7oYWl)#@G_JqNPu;SKhMOZmQsP|k|}*c#Kb?! z`HEnceR1TQuXOmKWBu){2MXo$gNBZ!>TRWwa+}=O#CCvnepTeG29Z?4os}5#$04aY zssR42BVTG?>;^3J8{k#@F;}Jk@u#8YJv1Roix74;E2M=8HQdCl#!pWd@M}jYoLH4~NCIc5P^vmO*6r zjI@8)iSS~}Nm?}nBRnHuIZ8@+A_KK47~cTdyT1B@12*WW$~!~V&!>`bW-FDiS0s;Y3!SGXkr6`(LPMM79k>ziGMtjK3Mx#Az0 z`RC8TTT7>4DgL=23ZDABoiINyWN_8VfS~`A_o`9%(T$*@b`s3^th{RKo+^OmsTb8H z81po16OyqN{zLd@AM}^qaOSQzGR|zm*+lO8%KP{x6Se-{5W~;*S6J@%TE-my(Ajtp zzpuBI1By#1p(V%Sz45^UrY-^kx`qP;mll|TPMyP0NAWJ_5%*(`SzyVL2+ncPaDb5L z364~~#;V*F2`IW5{LM;05fO0#rus$k!rG~K@6P=9qjAUYV1a-@Kb`jAsKYNLR^rPc zjivTu@M>_O2F?&&;Mn~*c$hx2GWc_W^5dIpVyDL%3>6@p0YEt=hrzeA*37oSRPm;= zfMnbO&-X${G48qf4JqWEyZn9+wgbKBPxXY&$&=T%O$0ljrhm*$<(-RFLa%ukk^lbP zdxvPSjP)`apBA&7X>jB>ei2gVDakMVfOF)@&47Vz0h5e}9@a{2`a5?}(}K{Gd5C6#t9d^^x3nm#G0SG%UpQQ4reqnz?6S=weVeDdJ8f%Thk4ERV~ ztd^?R8|K?fotK41B%IHJ1aW7q?sP1adyBKieTJPt6?2i7l>fT&Xb6xcM*&}tw5RgJ zR!-(IxuV_&2>g$LT#@}3_bc{kdd5ESZHbcEpE;Yhdg?NOt5llY@lj)ypra0LRo`V? zyTbUAoKjYlvr=soikSc74|0}?$aA}5vbm7G=37DUU;|MvWy*bHw=Ls)>JewLe2h6R zal=j5Y8-CFRG0)emP&ILu~soI)-ze&ZywXsl0O!pplk#mnD!UdqY}7EaGNMh`!#hW zr5U*6`wU#?0Pd zN%XmA;!+ZUD5v(%(w7{frMqoliI@yw`O~gpv=KF}tw&feDVSHr0Hd_899RqBOcp?* z$Z0l?D+@`9{k0$G*C;u?2tW=$ZlgRieS86gPt^9t*u}RHBI~AoU1)Qwf+v+>vglfK zMM0$2)B?khL?jjLdJ3>uCNSv|83WU|paBf~Rp6&tbj_@WhTg95B6&B4;(_bPx-!p< z1T-#$vT_OY4;_)Nu65#du9x$Onm1o=v}UhoHEblUa5F1fsXEJV+Dz-|+Qg1}TU!_q zwG08#RjFF6s9kmnry<;yp+-!I4JLQPr=$ zW9i5GE4r$lptKd0xbjQJkCPQPE^}?*IadMTXa|;pJs}gM=<~yh#*7Cgkm5)InQ!tv zi>NyOwF%_K{kBCMtZ7E7GR6`&-BlFGVG)_9X3Q*K>DW~iLX*AFsDV`7-V#4mWC@UJ zL?mn%tY71&yR4Ho-ZbQ{5z#IBRrv>M96jPw97u#DhEjg>-GtWhK8u0XaThQS3fQ6r z>2r?_2|okq_8cGO;y(G$9Uo<5cI0q@_fo!YJxq=>vD36AMiJ*2#Ww!H5sN6=A=Tob z%!uVj&0%3?e8JMt2%Qou#vMKEI?$msZeZC52rMuM&G@Z@I49^Amz z#F`Nai7JPa$ihTF9tCc;ti$j-b%ji362&3yc-}d^0Q|pyp=Q+RC4JuKUO@gVZT=W- zeywBOo)T^)QAqQvJclp2z&cc4ro_UP_2xMMXFkS~yc#41(9R?2|Dqq~YKOwdcz8FS z5)ZB*YB|pq+PGSW*xnw)bbkpR1+By^w~0h;wok2LAR;GXF6D^)jpn<{DCHG%VpM!W zUhfbM58i}l{J6mu-Mr;rm+nCvv}K0=R3y%9xfugCbs=xgZotQ7@CT0i-|@9quJ@R0 ziSA)bn;{?mhqRtLSEC(CNAQqfaec|I3JO0Nb6=q9p4|ymmHE>U!QD(G)a6*yTaU68VKgw>0B8sl9CON8JTq|Ao-%@H z=xA0cm_; zmI~?FzH;=V%Md`a9^gVHKdlerppOViffyJ{)Y64_dnf8NV=(o7J2&MWpTDmd$iWa* z=r1EiMQOKoM4M8|r-;DP?V~_#6E!r_p%IWo5l2d;LD^3LWI1K}NfxomB32Oc8KZ8(<#{g{m`=HXYp z-YT!zJF!@stLhMai%b4C+aU8%^}o9Zt_cZ>825LPb?x;C6}b|Bv92Fsx6RG;3^Twg zm#5UL4#fBHT!r&tl%S{VnRn6SSMhu}L7P`qPCT>3`el>b6PiVeEtihpy;a}D&%T&q$N zL6nbiN0nX~5G&qpiUDK!E4{tg%}lxHLrMzV3zDZH~cH^m!(sm$I-JXxNgD`rQZItDo``DWfZbaTs@kK*a)(MYEwPZ6z ztFugQ{LwF#8n7)t;^MzY!+TsAkK9MX7e)k^j4~&T-o4xP(7TmS`gy-1CBYX;dNd#m z+s}oE%eqMJEDI37@Kx$+U(P8v2nN?Tc(1C=h&_^Uuu1mfiX~+(DiTA279(PgY3k`6 zd(B~iSw~%)a^Cpi=F@0L0@YV@gw@cQwr3qTD(4JBSXwf{L_{X)-%HgKzxH!X{fx=% zO=FfQBYO1vNjxS^`iQztX-YT-rlI`0>SM^Iw&H#@6dKX5$N{YKeaZe|7NNpQneVJg zG4FvY5zRsAz7M+_B*Ju!15KN$cuGRf&`QpWYLCcL zBw&+e8y+i?m5QYJG>}_lVyhC!0Nd7@XS}_hn|HiD4BX#jn+`L=iO?9{>>yu7b+S4^ zoz3o8^DMl8t~lzeT%6sf2jU;*%;E|Te!JU(^h_4*zIe&OvhC){MS+XRP&9YRK}D~o5=Oon9LqE);Z>diBv-y zLqPa$TziOr+ER>B7D}NUCS=^X_eFN(z)ZAC>RkzeMvH)_q6TKK6ilZT&BmpEp4EM1 z2Q2mgZci-XOL<>1QK7XIi)N^TYBloTv(M@e`vhd05sR(V8qtE$uvM#NaRoX4#+bS} zrm4d*V|VTPlciHCQbS-$XN3eDzZCpLszWq_Uc%QyCht$%;!M1dm&bgw(r=oLOj=?LK# zq5d~}8nvewa2Fd_C)vI_f$wTT@En%fS0=!yxP~*GvK`ivDP5xzNK%I!MIS&5|bq{$)NB$6!1))6fX_GUg+>xm>TZxqL<*wKgw zjZ;@LZa#4GBSn>?4_>_u8n4~9+Fy$M26@mve=`*I?R2$jS*; z*$qp;=*lko$~1DV;~qc9oE=;MYfXw3Ee45wVu)s3*Rf}s@hXgS=mb&%ENhAAuq$D~u$YZEy zD^rGb(vIprq3T@_KOvKjfkOt`r=lBMtPA2Ck}x++KXKp)AOnpZWr~&8C|3H*%SKI| zx7%2k2%|NHDpGWo{BmVaOG=e1K1cQP<;_1OWA>0kcz zj=WF(Li+X!7p26(-QNc|#mGsUxy+viNQy(DTUmuqjMM?-kBflTUd%%OB}_g z6BJ0RRFEM&#Pa=Wk3oxXx*YLViy!NC9V`pSK?~otz|Y#T6_ohp`{VH!o#YX(#nhFI z80|OR8&4Bvj(3h^`*c>WNv_&&vFrcc;j=q8;|uf8g;x){5s?^UcSL*uR-%l(J>b|O z)z@B?l#q-a3o&B84^h|+BB1jTd3i#@271t?rbs;QUMC;G2$pe^-Cwa&D(H~!Frok zU2{RE%_a5FPf1=KZklM&;u{i8klG=ojfK-_6CcNSkrKvIRJdX|^@Az>sNi9oi5kBS zlK9K7khqWPPx$fhIpZJtwLg`}K>VEpK#f|sNW}J=UD_8a@@a1N$-@7T$!|4Xxnt0c zGL7|~ia&;Z_(%#VsrXxA2xKO8B}z%RLjL7p1{^f2=2=y}IgcEYKRPzpNR8|Man`V& z7@nh4#Z^8Ay;ldtyo*8F-nVNtq4`gGGl{whGpWwn#&piL5KCRDgz&dwYo)D36!TOm zw745vopxfK%!V_p9o$lX>0XKRsmvxvo%@9~fjzQWwI`qdtXeU({9gh-jErg;XD+U% zlx$5)_cCmWzl5K29R*}-AD&d%Lpsl#nGWW|#}B(6z{`+l0DJnpLvfAy>k7tMqs7(p zT4JZnnBNxb3qzTX;w(1H7vM3)LgC5}!K>2-)(DS00Br*)Z6ikPdMfOUK?_UVjwd_w zs~Wg|)z#4iDfdOVvz|(;Tw0~wrNwzJ@~x2p?%jTO{@Wh`7-E7KtuaP6Vv9ZIGzjp$JZ0vcj(Qg6?F8R6-#^rX}r>HVeY@5>4j1w(MpZ zhToZ^aSAu_KPnv+^5YJQ6bxJetdTFT!P=ova|#7W=iK>}8Q#H|~}79^X566I*VX5I4olqaX>~hDmoRBz5oUK&S4=8%ZpP zhYhS)R=?-7kGXPprV|AO)3w!+aT{Ht)(0&c{?`{b>MYNGh4ZoHWr5Yvv*rW5mmHKI z{|x1cIPWCyA{H>_?WTNt79N;m`>toPD7u-&%CkUdn6>R zJU7?Ue>6ajMU6p^m2HgNnE(wK3c<72(T!-Gq+*r`ws6Qp)zep1iwEG<(b|*znblMY zV*+JfU7rVuseTJFNg5fq`7288=sQkzt|?#6?;vx>ny=$P!IakfAW_G$Vc?YySmknn zkxr)k&U+d&m%VxpbFr@`iW* z;;TwkBQIWdGZ9byQnbP!0YOs7tLzqRzqHdX`yE%1PAhC>^b9HPg3ieH3iII4Je5jGDJ{f}A90&=1DH}}j-Q!7UOsEzbS}^ADDrXk`2D}~deW}P zR^HjYYZe)WZI8l{QjqV{Z?)DzQUZn-u}wM;06}A!5To)V#iU<%M6HKJ_bI8SZeS*; z6N-?R*0;BXk1=0V+2)BcmDtvQp8Pf34hR&kQbnHI6G_CtvIcpOYH78rjYA0y=W_8l zuZai1L7LRs>G*B&Bhj$+zv>OaVGhdumStb>f<<1;uz}e{Oh#IOY-vkT*&X+2gl(tf zhyJTojrZd-&ykBJM6!=SvdUI~pK6toK9HZ&n-!Rkg{TUU?My{~@`pNym@qPNP;zXu zW1J7Xv^>HCU7a)5Sqo*Jzfzf`R|6l=$Hnv4fcJ<33H zZ%eB<<`5NrgjlZcGxwjrB^6F1aR|oW_=+ht`R=VHwwQ;dr}nCZqOv|ziwzG%InWg! zmjI?6_<%Pk`eV0BU$IjsV!N1;DM84@Q0J}XS6 z#~J`46Gl+hnnqdrT1f5aw=3J;UY8Zk(M>b4K0r2(c5>!aYx3UYLnXT+7l^7tR|%Rpa(7Ax2OPXE!udGKjvoo;j{ z=l94}hswj@umokO31!}6|lSDup7 z31=b-LJHyGw}YZ5lNlNDRJ68f2H7VgCI zm&tL!={)xfQ;?fAGov>dz*rkWP6YABhn?-C5`vF@!aG|+;6^+UF@y;U8E)qAPKo#o zb^Zo*=EIiitnf7u`$iF!YL<_;Son7d``7Zx&Z2QJ!fL$UgmoTDpjvfoAl9AIcFtM6>!rRfi3i>&Bu=#6?o3Ec7fNmJG;4Xm*5cq5J36;Uoh6UZB17_Td77l8 zge?AZyl=ONLG;WpK|}@iQk_c*T4+DDT&kCipw-5kC0m%jyHHJ^#bO7A>wk2T zZeMB9;Ki04d7^}EQqp&(*(qbj#?tr=%4rUlRhj^$`ymb+AR~$5F(bxOAMQFsnA{NsQWz_3N{sh3ktu zf7u<}MRJV0t{jQ3qQ#Ch+5 zKsZt*d~1dS-@i>Sdts5VP;q0ixpb6|ei-}L*3t(ppPx!{gv>9{$3&TN6)NuXy-UD# zJ8R!Iy@r4&xOPE&4Ih@AtK@LSOnYCh|I+W*N~ zB#@1x9{8$Mskt7o9`hBvG(o8F!b4{mpUU`eHfCDZ52 zw!_yyNBO9{yg#jeHt)lIfh%zbM>*b&e#X_tpJA9<1x5;EYHJXdQcqX*qi(=PnMRu} zAh>a)i>TeinrziLW|m{>{vuIhci@vK7Rbg z#d(u?c!0hq-lt=EB^}URjg_OAh8p7=U8r241$p9B6KKB4GpoHmZxc0)J`x5|EC(UH z#hD@ebo1~f@PDe&Jm4wg{@ZBlJl9hRu-FZiIaT9+@LbHK8r_m!N=?~Hrme^JR}vrp z=BNOsGnJoK0pZO@$WJ~MGm}=(R|k)^QfX~5$VYu5%-cN;Cu+_-avAt_Z0f;K|Kb7r zvg4fUvh|#P#P=BEH*Yh^FgIoX$`s#@gabKHn4L-D6YKv2IYGw0LSiZq7+!3~!TD); zfN^js+4WH8gCTTKHNyD$$p7N=oyoXw!MH^&qkg(>(dgJC7M*&;8TAZHK~!QM8|qT05!WQaIRsvzKv7A+D8=W@Y$7Nq zrKmKa9GIY!N4QD(KJp!lX2GOwX3kJ#vk}jeo8vLv)>c52OVjfkhxXsd!b<1cDYq=R zEU;{!n;e?pT1)~e{k9J+d(7j(gKkIDdl@G(1c}uZo=S`S>)wSp_a2Nuz zd7+av7@fy1LU83Q1Xj*RV8s#yRV_pC;8jLJ!`32b^m+u2S;z13I`~i9$nX0_gxjUY z#re&-iob*F&}81N{EgfI{}tQNYW0Pf`tZ;2#Alkb(phn!wuV^a@2-ab)$%{*;`3h@ zF5ukwQHJ2Ana*|9=*+Gl$EGY z>83*nS-&5FYxg2x%^owJEpWL?{%=N*T-&_s5j6K&1QBk5v#&vb;5LIUjS3LlrV(n> zDN|5F$3@rHI9S^UY@-A=?^e~4LpQ^Z&dq=DW`b=Cw>&nOU?c4OMqPrysk;!mfB;@{ z2%$^wCDb0^Rr5m#TyZyomtKdQs~^Hu&wPqIpZ^HA{q`-az2|B4n7kfM2FyX&jf|1BCqT5nB(EvwKBBTf?D__86D_!YT4ndShFcs0Q6%Z~u zmTw><;0#B)CVl2Mw}{W_Ri0PA$9@QKlBs_5ZY|)PDY&#^K;s~8flYmG4ipEQzfmil zYgQB$tJs2-luSA{U$9Zh8CnUT#3+eCYq*b~(}jZI);HRNZhhm>p+^|ncNg5^5S^Tj z%%VXUHf1h0Tyq;P-p>l?a}(3oT~eh~P!nA#l}B_$}RnE*EUWtizAvm!Eut z&uX2eb@ZFmrKSrwH{AGNh5;OZJ9fP)>*$pIKS~$(F}J_xdAEFZ93}TYgZ6YjA?qJT ztBpTJ;L`nQvT`qiSKex7Q1@GLJ^Ytlhk!+d**S#TyxjKrsHoQCL$=OK0a zg$PO<0sp8<_=T0guU|glW&!7~H$zdWIz2*XQaPc)g;`f-rM@>QUj-p_adzTnEduJREHA{0%6Kl%(N-0ZD&UZV z%;jTzW!<6gvC{!(i%6_=pCH!&IPW=vnpP9gaDei`Q=wrI>Mzv!nu}8tPU}7Eec05b zmKIV`i3Z2}LQWR|R@T7@e39`v=-xMhpJzX`Xy41gt!H=wA`>&r6kP*HPQjv0S77U% z_hHhO?dZ(UH6U{!{L{+OZNd_?95xpL<b}b=y9{i>fa+>L6 z);5Gr+ksZouSLi?{9P>P?_%|KG&}by^g3@FmOuO}{N{_}_<~NZn!iJ56>w+9lYeEn zfOF&DD)e*U@8cBxP#C~*tHIhwdEyc3Ywo{Oe-ih8eH3f{^fvnJI*jHQA40(S4l!274v=dj(EK!iB;`Mu zu1zUPTP-<3Gm!{l1Xx3rBmri0VZ%@W|6#m;h*xxO!6W%RBex-F%1*RcdKc37{1N?k zK7*#`-Ut6B2MIT74mF$af8kvSp1%u2Z~irQJoOO{KKEDL`s>%Qj)3b@H4pxARp`k^ zD{syv=#)DJ0pWCS{fY^=0<$zugM;gpgrGhtXcd`9E0F4v1rmI+Nc@f z)g>y6fGb7&K1pasu!VLEM^idGlcpr#G#*X^zcqBAQ}1K~E*@>@`dSl&ZBAF`Fax_D z{gX}KlAXL*4ZCJK(R`Hy22Uc8#u^uAQjLXjY=j?GSTfegK#q>Apkx$^2k=}UF!?Gw zf2e_-l$lG{=#}m}J>@Co6vzQO@&&6e)Fh`8(kU{r+ccj(HKiv7IZYs~eYrPtu6NcG zNl>$3%PgqkzChMkEvaD^s-V<`fcoGhr6n~CpiA!dj~g<2 zI?li1dThS+Zj4=b8REvwMSFf`AsK_=mp%y1DyG6Oe=GvaW*}g|EclnrMquS)YN>H@ z0fU$E;%hnlN37&`auqMm*6^Z>fSYsyA;<6TjLQ)+{VFt{u@g=BI|*968v)C& z*sOjiYWaW@+#aF6;J&8X`t8wLT=UMC$hql0wApwAnryfo!RPNs@Ok$laP?s{Irjnn zIQJrO(H(@{%@iG+sw6c~dluc>^xf1hqhK>hGTqyBx;MGCDN09PfdDhMO-tKIgqzo~ z1&r8?fZ@F29lnV$bL2Nn6_Y-I8%jqvlmHyE6`^BxAY}5jXtVqvdT;v`2LI|P67KjD zLf71bfW-$9xbP7CXK_2@5CZ4iP6v4fDtA4BZ9jb*cm4Wp-1^Jk^O> zXsRb$6I=pZNQW?lw(IBgz0u`q(050k*DWj!UHf^cRCMd_K|4ME41jCfB^;f4#-ekt z81xEHF^zn2#aQF!l&;iP;8L2=q!+z#lhm&!2|9w1(uxHoP9NRra5HI0Du>SPbbTLi zf~E7E{}te@d;w?W3pnfQ1UU;h9n%2rMmd0U_#wuKOu{?YQ}D~T6`HYFS!|)KJ^M-Id;NaXzJqgzY1Tt=|2~6 zZk%;-CZPGs3GF#GS@tKO{o|Adn+j}y;IHYI$Lg`=rT5VOg4@vSygg{O@c^2vyAv%o z9zu%?4x#CJ2jIV)pwOW0Mcgj9)yRK7HRncWJlixaM7ql;cqTzdz%{rx@8E4SaC_Y4 z6y2Mgn@L4#yqW;#Ota-bY-58|F{xnzW(` z{0RJ(?1SIDyWltL4g}3T$oFs%O{P(^Zbg$B+c0eR&v4bx-o}C7ypMy=yn)@1zk>WZ zTM!sog8l<$B5BwXWQ0lGQC<`xv zOu)3a8;40LhUVwqhi2>UC)^Ihf7PAvTSd3F@)r0l^Ug%FP@;R|57Y0Q z>!1Zl17=^tAFql?oa)HH=@h{hLHB26H=ND*`6(LbLh%&~oKNXtw4j2wnLwf|kj#?T7!uy?j6W;Wzg%-_v0P z&bk}HQ}?0yR6=_4PV}394W{1sBz8UdKJIz(W8CxXTiE%~i^!VB1}Ll$U9(0bbKDAq z7f(bxEpAGHX$XLSZx8%6EP!we=o*LMPH_n8L~!*;L>r#dLbI0ec5sIXH0v6RZZX;D z5toCO>R0O$)d09A9r~O3Cp-5~HdA%=j>$q~idK*=H7gBh)$iUBX(kn^0uw<^aFaS{ z%p9duWrwce=-4d+U3x~NcNpDV)<6`M5jFx8omOEnL6TW+ZWqw46$?~yW(ICU2`K?k zkovyzsI0syp2O$S+H?e_m0%`!CxH4oxii7i0#D~^Ytx*zzb`Y-*|+COHZ3aYap|g< zl(H*$?x{-G$_oTpvf#$8l;|l$e2TN|O;|LYaqk#9Ho7)m6twQt&!i`NMI<62HJ6{! zV4O2=H8yOz3OC>NAg;OV0bH{8VNBh6J#yx(L+jjOXsVUmV~gPL;rm&$3!c^2AhdEG zf-BA;=w>3YVi5udE;f+!uUZ0slcpr>h7xeYS0ixbIs}Y9j~8+L?oPM>{!@6NHjNi) zGt?({CH$4vU&!CjQeG6Uy$->vu0Xq07h&)%`*G_V@8gZydb3#SF~UuQ!JX;yRCrR$ z->|BlPyNpYoEty-&{x>XhA!?TU${x;D{ppqXI(w&2)gRJ8XV)kDkNXxo%_E!ib;74bxz4g3gGL8%c*n z#}_jFI<#1@AFWqDh!$&~K+wv^>D(UVd%BzNWgqDdgxmDJ2$^szf=6GA zCL=FJ|G8IT)-Aurbx(ajz0X@ByW zGcQn4$IH@_8kKs2zimy`eCmHL;N198hrYfJxSXvC9h5Coxj%QU^mRAlUA*W+ea%T! z*Vf=`{$M`f{jc!&w)Z|m{Iz!zYS*Lr)JF=;2AfgIh|XJrT3!6iigp3<)d_NkHBxy{d8>y;Xh|D z0%tcWbk<%popKxDwhIB3e2(JPXggvZMqT*;w*Tyn2EgrlfPkC2nSd)na99cY7EC}~ z`Bb!y%7=gFI0Oi41X^IHSopP%f?tPd1Ghi{t#gc-wIsMh6oT4Em=tB_hzvToEVS$y zN8p7u04|h(>l&7doB^XSaMTPG4Vu9H(a0znjtqh)g^jr&7fDEIx~@Kv9s@c-PF0i^ zaFQDE&OM^gvRyB9;Pba=(;IC%^hJx-UD2n1JTi02P+mD2IeC@H$*<(?as#^JQo+dq z*eO7x1T?E6zDGH@%-l-4xX}#~bku*R{WC#L5~xfco)6qk2V8?23Hd~{@4^d-_Pra_x^q8%hOy`u?Lh`Vi=ktuVbSvSxcrLi zaNm7D$9)g}3i}^=5;t+X>5d0cyx=^v$sS?=7Z_iJ&PAiqZ`5MUz4K}0oX-opf@ugI zI1heBba3VK3Alyu^99`CMU+6d5&=WkAdug=fKlhu#hu6B!v+LQ-NfI<#qgU(z|GL` z0nKv4-;!1XU&`d@EMftMF##iV#G=1$B(2 zw2e2Z$q)ihPA#Bae+0DcZ_<*Qv7~u7y15?l=nmB`~F{I&wanZbr1X!lQ(Tg)bJT-kzQ#a7n)p# z=G@O)aw(P^dJ(;+T!4_WIq)x@4ZqSk@GF}O|8lyyf%D;4u>gLRlmIt~E^hEj_!Dpe z!`8xo#9H``J{JMw*CTM!1@PCLm6I>wZ-#)IaTPBV>Eagb<^|*q1TEi*rpvBIhvioy z<-%Q9`^eAn!sp+a>Acj#An zUz2#uK#i(CS#P9-##eQT^x_an04SUI`a4?eCfNPcS-UOVM(COGS)<_dEx6?e8T_ezjAZw?=;RKyr zolVJg?h#4A^+x+nebAwEAG*2D=-9On9bGiy64OvnT#2%Q!%ow0Tou5vpCa9%m zlp!@!D{+?^u&JLdDn5&jEgl`Z_D7qJz0sm=cRJc01U@0(p(l^`L;t80y0&r*88a2< zEL(?7mu$y1H}AnMdk^8R!w=)0hkl9u_x}QSJoqGb-uE+J=$wnLrK8ZPa1>f*R3Rj( z6wSGvy!`;yKl(?sAGrcSCA0Z`nvMViIKr-+ZcZu73PMhR8$?G}wZs6K$;D0B4F8E+`5otXT@xP6o-_jP9|bps3ph9ad7*Evkp_S|*KEqfYt{p2 z<^yLT(rW4`zwD~Q(t2&r)gH&5&%VKk`+tM>25k4hZ~eUpSa}Fd*B(UkwR_A&$Q?Hv z#Nhk>gloP8&U@`U#9ekDS}eXE!Sk=@RVE$W9PZDiGtyYM8NAw@zTFg&1WcyWnnXBF z$vavcX8L#?_mDb_oHcSCH%T)BDh};TE`ZlO+*d>N3aoa zp&d1N+fiV<2s*cLvoE+wp`D{lda_l|M6~XeNU+746lI%U3216klW9mLI7)|1M)|NQ z7&>k?#!X+02{V^s=tRP9;yfOojLf1Th)m8$?6o0Hq=J(^ z5h-lQJ&1@+L!W-}=o=P~F5UYZweQp$9jI|=#TlUel z9meek?jzhD!oGVR!QBu10(ai~bKG+OlelExV@Mt|4_(W~pliukgeH{0kKcg~g(I={ z&{J4-|0`%aWDx@LCi8nV9ez|msfG;9L%={U;N;?}EZ~-#G^M{LWFEoq;K(%y8oM6B z<2N8k^Hol`kiVJB5ugjhsoVKoCfpWi#SN$EBxLywXt8oPS}oa%jLUAp< zv$~TwQd^H20V=3k>LW)jelC0Sq+qutk-17`cm^Mj?$hMP1G>~ z?g;f)e%1d_UyEOIf6+^?A?`*xp^dko>4pOc)jDm<*{H9$7p+zia&&G@7Vkoj&39nM z3!h@&rzf%JBjBP}zd_8F18B0~8U)SX#UJ5z1k5JXW@#ppZFFsy6G%>Fr25x1k7R?? z&qjb*`43e^n72NBBm7P9Ig1h0A!!7Co6 zi+ln>iym`QlE%Fqrh7X8|Ji%#ChtVk>9-?vJR63=gk%|ATiIH=H^QxSH6h0arg#;d z92=a%`Dj4LO`z!w1~>5gDXG?0oS@u z8k(xWq)mT>c8N7`3nJt~I;v{YDJ&7(YZR#9%y5# zD>cJOKRUT^Gl02Qcmn!ICL=P&gXq|FgmJ%fw+M9Z8i_6hW9xRk(NSRR)DPWz#}OFC zNJ*zF${0Y9IVnkj#ke+tNPtlvoCTTfI}^B+Hnh-l0M`hn6uK?-kLj^aijxqNbK_R9 z(lPb%=~ymbQx%f-wbbvY=WyOz8DFOyamfV;(^_qs6uDQl`I}qPsWxxdlj@Gp)?E`4m)?QEc{>oc;T9C{I*d(Ey@C7w zavYET6*%^R^Lk+)lcfKhUjcHgo$aW&d`%tpE+&J`HgG zba4%`a2rXujo*oo*#y;+2hn2H<7l?}aRe=Y2!YF}B@ZKT(W7*9570%@waq<99YE0B z{d~+_2%35e0>|>|cjz|wl@ppJ>)~Ir7XE~rB)}OJFGWDf5;PmI7=zEh1G|6v7WO~) z3HChwS6q1TSws)!Re0YV_;pQzzfzOk5}~DUdh$xXV?-AG+J>3J5;-^lPN_=4%>qvD zEh4QP?fWJhz%}ne=n-(eqBBrXIi5gUh~X3Fdf|2s#!Op?vC|h}$k-VeIARj2MomTe z@JYxkABl+MJaq1tNVlf`y9gsQyr6Fq+V#`~&0%OwSJ#@5Yh|SVIjuqvgRp21;*xUd z=+X(iH1r@~yYexe)0&$Nr``r6Z4Z;B)Jo_~b%UY%-u~JW4CZ8V#r%NBB7f zA%&&R>?eYq`p`}VoFk<=1u}t4X~_H%xjGFC7>JBqN!5?#JWnvKLR!`U!fu39Jhsz7TFFCym?a9T5Q z>5YWk4G3Dj3qk9yM8}O+pyIXzxbY8f;f-&Pie8S*0uaQ|5U<^s-*e`*MD zNx;waLJpR9Hpgk=nm)J zj%Lg5LyOf;Ut1H?*Y>cH|3bR9`S%fObZxWM-$vJ_D#;mp5Io^VJ{KE@%B^&54&3|* zH^1UF4%~bJSF{X)o(xYLfG!c~Xr=x)I=BvWTuF2$#e*kd*!Vfd zwT+&-fcvvBa?%{aZXQYp6CxEOF=+HO1G{@50h}pP@v3y- z7y~=GFHcq(omqwH^Ap&#RXd z+4}%~_NTY-*;ilUNc9P`BDmnDSx-)>uKovw3ph9a$)S>Aez9wh5_3*J+h>HD79xG| z#7XRV|4U4I=s9%1bRU{;If&3r4DD|-o-q;|)EnpbW8KWW;UbSkLllixy>54;Ww&nqZu6hJP zD}F-P_7emwRyy(#Ud=vC*Qj9tj&l>(LZ;t=P`b7dy0*X}SFk}LC`$=96_j`ZXW&+L zx>h2fVkLshmm+%lMOeG{8Ql5XPqFXCzv1?0KE%{5x1o8$Kmsleesphsonq+P!qK#6 zGCIfPqgk&c1Q2G{!I?BAA=kWH0^0RUMbEf=^hqp2@zAO0)ISv+=;V4uXQN`|3=A4I zi%yMDn=lW<$IZc5I=In<+ZbxdxEVZWG6s#Aj?!ThkUMY`qC7f#m## zqT7IR7&Lk&Mow15L^hu_Lk%M*uryZl(0lL%f&{^ioLqYbPi~bab4qO3lfc()Ft?C} zTeV5=)1%K=45aTec=!x*hjTGzf&vG*2~*gzedf?qxS>TZ#%{hgH^j+SJ+fg(20Av} z$ZoSawShyYkXxLM!6T-jH~UUqS)R>CbsNY9Wy_IMV^HJ3Mz^^@?auu;m)dveI1C>* z9pk6X$2=8X=q|B>g@Y$N10oR;vl=lgH)7r9UD%wMhV47Euq(3|J2Q%~BfSv2vr4h2 zum- zfSYOj18{6EPB7PkTUE)QZ$o{M#1@^fFalL_aZP~ZV&kaQa-j=Cy3jK4CBOwkup}IO z6Z)GlrHjXOWr~`|vH4Uw)0UTklc!fF5_{8C>%Y!!G9-B91>tz>((|8jwj>r1S@>8wH_G zBt$x_CL2q$C6NSftd+Pou`W40BZ3l3#_X{hasl~}q0cAqEuzmzFeA9}Tq+4}6$H0( z2yE%I+pz^D^!eGaq~$Jd5ei>7fs1VuE}-|DOIO14=wz`Vh!jY0`xk&yA}#cQfE-*` zJztouEx@+Adq_L^6x;USg!_g9be_iM;Ti$gWdwxe%vhCxwgV z<%TB}G#6v8`XUZ+gUHpeir?}C9oDj;&d&YDa=?>m-C$637mVJ4z6VpQc74b>4n&K#y*bw?-;NDEN+i{?3kNqgB-xgL$Ha1P_8BssOS#2T zbIn)PgR<6QsOy`;)Y^puTjYv$h+DH6t2QPfVaqOT+@8t-ZcB0|5>xZJVMzu$2DtRx zN@Nz)AT_rdDTN2%xneu!Sa_k`R1HXvT7Z@#70`afd`wieg;#tAwp5%!T*)7p>X85; z1Kac^e*>I=;PzL*k&|m$QK`-V$Kv9ci*p8Fhg_V2H~k&3xVWEy6B6LW1URwP1}?Ug zgPhZP2s~CpCU6xx1~0>m)teAnT7#UUCs6mtNnF2o7q8yEeyTEJjRT~EXajzf&8)zNJZyB z9F`9uIU}BHCit!?+;F7GWj*=^BqF%>0a7k}K;g|dD7o?i`)+L~H;!{~Bgo;*S2u>Hp)ENy3ux$D5Zqiiuo+vrK+o8g+t)L-!$NX# zilkX82Ix0xI)SVoWLc@4?!&m@N#^KU5E!Hh5K?TIvGZUKWX<9B19F`QL5AFyEU6{A zGd8r@clb1npQ(nKN_v>RP#-fCb-0QI6UbSyx@j!_jtyn90CP6XDbXknW*zDKG0?TA z_heveO@L*tjsdP!yZ!{YVe~lqysdho9eu_owQ5K1t4RcA*0Lc|1~+N>Zro$o0vRS& zpRGs#(da#BEPV!6=ye)~kDtX=;${`i=PcBPqPiiuUK`G_Ie7&mFfyLNwuOUQ{Q5+$ zUB4|A8@BG|v~hbHw(iP75#~d7+hvS02n*c{nPR9d0 za&Q8p5b%s6!6U%2Axh!W^^yeT*6XR?lWY?pc36oPZp+Xma5-jd*apA-2eAFrNfezt zjw5GI;quMvxc~Se-o1N=@2t4vZ@~TD{?FQ2hh+F-gN;m*l&MT?rtJ&ueWbnjbl-b? z#s~WE^|udPt+q?_)Z*8l;js4)^jVe;abPl}g3=%kBBv9S1)*0OxuSH)dSzg6ND;=z zmt$DOF6i&7#Ik+ok#_tRiqAhs)z!Dyd;L8Q-2ROHw?Cnbz_y=&SAFdbiqAeq&Z)cf zxF@J37}i~Vfx}my%}DBjq)e?fv4#GcPTM>l7n-Dw2~u6ImE#?{}^_het-=6 zj%$j~V5SAR(Edu`_m}~G=g|<7OA?WUorgfsQ6eF^wkC;4%*8RWp~|*>CZN;6$>`jF z5dYUwe&ZV6zI%;^uU~%y?)Ub8;wAx_MC?Ba@K|&ktFHa+yCf9g z4gLSg_fNRqP>*BOkJn#)ggNW;&^IazGTtc!H*#&>pK8nvgMESYrsJZbGrPtpe=h8EzpSh2+%db##{T=q+c#pzA zA0qR}4eY)45(lq6!=dYsap2|)R6Y2DRdx5!#WWgX{TPT0mO-c+3xNi?IaX<#6(!YO z0io$8g4Hg_y5l_;bsU_ zqUgjyU{fHl{ZOz(ih<+wKOiUB7ZTt^3+&Owz!N5$3b3i} z8nWm+C)VDCU3@NDjnOB#O(h367DBeDr1Ma&{RudhrfV1{5$!htjty0|=subp(@3=M zIR+hijm6Lj3ouXJ=pO-RZ0-!BrHN6tqpS(7+NS$x*c?a4_e^pv3^k+#qYY z;m8hssqIg&8$b@O{|~tR5CM)ASLM_QI5xD|mR^&AZt%Fd1UV&4o}-DmDvP0{xfJTU zmQdC*`ymCj#at<#*^0Vcy}2o~$?37&k6cx3NyThdVZ2Gh#?Hmi(X%-Xr~TpM=5ZP^ ze(nzqAIGx$(|b>t$Gz4B7UM>mxqvXX z5%3C$gMY+IgvYHz)QU}rj^E6cxCx`%@#~V1usMa(s*O9ba>EX+q5X|Xnb^tZ;7BRt z-m(hzAv1p;(hK%ub9N=XH|)eTGiP)nXWMSFGP+JuB*58X%zPvGtVl;(YAx1PUx!IT zAv)=Latlg$jUfU}a1$`NEocTf7WA#+zzt8b)Lnda4+-EjsQ+pDfln8NEH|ZOfk`a6 zH@X1ik|nI;>cvS}#6g-aw0ip_Kz(yQJPQsZzV=USK6C=9b%#-K>=0^>*WuXlBRKsB zxwy+0Ilz7W%0h*H1Mc_szhRRs@Bi1G@85{U)G8pK)kUj%(nU*_flc7+S`51UPFBaOwu;&@r-x{t_FmREvSJ zWPVOj#}rctC|w3k-~h&QSW2nk3bsAGLo492>U$6>v>(>(ZZ` z)QD*qLXaCfjo_lF4+V8&Xy{o%%fJR&baK}=v?IW%G)zpojFz`Zk`T_9N}C|Ol6KyL5HAez&&4C%jAc2=--7cx?%H@ zY|)LGttaeU17PnS3^%_>_=YY=AUU>ha%|D$*p?@3|Dk1TwjqX&ZP=dv18_|1wx(he z!EJj=9ycs08Jes@PVoVx=kCQ$f?LpIg9&iq z5E?}j;OOtfG!8@y+b&BKPvHb?z@9kf1OstH2D%1m#0Es0gwFWdt{JKQ7sjaY}-$(-uxLB$3^E zOk7ij=;M!&MGz~#^BJYL-=O^7D^xsqhoYOWPh0bc&==&jSWc>=o-cL#ZLKXX`h2OwwY*Qz7s;dwRDnLMkfsd zn<7Dt6LWD){}JE<=sAsANGFwr1UV)pABdIdF$+8(QgDKdk}alstwwawF=U>)i=Bt> zVNK~}%(04v?4X4Zbe#x(r%{a>$w|<01c8l!M{r{y1furCAZBU=oNSj7Xw!QPSLdzg z;3?=kVmii=JJ2$Ago*)ka5m5-XJWY20eVI@Bx??KnzYa6-Ud#XgBCs5>oIr605=fr zdXGid!IQZ~B@AE;YHa9I+^!$JH-j8=brLlP-4Do-nu@D-?LPtC2T#PHv2!q%1zamH z#zJi~E`1oAC)B0SxyZ~FMpkZImK7F=zQmkvH_E`ok>tQh*N7h9s70nO1Z+pzr|(6r zfib~~w8+GnJI3I-*o1r$+0Z1xZAW?$c4d}w+MUH# zPVUF<>?&+dErxsadZ^j^pd-227Gud>YM4RM$_r!FjNlNt5kZ>^u&(4BA`8!8y4N}g zn!$}ZH#Qe1WSL9|aD0}NQqh+BnGFGsq-xg;a9$9o)5VrLU3h5(5#YiIaFG(gnM9I% zi-edBjhhCevuz|6EZYJ5lu~-%gVS#Zs^k=UhwMb#pgj=ykpuE8gTS|(98m#8o+S`CWfJ7JL)v8%X(It+Jp}e^ z(b|0-mKGnw)+?`(clA9=$+6{LdV)5ePrHxh1{D2EOKN87oTHO#Tlg3UPk5R zmpF9q3l84>gafxf5ajM4_mAs1aO)`!(sT9_{0gsrgYo)><-8nZGljGC3q_2 z1ese?3?Vo*DU<+4CkSfUzT_C4G!~MS8?`Wyjx|A!PAZLnuvD3d6=POhr5|uOBkDAV4+Bgg|9#?ZF*4v-SOp%}k zVilHv*z~HCf&Yu_>C>^+R! z>ROcStwqhjLpXfw7%p7CgnRey;K|d+c=P@>>c6pkCclR$e{cT_w;zBbph*Dtt(lr& z$L8GFd>c!PT#wHU-|?29_K2Q;sJ;QquiV4^=J{C1Gx}_1c8I+lMkL(E`$sq ztk9>!4uah_g4||EyKRQpfk5N54t(oq436Cn*UCSUeEv0xZhb@YACF;?l#hUlqgZ$1 z8q&`{LJ0w__QppXy7d`*uYW+zl{ct5{}h!M9ueSPq5SfFl%2nWeHS0#@Rb)heElQp z?f?;mH_+8K2?AEqhQKCcp8^?|J&^G(Ah;FM=OnOsu}a&_xv`clFsVk%;99f^Ish@N z3SdRhHco^{Zw;Ls2yBYs1g9o|{Q|g!1UODV0mu6b;OMqeBjgq`z|qw+101y?Wje_y zctJ|V6N0(cXshXpB`fHvzx*^ZPd`Iq?OklCypA#IZV>mFNpK?<*Kq=boyHTy#!4X9 zp1C*z97)jV=6(QMpV2hT}B! z&9FdyF(%AWL!Z&pAlHpt8=G6}Jcz48(6-kY0^AhNy-5JZKqhf*|095tCs?*(%liqw z?fZ40obd1TJnK^TLG8d6pyVQn(&4U{{Tx#=E3}{U9=+}mn4*G6z-^KBawGk(C0EiPez+qNs0TU?TyRv>Aao69c8Hfm!x zrb3H=)@QZ`#^{=3B7OHk3k}h2sxtK4!{HiFubp!QD@!lHW@`o7Yq&}lm^9A6ae$j) z%)yPvR!}m)af?eF8t2~{;l_q1HK^}tQa{w9eyK(Ml@;_gjD~1Q6vQSGkhhA!$nedu z%-M%9a%`)rk05z(9WrZbkyU*Fd#d-7_9DBo8b$l|;lRlgxOC$>LG2wref@-wUq0ds z!HrFB{C8}>0rz|R54R@BH7)dK;=sn@R=&}F4TQG(kEn0>gb!c7;PL0LxGbH8Uz6|S z^@-6nKuU6SOGt-wr&7`-APl5Ia*Xa$L>LWJo2O`t2 z)NIg!#8TF)cdAmJG*K_UD*Wl-Udo^`}#(1L!APtbsmiFJEoSIbe^)}HFYvj?pB`>>R3^Jg1pE62lKf5`l# zn4pb^X2Ts7s^~)XBA$F}D~^Ru9R$ziV308P2W^8?ta&Ibzlx{LFkb;!*CttZ2;AfL zPJR)r)0^s8?EZ*ANLEIqk}p2f&F&*hUz#5=Hzd}3Dm&qVC=drH&)WejX4D_AYbt%2 zPIQXzt#bK}fp0H8`&@|UD`B>anHKJL>1NcTtT#+(I}H(xk%EZVZO{kANLQ1`+lp|z}-k2)uwPrc6# zAHF1AZIRbRLGhLW#3So-q~JEP6URwEb{~1m>jTr~uH+tc90Z}MKGWY57cjmIj3AShc z{M`GC_KBCiF`iG&T>waM%9r51Se?s%gNSGpf%sUB5&iOS$jARc7#ZiN0s)AO%ndSn3nuRxMA-r zv)aK%T<%n8#kSc^>4_6qtUBza)#eq0aA4kELLbbjjt0v-ZD#7t^EVfC_I)csXUkD`Drinvzcc=d zsrBK}?Qtg?R=3LG=Df7ewtzv_#CQ+Ij*AVH$qKcRqYN3tTZ?7-r1_hj%3N~Dj4;Xx zTa6qq1H>~wSxY=~0Z{Svl>bNO|EhyH%xrbb9PZ;?=EO9`x$zB%xL3xQfdTyfI3O(I zce~9xCw5pooKi!F)H`ZAia;v#Legj6BV8n(k(YG+tj+(|(beW@FPL+x8s zKq0nm{0J}r25`9bK_fN%{`x!zNIT4Dq8gx;f^2sLC_=q(o;wIre)_(mn;3pdVXU%~ z%l}XcdT~!|?(ygSCy5Qy$)@CNJMbGH!Os<7b6Q^!fM-bE2}IOwFt?2_m z8oz04Z|cocd^l+IdMOeY$*xPclP~wZKZng_D2{g#{(EiRMPsPCAZ(=WT7n-%fL@5m zz9(PyK%+ zsJR(WZhYySUQRxV4=^jy=ci-vhGNohLFEqJnOrXPi%vBaJf9fkN~mR8Y{h3w-1jLw zZt^WYn#P+BY@=E|pS160;pTf0gZ#W3Ub5&wm*fR-7_F>Tyq#3D0n)3Ohq1THiIe|0 z8pax2MGi}QW`1GJ_Mp{4#B-!}1f1Fc$kM~LJt~LF4NqvTlz)4%l zNI0w)I}@Ck6PTfv4Xo+L-c4Uq;s}3Yc?*uRR^l%osZPB6+k2@Oi|`I5RP$Asw(L z4>&yBCC;(+_*K`z+jWnp=>>%?YC2~{Ys*~&D?Y3i-^>V@6o#hkB~uuM|EA=B^GtQK zAic8vlBVLUn=4400)p;2mkioV^{X{xkCB4{YItuXj?EKqVfmwdnfO zbpe-QYz4&tTzG1hku&}N4JmeFb=Do8S1RNdla>C;jUY0iM?D5<3Lg=m-tDTX-r|r4 zQX%ScrQfQD>IEe9o!0v;sQ0h>pjjl%Y#NC$~G)W z_`U?ln(5Yf9%s7!^yA#_RO=yC*9oxq^U=R?MMx+gnIoFGyf3(iqyw8}&B3M~i3-Y- zg}5*xWzV__@f1&_{Vp2!MMor_v>~}KcqeP6*@qk)WBEcLGg}i7CAdL=TFBtUg=M=E zGE;2M0$R8c5`1j(ETGiB?6Em+_B4!Tg#1b-*Y-XO9Go@KTbC=mf6p10Z;cs1Obt*F=djR!^NfnpNuKhZ71R@hM-_&0c2dp2yU|a- zi&3cZdCT>KDM$4D5?nq~qw{dnP=h!fUmi{@$aP-fOFtn(&2kB+)$UX9^%*758Qaa0 zsOdI%lTsiFS3J?|4dm>c+k|Fl*1jC5Z25;dP{)nPDFySu!hCVs?L$y{8x%tvSF-|5(@P@3Mig z5LwuGm}MPX0rOGd%ha85cVP;q<}OAT$U(|}G&>lz*WmMus&2r&}CEq(qt z-3tTeKG5TxF^k5dB#D?q(v|1$e@_)4EibXlrYqJ6{SOpK4@1aRb$!94ioWPo%X9k4 zfi6*?gplBMzAWkem&n#0qTqw;iSV880b_X2{4;SQUqp)WEhLFU{B5@?wwJrBE<;rz`q=1>mdtsdOJ5o9lXnJw_N(+m8dT zk)e2K#HBxmGlk`N_%`G9raqk~#-U0#J#+c%HQpwQ_yR%ra81 z%Lv`0rzZJX{+hY;3U?7lylqMtmV=nK5x}c=*aGPeH3N5TADPXwfBTBxA)sR%b^sJs zY)$^a`plc?{j;BMOSSb!-pe4S-h;0YU}e2+h&b&&GvlW5ovOVZ>8vTxsC z3P7p4m|?s9-MdpV@7BVi+6h>_QAbuL zE8(eO_|s*_C0Ta_8r6&O_X6%yJf9w~aEyYs-{UFjq7SktUVjkt^>~k3q(U7evBAe; z5t7WE6;{3CmSo+5f&h~5JI=}{A2rk56$^k{4KtGdo40fbi6EcyNcvCjl=Rt4ETyI5 z=K<13tvbVoh%X|;co}!nbUYS2`n87|=IG{(Qh~GSt#u2tK-%T>6{XWej|4uMsE?Cl zAf~UR5w$WXYE=2MVNB(}+(_(J2LNmxmh7<@@JQL69W%olyIO9m`q}TJzU(SVY^ z$qQDfRc(penxDv0MyOMrm%jU&E2%6cp;dsZ&zs12+U?nU0_-8gTzTL>jgm1G5&csK zmQQ>;DldO9Rn0_kCu?%hxjJutub>pK3ygkW_G1k(XDtW}t^3HKS4~Jx-aQe=%Vm&O zUEJtP3Mudq;UT!R$FULFM$w}e?ig`lZxWm>W{NB$=cqcNtqU#Z$nR9*zBz)g=op)= z8~9>9e$Rn_L)#X^7(HIPWYg?tNe^>#a(UOV%qzsEu{1o-Lj@0R0qln4? zP$=F|wPU(LRDF$BN3t89wwh4cs3RyKO&sd`vMXgcaUxq#m~yg?UCNb!GO-82g2P2{ z@^TZ4`xT}aHy+@f7XjRjSL)wFYMpY6?(DU){QRA~4&Np$C82P9d#}j(=s?|Z7yCeX zIaz_`7jI>avY9cT@2G(H)q#wB$R&AEPs2M3z*E`Or*v}v$Hfe$1{8hb;>dbf$YgcL zmmW#d9yxsoA}jJPYTuLzF`DjpauCsd7CsVS7aQvEznXGt~;Ra%-R)?YreX{%e)+VE9cG{)>ooQ#@jIt zt!FK{LQ2e9hiV`~qwCGdM0CF>X})-N22UF_d2r?x{LyPvd+z3ePLD8Khp$-1tY`|C zZVi&mX8aY1JfrFG>W;NLRM69xhMFA#>|AkjG+COeu6X@C7g2I9s%}NNuj8K&Q=yz; z7WK})MP}%O@$6QA8~H;ws42agpDIX#hj8O<1dw_`t%`RyOjd?Bt8W7}N9v8lp-#La zi5>~39M)ogFOopySgO&Q_;k3-Sis05CjT+69gsjOiXU5lAU%574qMZFrQr|+dz~n} zCwzjmNltB=&kQ@Dm1OCRLn&xZN5A^E^NjB9NStkF*zxxu6-vX`QT4rk26d3L->bfn z%{tCu^t+H0a$->qr$*7( z`!7eP-(NyEYZDcvzaB6@Ct^a5dD^a3qfm&RSbs$2!95xm|Yhi+`A?H!_J4b$#M@ zs!0^^M{=OL_^AI3#INUD5}j8bX1s9mns}!>zy)*Fg!n=k8QWbCqe>Ad;e%%A@l$rev4O>Uww7m|Nm+L>IxHw3?x z1404KaUo%^ub}hgx3l|u-C?R{o42{`W%$g{hH2qqfKaC&XgiyPZ%yzd>^b5uQscxT zncOxXWgWr18`RCQO%C7gH3zp{Jnl6$FQ#lFvFy!<-=6536hx-9fBWIHG08J)`!+V( z+z#|GY+73v#415iCdNI@@e+94#s}W3T3{aAH)^y+tHc^soN%iLMNgxxh8~BxNTDLIF0^- zv7Mfvav^b$NX2nU5mwDivkoaX7gd#4zMCSo&1P1#6C~S=oluAk1%LH#6d<*!F{B}! z`gv5X$XFdm@=I)HhH(-Uro)N;#R2+8N%T60MK2olNt=C&Xm+=5uLRI(pD=r;jixdU z-<25Vlf+Ilr87DMyrH#;GHig%Hdf?rcT6M! zEJ}3eMUB|k6Hfc-Hp81$9teXU0&8qxtqN8s(MO&kSx);>81KkH{SA)I4~)$OX0MuK zjJ6)K?VGMRruSzSAy!0YxY`4zb+e zQG>JZNe(Gg#eM$C%M=ceX2`N?-hB%v!F9@XD@TMa;aTw^e_c1GS!Z^##%!^t} z?~_+PaxG-RV#%~WdXuLOKGIjvvjV4di_;bVg^%n=x=0Rw%UAg+3do^6Ti%{y#}qfa zU9uTU0`zKB3gSIpBP*EB(dbnQk(zR~Z5&mC5<2tX6PZTLv6*q(qdpN=ezZtUrfwDwK33vGR zJXPvwB=`K@qN2!2eTXYCHTs?@-9xyaC6w(C(abWTQ{y)m&D%oSD0zql{0C z1CKervW9D%|D&i3IV00{EmQ@u)A;%i@Rd=g(S{h)Z?%$vUbSQfw6Un0ua=xC;|6A@ zBny{@qFGLCvf0?@2ZOldcZX@yfD5q4PRqz7khcJ&$`6D--02Y1#1Wz***y~L_8AE z^e)b}r6&{BF4lXVS~4WaF+hnb$K7{L2A%AX6BG6KV~<@X-?mX_0@Vust3DH29zb9vceDw6U@eH!+Y#D&dFJrupV8mn69qPY5(6WmiAxt1PX9nZUx}E%f=u+CKb9#Na}#@AgHM-#DS%pF zr`ke;nZg}(>p2!gMG-Qs_}?>8j$>F_#ZC~X69))JG9>jDtX%$;4w>V{Z{-$ky#^w4 zbN!tBy!2Jy3(7+h+axYRz=e}@5?GeWf~YMG9lBXJ=vf?ahuDL0$2RTE7jre{LV z5AOAX`1$2KcJUUfHuYP0xH-8zj#Dw%89Ts(z&~G+nt4cH`NR4P5i@00{v2D8GwV2% zr@DpdTYTHM1fq+fcx^Z8z;T<=SNxRYEwLF`JnV3ycd%X+oEUzu*>r1A#U>sDZY1G( z7@H)qnm|51>7NzSCJDTgVVStx`fLj;oA(*0sFREPWfJ$bb%_88vzbpveNt)# zZOPa~FEbJiRL8EU5>$yn{-eqSYh6IOSIQ{Z;4d(BE7#9^mjqAq-ljc%F+wojd^hIU zL-(jK99kZ24z%-Q_=3?Ok2kDltsfxK^Q#*>hnJP@_c*slU8(~Ubi7>`k6z6em7HF9 zJjy&3epc>e2){hiG(o;bG-ua}Ob0Mpx@&s>wTngu_LglZO4?yl9fzrYZ~8$f{TH9Z z++5YIheqrk4<)|sVhCyAL1ae%ZjjG9ibcKMR7HQ(g^b0)uDzh+AvhLHSAK+!e!J(} znSLIRim-;v6SyfMgwNScn?uBa&%Y`I1X#7cWR!6LM>76qnNlc`6aX7VlQw6c7qv-* zz$~$x2@~q~e9B*C%vj)4_NLY{kaTO1@_@9}GN?0uIvs<+iabMP#vz&VyA3irSW><8 z-zjf$ogYOdymQj34u#qTq{B-##F)%psRp7|PHy#Zd&YuKxDvGiG=cJ&r1hD*R-{)5bXoEp_gtu z1LkgW{y>7t`zAA-hg+@vT{2wSM?iFBL_1*5P}qyNouZ(Ba}*|>Y>iaG6%>0Vv4@$5 z6wq)nuyCJv?%sy@K8Q=(TnOjH$n^b?A+|oHZ8Qt1t|qH6UwyP(el!UhYkP;1SS}s7 z@M0gufFD0NH!Ti}hG{AZCMtU}5J>hIX%`1+`D04mcMXI+`IK`e@anyxL_cZjlzu_1 z624QJGUhG#-HuNm>O4^)ey!`sy}b}P6R}q)R;8sCQq0t-n_-5_pf$#nj<0(m{_cw9*=2Og5r1*DF;6i^RY!fS*#whZ{o2PNZw=UR5 zCdaELDqu!gx`H|0{ODz?O9AxlhN4^bWW0*r<4^JBj_{w7{*tytFKv7SWgURN?76#Y zDteQK{ic%kUTHG&pR}l~$~OoK>j_5iRMa&IU0!BKi^tic*@shKk`7(5gTHl0SN*Kb z3`Pk%Hl#UKwsVx@|A>bF@K0zrgjNozopgw`N|3*3e$~c1RP1@I4fx^%c-+47TDq-{ zGGkvJ_VgWMz+Tg6U-FS>J2{9z3g?i}%lGiM?A5k106}TpoS9P)?~Rd^iwp>Z`bq^Zosu9&uj z2hoJwx-)C^J9-rTRO|Y%hUoU7{m_y4=Vd)oZ+#M--0TLIzdisZf?5%2N(>vr-iGz2 z2t{+kRhKi-hNoV$fuLn-iler&cig7s{dma{G^|LG&4F!dGxUg?R@j?6*lQs#4!v@X z%;2PEEY%wk?A<~$S;*npA2{RHv|s7@R^syfP`>>0bIN5F;9n$0@q1QJba|M@5;J$Q zU^^*uK2`cmWH6NGs^Noo)XMkQIc_rZc%`qKXI*Uwy=U#7%CoVz4E`)h<`4=Rc58Eh z{1n^qx2OZ*P2vb*bdtmHJr<5*jG_5lG-dJ@pmg zClvqaL!>BO*d;hYp@GeJ?JuskO8!Jfvn|EjZxR_2~ovPs=K@279uxU zE>^k7x+pm}ry+ENCp_pZ@m{H}KA|}6R?j#W&J_I1Q>mTvuR%u2 z*K0Bs!#WVGrN&|%;IDb(UM+nCnb?hxDWAH=sYvq>J}0p-YI;&Yzi>yVtUf`%6%l#$iQ z|5#jyC0zZeF9h6iMX5MIR~M{H^C_0Ith$7?{Am}PhZ5T__RpVK#>rmPuj_abzKHGL z`fGx#@CRA>}h^9LgqAZ&K$-Y->iSRau^DbsL6NR238z|Hd;PtEjq-4On;B zQE(>KpafXFWO_yB7-{1?Kl~S|jy_ zAyuVY+paWvN22ip8!TFP21&W8>XhD$AoHDlw12{2+2*{;KXI3mg?1VkN-rVCM$g3| z$*#PPzond9EkX6C-()He?H6?dU3~c3=fEoy)x?KOZ=Qm8#NjcMeca>fpL>5#y&iY= z&l4f*8zC~7X^PDX03zpH{JfJ#W$-#YO?S$V)xB&fpo?Zey#{5Hz1r^#X#iJJpUle`JSoY0J?m%@%TVku&;R>?CaIW z%h75Ren$VMJ0crVO$ml|*Il>Z&;0Nk{f~CLm723^E$j=KF>e?8GJo;0eSwEcK<6f> zrrCC8C205Pd+3wm4<=~_-TTx0@?`8eY>QHK@}I-BWayMfC0NCdWHJJEqY)rVm2GC! zi?J5nm|P`}S8Y*Pni&l|CxYb4n)#bkYnIUgpKa~O>TZWSZm78C?HrB|4J%tusIG^O zKo3_oCbpqz?!Ml)Z@LE8Z;yR)h5q!M(Z_pr4=T%=>D_*DWp(&9-EmUWnU*+xozZ|y z$iY0(+OS4)-uT8)P$HWi8?6j=|A9_#0|@?-F56EadLcEfV=BmdG8U1AEK&^DFoovp z$j6ZUM&vW%-y?y9TLc+$r=ZqpnkQ{sZ#`N4pOOt)dkPJev5fiv4w<3aduxUs$J z@q#%>5v)~Yi|g%ECkru~*O88we_R)ALkX7M->Cd_m9R`V;YLuR+x6ohWTt_S36zIK zfmv7RjdIy^wV|k=(iWDGXsjL>-+iv2AS&>-QJ=Z#%W)K<@a}8 zPbs!Iisi|){6bnYQAe|o7{mQfV%U{E5mSG1pW0w^=S*Ufz=`it9K9%s{N8|9g?!A( z=icZ>xf+pb805uIzn}pYWF$ZqQt3ra@e1Pg28v(v??^@nRYz9x zngD2Z#j`>D#J*+-e^>~P8~K%Qgo%BR&X*X_{E-~kAPXHmnhmlBdF-Uy)(DhziZLp_ z90J1p0PAhHb=_NpHb7=&$4%P<-Y+UTJ~T5r{25txDJyvDl`;X3=L;1E1aKC=Jvk5l z_eXqtVF8Z*TuYV;$yUPf*cNe1J$wJ%-se(p7#=JBeAw&BRGjf_PCyG`r^)WNJPFpI zXw@$`LoELwtdvRq^M}ig6)|IW2$5zZk#Clr38hd5k%<}b?a-Q$#*TZ?cU)kVS5XZ& zRmVJ?mlR6sTjjNE zb->k1V;7d7z*Ad>Iul~*yr&xS>g@fK|HkL)g1}_;E8mHAEfKHj(DG)_!P_hnFjU5M>f_ETF>@raC~) zR#e=)e@9UD>=dv+BIBuW&Xk{~(3`i^VPSp=Sza?33M>pyolQQ@z_dsc*K{k(gx8*W z92*7vg3F(5P zo{dpLznGy?#Q<*-BmvP9Q*S@XRBiax)uVAFSzBX;nxudqBAJMrGlA!YL_RIMz<>gL zMcwsZ4(y3a^A4OVER(NfUMtuWFOlb_kVk(~LB8d^@*IAfDVNRdqkEl?y&o^+q(9Y07GglXGA-ZSl^Hr_LKeo;+~h4Qs?}I zV|$8zJkLy9tW}h52r-n@C>B58xD%6DvG16yM~|+uiMAgbT?>x+zL*YUOq7wXRl*le zq(|rGM*k&GyRCB+i`gt9O^wbE;;mw~;Dw4mJ=b&C-bh9b2UjiTj$?cNydVW@FZE%2 z{k-s3>*uyF$pPJ@!XS7{y1*t$BCqvtB_8|9B@F@7q4l!(|+v_0;l{d zekjwGl(Y>|YWLI~#_9Oy9%J1HbDZ$xQzENCd7dO++AcC)ed9E>`O+g@TGsvK)3q03 zW&*S6CKo5M`MIv}pIg{|8UPi8w5ja)F5^=JkwS2z);ldz#iiZ)WNC9>2oHf(B`4AQ_w6j%&pC4|RaYjsd`x{Rq zwj#qRIl8J(mau9L>Z$>6u%G~aJN4+qnKAoD=u4SVm!M{qEYc|H{r#@8iLkb^?}+4b zWF7v!qSpJamxuFMA(keTzwX->#jH(aa@qXqZ*;*EY5#2wenS3;fk>4?Tiqoeh2Y6$ z5Nj^(HAF@0EnW1sk=j=XqK0aw8I7*eWvl0hBH9VV@>OuGLFjP)X_;VFOPMXm8#tx> z`&R(ztWo|G6LatPSO9dc#X^*KA#MnLoTIi1-*ZA80v0LzwZmH8&Ksi8qX^AG8~gpX z%Z0OTMnH~DO!$pBLs=81^!BV2GF?hI)`aC}BNMOT z5Fgqk6h$Fa>dMc$x5x$e-VFyS!h90%mN7f^s+*?DY|}yi7fn@l+bEZ_ZK2;I4pUFi zN|11Q$T%7Y@iF*t2s-cc=(Y4A1-GjdsnBhT=1__Fbm9Bh(z#ok>O@vo)1M z4Z_)WFY>r2?WtS#GXGJCPKBHT?rB3NGgR9=Q4Fn~N@s#g^aA@<# zMBHC<0yxgt=sR-RS^%ehU{D{l-PKB^H6!8;ndVsoakR#`aPU#p;OO`41*4G1Ryt{p zp+3x6x)eJ?&=JNpdYd#W6qqoz{hQ_{o3QW1GK+_=!8vh;8uZ9jNm>9>@z-ci-vk^octEsJ{Os(LSLi*e{} zLHWVNdrXnVv0R$sP2ATZySjXX8^4x=_;*7F!}xScl5pTm!~iCUOocpU)2=op%(PML zZ*BuFqE+1TRWrt*3yO?FHoJ-qk4|W*X15wt%Ke`kahsQqve6NhxDx_&Y~3mj(}|Au zfiFW?WXyYt@62$&dWET=zxQ6Ef3gPi{q>qpiPTW){$cp3Q(ESen$A)MiL=Xf)mk3hiNV(DK893&myri z4*4hNTN|!U9{#Wy5L8^p&8o1oW>IxS6h+)72^FzZ0ETg~o@}>AJzJse6deRehkNBd zmo}m9jMAa^V8ZFKY0|3fhU(weI^6a$66!A|8-FDS1{RSk)A{-o5c&%G7gOv0mZ0hk zRPFVUOJG_t8or?Ki>i^({dGv z^a4l=TXbrtk{fgjj1`NK+T5mM%p7DT(O(gBZ3@d9TI&iQCqFs^ZrYk>aU6^6)cCT^ zKg^8lOSHKIt+RqZ%1CE*0K5%Iy(0k9Yg$m|F--<+p5~+(g-123nJ3&lbXvm6x??o$ zI3Qz>Rz2<`#dSi*P2b@WjId1CBp>DH#@PIcP0@jI&TBRHs%cqfA?>3y1#X-{|M**X z!lai`O(U-@3UU(>S3*-we|n#KlAOV+l5Af*6?I;9B=`19mA6NC;77^v1K(k0s-Q1& zcj~F0zeokqw-A;RX=HqKz~6mIi(VC+Hd|(1(f=pHWluBS?92hd^VnuWeCo6W3siEo zG*f8D{#qzBjq%)lsWmd8YVZ<|pPXfIS76@fVUw{FbkkYpH=qlB9KFmqBe(QmVd#}= z$-RaC?-lBCxJ~& z(|mo?qrO><5nOJmUVp|k!62;;Iv((747)itK`5UMvUUyAsAE&COmoT@mRM8=)q({$ z9~XNOl>d$>N>x_vVmG7t2V_bXuiD0Uil>rzW^j4HMIoJ{Lk?AzYmomU-{o_ZTZjwKm0uawG;tEmSD;D25U z=3j^}d*tmnnNDeT&Xe*coSk!vz(=gU`A5KU_-BD2@e@sY6_MN; zG=YbTOBJ^B(5_R|zB{=$(XS7LzUCP2nVl);Sh@DK2B3`rNVyYSV1d{XMWIp__y2i z@i9opQP`mQFP1Qw#cd(SRNK53X266JQ};B8e)-jCPI4XR+oHRUliAfQQeVtuvDGoF z2~3{WL<(j?4o^s6rwvpuhTcEQ5A{0*At)D+;iV`vt&R~XB;TAf4EFF^m#$!aQrwSCRGmp z)>YQbYZ0#}_Zh z5BqKejnsmE(E#f65VRnOnP&?`dF)A;p7R4U%u`P~b^5nW?Zulqh`(WYU#7(CfrXsx z^ocJYwI=wK^j9dY+ustT+jb7*|5zKY2p`gvbrq?58-m{&G%G12;z05 z`qE3X6k~Yd<7^ikyD|2| zIOGk?h zAJkijM#&if9gj|!gH(o-DlI9e^jeB54!uYTDJh~B9H zQat_)e!OnZ6h>-7g%W$xJ#dr#!vX&KNr*-5dhsAX!04od-=PHOyP~1?84?lVCE{y`QB*(?zU_y^R0yL0HilmJ zc!qNqsH%kv;>@jctgH@A#s0=HWcK2o+56vp`@w@9R*m*Sg!2CCv)Q|DZumcb+p(jw zr7qBX2`hS6LDru!$rg9W34PU;`x%U&arh-^UxH&`(XTX5FN`R@sKpwhjd_X}BA1El zi7bWC+rw%C~`r|D|oJAA0Q*qql= z@5BfhizpGMC}JpM`sa>c9*4Xat|Zv?J?@45VRL~+-D@6s4l}2?JkhwxDW&v3#Pk)7 z04LK4QPX+sWNRnNP7tHd?k%NFFe(1G7t_Y^5tpxT{G*(3R`qVWTp6A}6VrG`9Z6q1 zsqQ~5qqaQBm^kouA@4(UV?EWuvjF1D!mjTfPsR(P zur6MJbABrn=vd%oUUJIDdJpB{9@YMzkJ;noq)_s)(r%scOO!0r7{`d2p5)W8!JlvJ zd=CBwRkdF;`8AN2nd;FxgxMi-ZuR5 z!UsUhUG~npp0KN^l?WwqNfN;$j-Qk-BHqjSe9p9b8FV9~7sOP){bnF0H_YPQDpdQP zfgXLAIKoI#;$-BM3w2@u1P%a~XqD^>a5HJb1M~F~z(3hMHP?C_<@!G{B7TCTDq5I? zQlv_`di9IzVfHFs$xN_D6<%i=-0A0w)GrDo?LB|ln5^M^lP24X+K-30 zPVxR@qmq#-VAI-FSBfwujS?X}U7F4?BZ}3UW__-+ige9G!O17J2{Oa(xQL%bC^7Ul zqc=3cHKBmbgcY~y-+k35k0t}ks9_ve)PlXNuW))#)PZEb2qKFm0jiB`5;}~&*<|+3 zV$^l9pX<7gBqh!4RIMk8c;$pOzZri8p21WyFrIuW#3|NzIhBnp3Xaiw`kx1n1Tbij zyFaq@2MuR-WWRov;b(=+U~jACZuP-lBDI`AaJkK4tvSDT{OO1xfs}fn)5fXC1 z)vK;c;5z^{p=CsGYLu{Ghy>FL&hW?UpH3fq4rdof&loiA$KSpEUyn`X6)`7xF}2C+ zXPtYWaMfxfiNG9BpIjK%Q@sMwkV5hsL(^V*E>*o>b>AP^SP6>!iTaVp#OYk1rnY3< zdR#L=KV)&@LJyUSM@as{f-c6nm4Kns+Z#A5>S%;?_Z;L&`QT1UGEhQ@ht(g zDwFxKoM@^rA{PTLl-&DhS3B}NrL0I~T$B zX^-=I11M}s$5(!g(ANj(AsB7Mha;Axn7h}F?{T?`=nh4!=A$vJ^x!8aijtl$q$?AS zAj=&BhI4wYFV#>jCz>cQ12%u0nvqkoBCVz>TpB+NN^`k#_ML6^)A5%HvuE|~mWrr4 z)RM~vHLTwfJ7fBlpRb@Ukw7}fh&i7LDfiTMNi1=jOtR@z@{``vQ;*?i5BL=y6hX%u zp9G{#8W`iHQlYqCsz|Rg34LBrN`t}RMdUHjO$gR<;&m;uvw7dBf9+va zyo$*aXW{cXc4}&a4&zTbh8BakW5PcvFBHI-tiUrnsF=ez<^Bzn)^|x?s87($|ih4pZzt)^@e zuHTnS>TP|cEGMa{dD(P89A&L3yGngZ>R;Y$gO6Ehq@;i9_?UarC<>ZKX%a9l%^>`W z!NA(yaTD85?s%}+%dmzPCe%ALlc}_=SM0|nr784{9>F$5n;wie)GNSAuITVAo4)~; z1wInu&5FgZ;^Jw6j%j_T%@r9IHLomogx~>|Fff8h*quLl`y9Y1C}e`hgSsvWwv@=TyFDLuYd&qt> zNA5v0gKv@ndNpOZO$a|Sjk29VT1iL{JK}YPg^gvrenos;MJw&d06~Ur1Yw8nB}WAu zUt4BVYg|}e68re7Xxryu#7~%d#LjUkPkUo_I6RYnSdp@rQ#Fg!qDS^=V3iE|-bqx+ z_v1UQwVgKoru7BB!~fzd4|Gx&`%?SZm}t)wXMl!AOD&i^H*KZF=|iP{_w{2x$<4B+ zHT=fp@wi6JxI!?U>L3?|<2?98;W-h#hp2Y|kx9c7$NsOlC@V1Joq`O@egM$Q6WTaH z>)xW01eu?4A}JqNt#fmwX)b&llk$wE>{M1JW&hYXpq3?+2z*Nzta*$Z|0Ys%b@ziv zqPAw_Z?<9gjxs!D{mO#@E}bKLs$|Jzi>9OMsoSTo^Ev3_#c&U7@})Euw&8y zQbX*7OgdT>sO1k?P6Cj3rozrb4Q!q{#M6$xxw8AcFOtf>EqUMcQLrHfO0utM8%3=t z)^Tt$eVO=(PY=4bZXI)9%2T=5IAB(i&~`VFyZkly-W2mVu}(~599{q3eTLJKj+4x5 z3FVL2X*I9_c5MgrBQ^53@u%H8XsZx*ijW*jU^a zS+s~V0Jr)k(OgjrvBB(P=KykT(=Na>4mv3<#=G7<6qzx0WDI}YUTa@~pN!;8&}#bw zZ6M2A2P)MqB-i z+ghx&-YRXdMFWvHX$Z!Q^#>PWr{yPm=oWiS6ffZReHK=V@8$rlbW6#!D!NTTF|9_L z@kgrDeh6t&7K!LFaA~P0zmLxZR?Dmgj$}^4N4$WJ;P{ztfkOhs0M9#*Lu=giJ?cpz zmOq>b(YFo$nCYc#&gZrx)y2+r-bd)81vpQ%<%hzvW6q!0hq9MLsP$|I=OYLW=5+}C zWd_LpY1QohCbU(TxJfAm_KXWlW*j=g-#B3ddIwhUlyw6ISaITD4hX2ov4(u6x4YlHb~RUH>W z<>su)E&w2EPF!aJ#*n-@9O70NCbGV z59ry)E=$VJJHx*2VUouL77lIqVD-MU#npMzGw^k9 z48CAFS#5OS#F8rf2^~1wwF~$Wcf?LuybL<#_VJi0tN;eTgKm#M_n@JkrANB0)c|pB z*%J;=K~C@SUjBVL{P8d*WttYs*sJ*w)xd_+`PQKvctQu1o^>2y9-u;Ts%8s{*26T| z24&vFz1j15WOtw7ev^V1#0a#0i`SlQ;>Jx`TA&bY3 zewsM&d7LtEk05)QLrR_8Nbh*!#c5nF(n&X!t??(tcec-_BFk;8Ru+OQ6_>+Z7evd3 zit+2GVT~WRvHo!SX=Nr;r#SSz^FL)o;PeErv6K)@6XL8G(VXB5IJBz+zCWj+MtS*V zESvbzM9F;>o#-d<)D%#i3Shdj8B{^k!x~+$`Qo*)hPHk`1`R>GU&)fi$uoZCq#Mc- zPhPr+$KaIqMz?rgcbG;?THxx_r+jY8Mjl+)Oj7bADnyo-u?YxnFLkeUHXc=b>XvY6$&!|9r`C+W9ID+jpCy-om7`sXjAcI`np3;3tFRDU*RV@x2Ka0zE9^u*h zdb}oLy<iRQaN(2 zd_c{uFF0_!9tUoGMjb(}_SzfNoO_7FmtWwIJD+j*);sJYcUSeO9tC&aVfEp=@GifM zL4MmIFpVYHZik$25n2cB<8nm`omk<~3h=B;BtwyQOawTq90+W33BFm7x7bbYh+L-D zN^(8X;3p64V-$*3dVY}C zbb(mW0^+$#Ae^B`j!K;zl_~@i$vKT*NMfxD1mo2pn4kgvR2}f=E`~_e3Nrd`kRi}X zF9{$QN^VZaAAAiT2sO#UsRTe;Ef~^TK@by2dpfOzS!ylTo_L6?%kPnK_7xK8!bHVq zE85Jof&4UE0vx%wG3MY6Wr5#15DaBu0~!zwRfTY{GNeYRVXn?VzHYeUYfU0*uh z2fQ|oU~7b0b2SZ7{wi@xf>)ztIp;>8Bfv@1b7bhXqzQ)Nwrp6FL{O1#*%h*Fd!Z#c zxHc?5C4sKTz;PHkegS4H=tFCfEx9;HEHZJ%5_5u_jStD2#PUvt!oxormTX>*&Bqb+ zSh_B@%F)=;6^3Sx&|YlCRpOp8Ujt*OEWpsQGtg_`7<444cIY-3-TRKhgsBQ#eo3}) z#WyI9TWrD<6}O(ND8LmmUAu!^Ta$K?QV>UN=<=^*%MbPV@Qtm6l(@Dg{YS3tSFvf3CNTX~ z3|Nv;r2h)!egp3J_VKFuh2XjGhCFj~E^8p=u^O%1SD}yBDva}pNAj`zIC$?n3T}LWMe0Gcwod@h zZVPxeNf23XgN#Ky#7oGrn1(^5<%X%TJF)G~8-f6lB!N9nE!w)`~qyKhLTcd_xTZF8Tax=xi>7l)f)`n*IDu7U(kD1Cg_MCC#KwLES5Nx9UXleWs`OpdLm|b6 zM0G>K*YYIDErV@FEmF=uC-?jWC0E~LW6e!WFpGuML`z6bwkMEUgEz{A92>!Qhy-v_ zL)0K1tO7APIjJG4m}DG+;9Uo?q2?Mk9k_vQwKuS)^bE|R66qwX1wnU$T=((Zd>pUC z2-5II4dsR_8PI;!uYmg%ZvPd)Nii2k?oCY2PS~P5=isE<^n_e{HdNUItvU}t=RPC3 zf~&0wY;qmQHMZyiVasmx*lrNWcLz_VGkBu*+&+6g8|LiLeKmP&gn@Ik&})VU zhNvxpj&Cf&_v}Mx?m@WkuExB;HRRlug1`3vCw32aUJ_W@4wSf<~A z`+sR;zc7jVK%*K+?5AfdNxl%{SRTpmcudExe*cErPhSyx;SQ#(FGfq>ZD<#phYo8h zAq?IF>3~v5x#gpUdnQ^rZ^OLA{jl461tBLNVCA`|*hOH9Ek1>;(~nR=AS$@>9I^p|U`~xUEeGiAo$<^Nfj(v~5BIVK>_*UM)0N+#yEH^^RJsWa9RS-Is za@j`&R^-?$2yA90kTx%ZjCmpCtjK|yWs=JwH>tZ0JY{liN?`=HAo{~6=c5n+;Q~Jh z=dv;~K6IP_p&bw2B6_S^9E1zQAgAhwVb*b2wf{OwZ+}Jwxys#F-*RwkWfBeneMebi z0-D|$f*MToy0f86wz`sKG+{%M66eOmTpZ`x7~C3%C^-k$vL|>gNYrw3Z7m3B zzeq?Dw;;f=mH|(Wz(kLgYSoim8o9UDY`+KH*PUzGZTa?n$+-BC`E(q-r;fUxNIh&G3yYqd?O;` zHXv&GCd92yB*5)NTtYHpRukA(Zb#7a&2SEihq|c?CaWyL1OnVhQn%@97^Y#0#i6Sa zw7VE#`3DhLd;$gud(c7E5*?M7Vybf(%o27WBDVqwWe2dW`Ve+h)M9tpK4etZBD$E8H%Kb z{tM9=icVNeO+%Ick(wUM)C9NRfct-8W4|zUHjZaZbY;YC^K+*MA$hh|z>f0;GwZ)*7)k?@Oj)1IA5Hu1BvHs6{D0ui0 zW%u8s^!i&=UwenW*WaUtTwBSRJJ^5i8R~97N8P<=C^~x$*(YzHguq$K5)R+_j)b~< z&|X=D_J%P8&DD^zNh2p&hSpxyka8#n&pZo!YjSD?G?7IfWX*CRzcdT-rs?Erwvek? zL+*^=Ha~mN9=%m0a&J1zAW{lMTXi3}?W#rIl@F*O*O`B> z0iM-Y(c5P;!Hg~8Urz6l04ePa5b3NZ*sO-oa3w)93j8JEkg*Jdz=&mKHz$ZLfoRqe z$V^!b*-3hknXCorDVh*ZCBRKn18w*=asxFNP(&9Lx#U1M zRNuxV^HmT}vLV1)6L1M~qsUnfmCVJl9F+nFxuFsX1}Q^+tR7}qM8a)T8P=4Y#})$r zw%Y4hQE&nlal0_h#22kbDuUl*B1F9>lcOV7N1zk59|9p4A2$G^_H1zpfv$Bw0-406 zaSKccc1;UNc+6GF_uwRuC&>MS#PS3;*0$&YDS}~>Wa#ypBulT^T#}(niCT6ZC@I;~ zbqKokABX-Urlaq$X&5qg4#rGX#)O$_n6^M0^HdF?q-_E%BWscsOsrhF#V2faC94f* zZtn#L78BK!ndxmr6a#%JKci-s0r0rt$-~z%|O3LI!vXVJ#IYq~BC2d30ifxEo zz6}v8wj-S6PVh2y4Z%DED~we(#83r&^qi%R))N;ElvH!>^+4WFCWrMag#I%%PpbTtyCnbiH+`ey z|McA7fcw9FYXV$7?b6lN`!ApIzTq>M`TqlTimRXA-~@HL6&D_3K=^KS2+Tyw@Ir`! z2@L)j;Q8$YKVS#=e%m1M*-8M}2;HswPoY-T8!rw?Crx<_FZ=e2a>k zuTXaD6)Nt$NACU4h&p~3J?vu9a*-bf+pUCe$z`Oo4ERs$k$LSga<4o>`tfVnfAc+# zlPjww*S59nF!E1d#lBllu>bxml;3=Tng?I7?=et#s{sMoXED-dC0ZzZlf&{sEAvFO zcFIL7k8*-=3B+uk%{rHpz$%vu0gyJyfM`)Nxfgk%v>_olxJe7)rm>qJ9JH4wusmm~AiCzRe|ng1KG@ytt1i_IiQ778A7NCqtP z2n*m&fHbu-`UFQkI&K&Ov3VGzO}!zdXG`y44E`K_NYBtm>*zk>i?UN^rIZU)KXt z#vzcV&&JBk$&S5utIL5E!)qzTxZP7P6XLn=>X5*hVVqVF-PW&Qq1pa_n48GEzl{%l9Frq6XWGO0hks z5P79FID7dPp1%Ibxw0>G3Xu#+GQib0Laq^Tr2iOjjV|jifNT2iKZo6a4RFo>`}vx` z0r!9V#(rVyXbsdcS%z-5?Ee8>aowg)d5+rb2QOh&d=#Anlh7h44>G}J5K_wzAh`MN zg3u=!GJeSrd29gRE&}Rl`^mYzK{cHO%g;W;VS?C!J8y8{_G{GLAdzc3K!B^c^O_vp zJLKPdjjVg0V6(dl!>q&LwCezJ$?fd8{0@7_`DNe#gqV`U2;NnUs;l&RtP=Od2XI@t z9m~>LZMVk+wol{|Kcnbw16J<43(crpbW{s~yrK`Jm4hMFh(-&G9cblR067;Hw4DzD zlT98cAwf>cGMnJD3w+H@1U3TJ0=7yrhM*Qfa0}sF8@HfjEL$p@ZMazY{5Y1DEXtpY(W~f0xPERmRivXuX;L`_xHbHJKK~9MrqMALV z4SXSE$ifH+-0E!ci9f`;^jXQ($>@ipzg0BC3QwZoYCQ@r*CY2*1B~N~39ay zYYILarW{PKO^_1|(ILQTlQh8}MDQI#u5Pe8WJl>>sHQ7y;2Y^=J7 zxa`BwbX$f_V^kpMPJrtw$y+I4c`Lb;UPGuI!sV`Hi%vvs`a;l>Ikz4JIC68Gi<7vw z|1k$A0h}}&lKi2cZjMR1HK`GB(rtS~OcJ%BW9|AtrUL=5(?GP~im{GF=e}dnZ}@Z! z88;hK={Ho-w}7sxJ%P;?rna6iB?reAoS0aXsdCr5yD@n(sa z<2bSMIUYf=@L|K0v1{NTu^Rr7Yv321z{x9eHC%#Lz|18KTBh!pqiu=Fs!K3rt`>UF zP(h24)6i+UGPHaNj;SSx%&I|j&VGcXRlssZG8TA7L7)DfLJMoLs<;lD@@ugp?*K9j z4IxqbUC$?f)>I^1pg#m`e){jLF?6{j(N z#U6AD+KConxe$hyfEQc}USKwO{^ZBSF{2Kdj zzenYb=cv5<0{d>iz|m_jaO#SrJxC62|Gf{`|L7yi@4d#}r=Jj=e-K*_-9Yl`rwFB! zUeu9$2&=sTgOzDmQFWu8vjsW*JNbhHJQ1tQ7`X0>ePtl~`GGhyD$|VDG)}NF&fqXE`_x zBOx+~CXmEIY!CLMv+&jMUcU>=(u%OPMh3kp%8&$f^6lY-15p zPQOC-+0Q7ajbF<|seOq#0!WqosUa*pKST%c`a3w>h;nAmv2!qJagb!l$vOAd|!E&@&@ zXU|A@_z~Dh?o0tOa1Drp3(1Z4JwlelD`F+={3BuL;0*;s8_d))$9P3U44$chKGT%Z zX5`J&{|HXsypM-(KXbVwnQLQkldO(pt0mcjl5al%*95obfa9P> zk8P4{Q|fK+Gnx1&D9)izv?&O{vX_a?I27|8{1=7T`#GA>+OgG7hUSd1W3FPQOInje3;cZy;y( z4jYeMMdF_~QAL0|a_brXAP4s6m1j71;~Dnfc}cGB9gaTwguOQ(;rO$+IP&m4fsowT zZPMVB6^@1k0zWzIu;?T-{xI?De;(xcVN+=U&1xu^JtCgB1C9o=q%9FJTbZ(%rrEuI|Q8t}Ery=excm6jhAqtmgIKyd6SP=5UzLdvhA zttrbu5)Gk#EV;92a!fH08pVM}$9d*a5SxXfjcFiyEb&A)bqjP>Hb9&C3(-+g1?}c6 zK(_@dm}~C}@6Fp$b@2-BeEEte^gBMI-|IE~h%e|Q^PKI|@A#bbfKCiI>ObMkyN^gZ z{3i^;R${oJJ=)FFhs<;>NX^$H$Pt9*E`o5LG5Cs>5NNp&gaZh;^xX}kz@yK|(~X5t zn;fQ^KPJ1cMSR6|WSoAB%+qhM>%=p=xX8mu$r*w#Nu=*s^Vp;pjGKJcdo0hcVNY2zKfiKT{dH z#`Z8HxGg0IXJ+pQYu6Cil7lmM^ygY9?`XJ?<8t+jg`2+waPC3Ta1V|p$i=|UD;(x7 zL0DjDj;UHk7(P!617~QU-xM`;AFl|x!IRK&k^&|zae!}f4iX4hQ8_hOUUCG^8`21F z@vvCF6@EJl5R{aM&4mY$TvCh7{l^J#HApHfMM`lcGH5@)vKB|rT*2KZukn^F+lQ}^ zI5+BZOx)6c>U*CEUL4>!$o+J0O@NckwK1Ums^5V7z5N$A_LDXJ*LXlO$M!>XPd#-S zmJ^3M9Xs@tI?bPSMOOOmGyIO-#JGe!wDV7fbU;3r;X~TL0P-Q^bo^2v@ZAoc$9l90 z-HD0o%b=ND3%#usNci(93U7YKzI$I#cIN}Op11~GHa9?5fctK}#EI)Kar)XzoFW~) z`5XrcdIxX5B=Eh&$$RALZa%^BTW@gS>N}L(0>by*LO0uG=x!H}>A@-3c>F2$(_@d` zeT6z!xb)^nlw5p|H5FGeCm@Lfixf);%*whbvIQUnqWJ_M1v*Jr)- zgivoKL|Rdh(F{Om1AlmD97g5sFF5cJ$fT==Inng*s7Y{Kyn+LqSeqWZh`?b)pUsrs z%Pbu7))DAr?uULx&gh|_k6{{y=%ct0!?d)pBqS6G`9(N#?*Z<9uICcbzMzxHE4naY z8P~ZhY5JW%(Edl-e@8$18~P)DO(MpEOeC(+Z(eZrD*U$Y#x!F)be^vbS#oqO<{3gX zXE8*|HV~*gk%RMq^wJ>kje@{4VkyE1%*>sthe2kcCzhx8w5REm1V8l}JhAaVp2)Vc>xHSTfPcDv?*AWfVfMBR9cmov)fXbM-BnZCStFW@* zG&YoHz#O6R1y^4Y7hi127)i& zpWyZrb^->u76hP{eYn7Gz8r%Z-7n9U_;;oIxfleU4@?PqOPzgTWakcjOBXC$WQ8dU z4KQl9Hipg9#;Aph(SsaY+tITjJ9sjrhD}4y`MS^zScb@~YOF3jg4HF5u)6plLU$Fx zeeG`eZ_P&7&O*fJ?8T-+0$b%_|C;0REE1su6J1~(?^kDpopWN;&Kt0R9vjkz}x^-T_FwBMu#dK?>=NapnIy22NCLGc{d{iYS@M5+`wh7NMca>#LH{)#kbsRkBqw$W z^_lLa4*P*zz+>u=XQl5hw0dPSKpwHoZ+E+-%xn@GlJ7kV3!D0 z0g(>N8@UW3b#i-ZUKnT^g3Z;JQFG%9N*@5rF1$rI$3*b7$gLUD;|<~u>&%V@i^P?=&I=g!QAv*S}B(Rf+ zJ%_pT7ff{Wxb^lOs{Z&BZp+u9zv3danxTVM%I1*Ma3r@&fYWh8OKV^HTwdUr(D!7k zI(5lyYQ{i7|E6O+w_weod&oWa8bz1hV*AmD7-$#_=_!^FjxmQ|6ajA7V(^CQ6X4i_ z6CHANT0ccDjyG5hdZC%V~J8MMT;mY(H>~+}tH>tU7~D6{oQ(rxrHR zTQNe#0>a*tA?U#>5{v<_6I+Bb2EumKwi*e3%V7|<9spr0R$-to#I5^r`+}A%eytw? zj?K~a29LnSBY^SbJ9Cf|QOhKO+TYdW;+nFYh)E1;!nV!CK-Z4o*P$O|I!VgyFv)je ziKAJCgh6Q8eF$3i7>brXhoS?)uKSQl=rw8@`j4B9p;HwwdX_4tDC%LRiXkQ`P#>73 zf#K5@V(eTE44bZiA(Q7}!1y^BI7JbCCMluwnEB{6O$%+uC_>bGJOq8mqTSSmnC%=0 z`%S5cEvUuv!a5|B9z|SM6};D{B6w3KmJ#IEWmjPfxwh@ahp>~JTVB;c?2oo=>7*Bxbqt2SD&Kv+EY~DeT~|O zA8`D}Tl_)rIz@0gK{|H(4Z-a-4wH*JN}yvpa_cpTUgP!$l-~J5i26963mIgjlVDiQmW*T)K`GFcq@bs z+4Mb%!E-K!z@CX9XJ5o+E0M9!htv`R-2CM~0LKcI@)^*65s$%*xi_USh;>+6EfNEq z8hd|t%=FoSj1v!0OW&cG0OwqF9r8vi!P6!EBj6&*J%*vb>k3TqjKjpGE|{QY1XG^? z6dgZ~XLKT9U}A+JnLA@{jh!^uNrGJsOID%%Row6q-PZ)Uck~=Kboq*2=LJ2Hz25mp zFA=tV4~A%)qrI{TU2jxdGvSPO{QTpT$#w%~-t#_f*jKz zW$^neLVAQcrWgk!c;`N>uQ*4LyGX9?2oeg9VO#Y%EZcJcdfxHqHB%qro|DMQO#rV8 zs{%eA!uI6q+Kwi`4VFNz%|L?CKmy+Yf?0pG=rRT!`%Q&(hhdQF$nstGA;5LzAjc;M z$3Vw9IszIC;{G{5_j8!?SHKBclSBMPti+C(1&R~sm|AorsF9oF)N>eG^&)pij;>w5 z(P%@ij_u2I8-&*VMxoQtiD*T~It(0(7QKg~%djcvFlaow51)boV`iZzwH*dcLg%sb z&~EGkh=2Bknd z?+je`-9X6Edq{is9jRB|W6$MxNW1ce%M?<4jX-wiJ@(#sh5ZDtnj0_4Z9T;S+COmp zC5~Qsg%ej_<0OHO0q!V)><9tzFahxJ-B+CI?z|+u!oj<5QGWLmR-Cwlt}c-f=y^cK zAPB>}ldv!*6Q5+3HxQSE=K+0hRHgZ@>A*3)mMzA6P@l3Ws z;F2fFIqAaSR!%A-z!h_Ia5Aha_absx3kZhuB!Ckrkh5Ay?uDQx5d$7cF&rYcaD}}- zb8s3fAg#FqQfgt4*YwBIO=T#)L@x7w1M+S+U@^H&F$*Ig81gy5E&D6rmV`mpH~^FU z)?%7n2xb`B!#O%0CvM%rqxyOdMz6VHAIS-X4e2z^V{j!z=(qe;9NM+i)Ax)* zOKpEFUYUjTlMhkKQh1$v59j1VkeXx#!Dur`F~G6RCQX1F{I39~2GMX$2!}C8rvfpx z`~eFgH%bQsl?KzTwK+8Y^XSkjg{xIw&)ZBx0gcSXEg@QGlr<=6bL#` zfVj&ff*iTI_9GzXa#{`rpJ2yh!- zwFLo*`H0P|#)k3}SV@2jO)Y`{_G|=fPDkv{0&LE$!RD+AB<58iwRk_Ws|aotwMZ$b zz^=SfWR_H8|B17>@$fm`63E_BC*v-Z=z{qDmoFUHIJkZNMu7WkY}?-fN8o7=I0DWO zz|iB^YRJEc`Yk==H{gD6lCAlV-#{Jc$3E#Z{d6pbjj+Z+uAYv3`u34dYTt0Z9w__t z6-%gt_lVhwPO+(w4#|QbkWT!8m1q&M4aM*)?S&`Uf94?$o_m7B zR|s}D$h{HZ4%7Wd*&-9x64*E=clR0R>T2lt+LO02#Gl26c|m${1f&h32`2uK(+x)J z#Zg?&M1iV5q%^~*jUe|#C**}BrAP=Fm`FS(ErN@AGFOqC=ShHbD}%tHf*c(|j#Uh> z&4aXUHUWqgC?%IQFOC2g3z1?RIY8Pcpm9=)Ad%Z5n6+HA7JPMj3;~WMM;2>Qs~!${ z?EtuC9ml@A4LJJvJ92M*gU0s#5b7@jPkVVI;Fc5M2y$!{B>_%g5{?$eEQ|koOfhoB z5|<#HzIBhQYRu-@-q4BUJv*5Y+1QmYJ2^18v6BW{TK!Ld*c{}jkNm2S^yH@Dr8jf} zdqb~t<^4wlr{-gTt|jDW>7u2I8Cn^-pt}b-)1_e&z!}DZuN_CJd z9N=;ul(|H{D zZAK7m2ySf%a;)B5yW!xqB{wuw0W&o0F-zMK!{!*E<$%fHcVKxg`_kiCvSvv}l&0dT z9LNZ0A_lfbC)bpWSws^43b$tB6Wp34?%3~#@l!e&h^J9he4Bfn2Y#kRh-M`b|U2u?sO_i3gU(CeiO#iO7r^tSdc+)kTL8 zlUW5Xf|`B&R`_m8#iqjjNG?5y`~MsTY*hzxRVNm+$hm$Vz%rPcW3$}K#5 z_XY2%Z?KCb$;wD32DIk-XSg-jzY5%DFl&D9`irPP{?IS?H3w94xH0_(-2a7J^BK+%jh5TdWsXbpW@8p24oW~wKnHLsO$uhRtN-|5fJIbfKM$?otzp0MWh)CDVqb3h z#gii@$HvoI_5*Oz`Yi4(4uU1*j7-DP-6jkw0c)XT=1nJ^{dD#G70*6@B*=ZkyKi6V z4^{HV_?51l>+9K7u>^3<^;g*a{iMT9!BR`-CJvJIw8I|vl=hE3c?HJ}JJ3hn6s;7E zAW$+zAJ5g0wxoYQ1~|6blVxbpAi%46VVc`&BptquvMcY9f9(r49ear$>VXiBH3y#n zCmd@A;V5J9hb`hD#~Y+aU}N!dEMKKoGr&n8#~;Grrp-w>R0lGn^r)rdgOwl{!m1L4 zA!PSKuD0Cj(z94!bpc!UUZNBFA6Qdx9PyclU>}i$aVoaxI7|^TJ*I%yVGI{A&hI#u zAU7H<`_9Jb1?Et<3d2H+01T(^*=6i}bQn4v?fOnciyosO+hqu(2zpWkJ(&&zxnig? z?fP>IOBmRM1ULq^e}Ws2+*^~H;Kpm)bgT!rFYMTtfYu+P&i%PzNm+7iay^GazRyU= z_oesmHwqm_%|Ms&^U!+KbnttPlBoA62zri!bpOfdI6)bsG;FXWXcJ=74`OxRF(ee9 zz_RRGB$OOOXnGkO6L!FD-A+X9&d27Gy+|%QfTY4I>@286cI83jbMtLANXaS0p5h8r z9y*Ha4<2)_jX8ei+L}TDxZIHhwa?UFnlJBfE=hmxoyXo<@s29_5<>+eIszyqv`^|?J~jZ!58el`U=xx zwnNI&7jkwXkk|Ku`KBrq-~5D{JMU3@=N)P;l5;!v7^et|2hKl4>4_VtJbMoZufM`! z0^8BspKz3wxVilWN4QKUZzZcLxuMH1s3x$kIQ9tL%;Ly3g+WG(K&BB*U?Y&J5$rU{ z(P>7Jdm`wmhJ&xdL{5%iC#^;BP>Ga4iGU>SmIIN0CHS5d5V-Fnz|}zPSVe#` zmtR5E?XO%i>9k89Fg`q$1Dt>*P&QZ%p}|UsS&;W)f(FYsX&QHI?UIGXr4a0Ime)-ExFFc^lxss96~)d-$oG#IIl)h<+^N%Mb+!y0f%jqU#DHpVd&a567G(o*1XR6vJog zq0d-FwCg_+a@~hROmLHG&#HP8;5rV3EGOFUK(OPgeN#)fMeX}SOcHhIM{bOq7*j{O zzw`Q*eaAr5Yb2xw zjE8_)UcYhR^{4%zQ!!Z696J6h$(8L!=*~)PB+#$QK8l3=V+8r52uds>*R}_)8&crC zIR&fI3$e3wKhi5|kzTqFIn?G=>_=|-UZmv}BfGc^`;VN!^?MKT;=^Zd5lK^S$fo80 zT*eV@pUx%JhraxixW4$$`T;oVk8Cw$lfM5;{T*ygY7VI8aAW!nxc^JH&vZr4V%XSx z+egv|`YAutPsm{Ro;n4C+sV(ss3IVi30Y3ADRPRXciaW z#&_L{7ETH1;<5rhwKtG{s{tjq>JgWJ0&5CS;J}Sf*mw0KatKbd<99&DIsjsu5D1q9 zV6^`>EZcV#h2+diufImcrKc!8bsIHj@8ZC#rx!t%HCdCs?!s{3YZLO__rW#bCEsSguY+#i@&ut7iKBJ`sJIUo}gv zihnw`zx^?jn&00f?&_IdhvgY9U@9Ywt`o=ffXD72a^p{?I;txzRwuZcdJ_dNN zLVE}LtoktoN1Vlc8QP6n^L|unN*mW3$U50Yv(=kD}5d>j^TGtWa z^&Ab+;At43YKj@Q0Z{c{0l)N0L=_yyijtG$V9#?w@$vb`|9|YA1$-3A+V#g{*zN+$ zy5R2a9^#4yceg+iAi>?;-CZMu2n2U`*Tr^m2??C(_-Fg0Xs-CLCu$A%XIb}6k51WmyyoUxZSc566w_@@7D6HKUk4;g#v3g?^R&9*H zq8010c=dWj#wXz9g-dw+;sp!AAJpK;R+#;+2(9##_FfcybU*U>M?KJZZ~t0<1McsK z%|k8>?=4mB^j2ycC7}X*7#*uPEc*T_a5tRJ%`)yIq0=C0z0n0z^-%85qUcq zjTc8DL!184wg~2D2E)NW1a(F%#)8uiv6-NXyZr{ybaCtVok#5D``B}r4(-lMI-9rH zcKR{q$6iF(vR&x6dJl%hp2L(AKVjT~8<=zQF~9R2rk;3$mTQi|zP_a64~EfuxDD9S z0h}8F=N^_SSw|;lYP%5j{UTvEa5pr4c0t=?H^CMUW4BnCx<#1**Je4lodk^wRc9Ps z8zEPZ4oj*zRVJn+s}O8-Z&v3fRgM}4e3?Z+??usBW~e_L!P_pWX>s<@!L7dZ2IYpY zfzFqo_nu6^O(WnYLD!J`5WqDjvF{4+{+ZV()qN)HDt3mcbbIJZw}#dNI3)s3ASZ54 zflei#{2FvRIz2<-CNP$44ih0~UxA=3!Tl6%1XB^dmtSToaD^^+9b_uug_`Y$qtEO} zjHaU-zwsz$Y(I<1TaROE#7WGg!xK%}attHs?EL#oMk&7@$X?nT*-Cighbm1_+_MWR z)(=Fk%8lUkV?}6l6oD>BLD&&8x@DOXUArz~8WplK4*r8@!#`{qx=vYv;VYsrYS|XdSQmxmTjQ`XY8O^* z+K%Px!VwX(2ixQJak&$RPMpELpMSyg#6*=i^5V@~<-$IKR(fy~rP(7_%fE6`Q!YBM&bvegzIVJ}d@j`m(!uv9DuID=;E78pGiNh0 z4O|G*AO_h18yMi1!xXp#rd~^6-(v~vx-5iK*Tt~!G#AF!6Y*KMDJV5`0j3vEvBj>OK~EdW=Vjpc(L- zv<(G&&BUiI2?0++)OQH99)m4FGfPXrY1L8ymyYCpzp-%Yxtaivg58ikbZ|Q@fZIt~ z0T&K^^Tp73Od!k%xO(I1jq!hUQ z7T|tM9|admAZJyQRCy|AD3VM}a~qvF{$Ke1@_mPqw`F$}9yAB`%|}9Cf3yOdOvY-Y zYt?&&;fwnHF=Ep(1-Px(-m*~g0_A$ogQk&)$fB^9Y>?{q>UJ}=_sZ|oyJt&W0I6IEt1da?51uziAkG}V({WPbQ!w}jacZb z(L4-ATsq;q@(qzWUu`(%t^(uNCF#oOz&L9VL)DB<1)^?Qy%%dlDKHhu-h ztlNjF(S+L0^OzEU4m0;$#jL$oF?07-7Ag)SXjv>g2hT*2*1`C}uLBwnpMl}4w`1z2 zotVFE7gk5_!RoCsShp<}8^U9;R%Y0ah(*GIqd0fvCS<%w>8JKms=*~2l9H)sK7yCJ z2h+&*eT%H^yK=v!l|aoZsiOQFaR053G-vRyo>aNmsU>pmjXQgm_#U?zsjl<=)i)lX z-h$2eW*ngwvIxc@8(|t84r711w7#q06tn~meHP#|zL$IOD%748kEZKRp;z2Z1jk*) zqRUUP`T85|zMG8g*WMvyRXoad8-$kAR$$}#$4I#L1_^X0p_`B4v!)?1w&({_iva{s zR~Y?!py+_<7{2cs-3x(s{VjIie8c@bL-^IF*mn7unolhJ@^iGAumzuc1;Wm)I~*JK zhu*&r>>Ce&onJpSB8b*C2zCvJ!`NVi8Vk`uhK%wUq9pE3<3SN{8aFQ8hNaPPzBfXR z*zXj)5q5)OVHiZf(ZOlDClGGCs5k{U`>tD|ZMF~^nfJ|YGNCq+B7j^8G!FqAfhL)l zo&wv^Fw~#GW0mYqu9qrGzNb@w8$rN@q51q>{Jn3nQ)WZBlMMH{afHMeo|_ra`A?^N zn@SO;mMThp(=dG3E)=tOpTW<(mT!{Y6LRkuRQ_iGCv9`-Z6iu?fc&$6^Why`+&dh5 z{0uI`rsCTkW1(-tbL&3}S|4*&tWLT`cn`#P0fR6u{0zd+J;9bMZ!zn{a}@719fmR; zl!H@Ml_gukP`o(|#i+srRKX?$Tw_Zoa5LltYANnbswe64><)JpW*YPvkG@maqTkGo2%8&@sRXZ7tuBbairKN3Fn`}o%-VMYQ+8j*=pCmK zx^W-sk6MHueLEw&a|?Xy(*YHG3`fJ!^D&HYo3bem%i|AYZTtbO-M$m6wnSq?Y?TU?zXA8(`$%&JfB&S)I|LX1 zrM(Qc7hB*arSTVuZ}Ip;5-xI!s5gn|fBXsxjbDsU`!9fjE-llb2$%*ifcIGgO|M08 z?6U;MwiEH)sMTn-?+T_rW{LetGFJSQh^>4ceh1k5%LfAJ4Wh5UL+sTgtUY)a!wI=T zD|e^~N~P*C?#4UJ-hUef=(-$R^oK)>Fl1^u2$|Xpgj18=aGA0i^G`oP)Qx0BTzrld zC+=d^BmQz9Zn!fWcLq zn{y~ku7hDvfHPN5YTTtw%nGy-AIs{znoNdM*j6}>NPs4ECp5u?T$i2DciRO+H#6W& z9asQpwH%Humq6z`lb@rDb0dJ<#}jNK!i^42RY`h{N(G!aIUhp6i?2N=D!^$yLg70( z9O0K<5$s9W@$dthtU3f!BiVLJx_~nfa9_3xLAxnSaW6?yvp)vh+xN*xr2Y)xY}X0Q zqIod>i9ya+b{z(7C04`_0`^0_DUMSWmb)D;e8 zJW!#-AT%1W09|HmK;H#X7{2ZRCWW8Ey!b0vu=6VB#9YSw`0IqqP0WwIPF=y=9TzZ5 zsy8E!b9oG7SH>fB); zO-1V=v(R(W3XEJ4hoLKCFlPO3OxS!7Gowyndc+AjfP^d$A^F zKUPKW#M&M4SQ`~X$VFk<`mNZ$Yd>z>e{AWnoqkp0KOz=mJmVdDepCY_Z` zadY`CV(7Bs>E7a5I^TKa4YrW0gK?&cs>k1GoTf~3ET)RohO}~r@+k$IEpUDEP)@v z<~bQgk15m`7`z6fbnsj(JM|Od=z_Q3Oh&)Ri^$S^A`FeE(NR(jra~)#Ydi*;fRWHP z9f*u{!4-qYVE@DCs&85ngHaOUChc&qskfB;5!+@=ruPSJtKa&gPvpLoc!#|qIN!a0 z!)J-y{xxJC!0X8$FyZh8WbHH*#+G!L4G1om;c((_b*N8Bd-X@*UQ;o1&rL*~eSyvA zUSsm^2gvRg0zCnzE8PJaIxw9A93drr;)*nbzOaaZBjn70qmz?v1h&I=!*HHP(Uc`%QrxVN{vyVQD1!T z-U;8kc0|!e!Eg_phOSe$VlwWzlg=VE?`mo zIV^}hjb*#|I_^9c>^OsE374=q{yc$r1`FfPspZ`0Qu{ zxbs|8Y6N{ne`KlM2xZ!Zpxc~v7`Z+kc$sx%P$ZOQ#3kTWYic-Ts^yi>)PW|0|Szo(DkCJ%|3 z*8R$N3cS?c1eN}MZhkL4*&btR^!;u9k;ngSz*)|c6>e6UAM&PoO)A{Xc(Z~n(Ng9X zz`Y~jo+o|4U49~x#iz!5PvFb3gj(nV=z~{47qSV){@b7rl8()*q3N*>Gz7d#j=7viTGiTzZE^r(a;%r9^bydKH-(n=|utbU(7xdlGO2h0&9a%}X4cK+Y_! zXR6YLo2|SExGoEjao7$x4o!d|WEZr7baGu{p%WLU02c{U+x4msoWX4pv{F4O?#+$x za-YCs;&V@FZY%&2ig@EHy0gn42+_lXJ?xrF5zRM6yOgM)7yd7S@=2ho~e#Lu0q%tab zPbkO5KTunDCa|+sN2Vv+KX_Zcm1<8Fc-|rT{cEm!gJ1ak0`IW_afjj1svqpz5!wyM zz_H$NWaRnK3&a}_LWRK#vGB-!Y(Mu3Th6>i@X8a&P^|~FWjaAq#tb;E0Irx>GCrkQ zl6YbToEcgw=_HMGl)eC!zX9~(>SSgD=|iXHne($eHxJ^h$ww9N;lAs`P_hv+RBjD@ ziTYf&KrTYUp#ni!!k_PZz*Ni|j>UYDvtAd};B{&;co7CK--i)v4`b}cW0*(atvGOx zz`KeigwVpB7crk;Tg>HRLT^#r1+3U}4NG^+`w}EtJHoDHD+0P5 zv_<^!Nv#erlx>Kd^?RVv@Yx7kwhhy^?Z(WFv6#JXJC<&W#+DemwrvrZGIu^!Z`p>e zF>yG3^%@>Ldx00pbZrbRs#?;bRKS_Lo>-MW&}_wfMrspm?xEiUoJ6y!#?`;YWT9)AOl`n?lpUS~$!uTJ}WmRDH1e)B;xB!R=cITzjg8PykW zfn(@o*o91oL)a1+f>**AvL1$@4bb)?+`2D^rrQ!ij)3dF5Qg?F83r#x(82o{f9V-U z9J`OesM9DNG!;cUjYi$jd6*n?3EMBfM)dio*l^@Fmh3u*O~>ytSiiyEJ8uZTU+7L= zAcmmZdg&=v(cNvl_#6>*fIIKKNBmF8n6l>zGP!r7>k1^WShDx)M_}|M%myN7r}6l) z^$6taFah6nm<}D?n$|Up+eyc50?l&}p)piRN7+J6fz8XJ^q^*u)`Koi0M~OlG7O7> zU0589!4|-Ejf0jB&RRvOZ^iTEIUU-%v-r1I=whK2KC=xKp z?MAQ>aAgU&axFgw99^7NoLq6bxT2CUVgX&D#&lkEaUufE3OMD`q`w>;od7LG(pN5x z)KFjr_+DYUof33~baD%uoOqYa}@RKTA zbDDHb*nXC7?L5Y@P&X<3IHp9Nz^L{6FmPEcMy}h3;p+|~a9#{rjb9J<0dr8a#Q+p< zGYEF&8X{vAIWIi+q77jv)eH`0TTv~bFV+yIGL7(Am1d~jXEK79@4&$25ty(k4zt1& zurz89R?9G35itZ@JXUPnj17_7k#Oh;F5I|9*Drlz&5lj#*!~FMtksaI>;4AZ z?>+wS0#445<+(iNeUYKb%izVx zIAkdt1}s+NMw)_`!Pti`uE$aaa)PbPVzc;M$EB&`EErpkMefk$$ji@UV+lP|(?Kf9 zBZGfG6zDV#BX?ZH_8Uovz4{ta=YPh=V>hww%zZW1WW?F~h`#&;F$7#Bm(g5CU4Dk> zYtIpR=?Ql7^>)6VvFkdrx%EU={~>Vn83I#%IyC=KI-U?1y$8_o&>eV%5om)65{o?O zWC$=Bu|$E*+afOl%PQ|wX#`>(Bh!fM+%4;6mh9klba1gB1Fl;s*YHCxHg zOoPsuKoh9hN&qK=HCwAGrD{^a%`BbIBpAJ>z>a{k_nJ(=@q7jhN29qBSbOd{_T2$u zZUMbEor1AGf4@JEts(c>n1E{}kTZkMzQs6XX*LXnI}WESK8SlPc|YZiAlF?)@2-Yj zdqK(4T21+Ta8rHXDA`8-sI3lAr2fcd-;%)cJ`qoo-ryd$yTtDtweKWybQ}bSW>Zb1e!Skg`l&*Oq<*1W2FoMMin6FiV}z= z8^Ty70GX?{#V0k|Aw#9+1RudEbI^$jco48&&=qupv5*@~l8s5=N!J5?o;t8EQOvg|3VU@!*~THL(6m1)u`uA=WsF*uZ4!(E z9-Z)YwE%oowJE-=-Ws1)YzD_Ngcw1pE$RVn311jW)4`VD?<_3Oo4{z1u7n>lR&I(a zUB{x={74L5y%R%ML}UJr!&nk?2(vfEVBxk{tcW7mwnbxI#CAmO+Kr31?%>h$mw5X2 zof?YGJj52^W&^aeN)K@6>PNXpY@h#Hztz5#3Xb%F_0P)sFvm{wzW*J7v&P=kBl!Kt z-+-g6Cy)1*TG~E%-cuwcr#D>pl6t}q(io|c|JUAsj4~6K;PatN7_?VI*Kaip{Z_&; zY#m)319i7K(D#@JL-z&HcUl0Qs3YB5djhWgVi?=cfnD3FaBMdP#^z&TYQX^3Y!n>@ z!BT$+a&?)C5pmZMaq9!(Zob9t+plr(K{B?TzK2Eer!Z>e4$OEU9AxwhZ#pwyIy9Lfn(j+R#4u}QiX=%xC-Sra z%x9=Y^4zQxz#6ad;fhRqRKA)1?KR$21sRC;bNC=(J?O zW^ryQ?#%)?-|++Jl8p;+)-%s(u1-U0Eqfe8n1~pp$jDFGBh5EubK^n>!6v4x%XUk(igDFAEPYOIykE{`$rB=0)c!+z-TLJ z!ow;zw$ZxA#t-H@-{5G*-(54+C4 zMf91s2;FoNPCk90sX+%b~!XzA)is$;nH zd80Ja?j{K((l$qUS%Id>MHgmK$~wNUFGTlNtPzZ*n!r%DDGX(rz_CILWU1bkfNKGJ zzSb1>;yyj0l>wd$3+P<<`C4>|&IFq?0aur*&3)H|CilnE=AqNeR}cF9bbnmRWUV6g zS*|k_a7Bh<-q7>4j*v9uvq-%!bKmj%k@FVE_k-27b z)C!t}0juNDciv{qh&Y4^YoZCZcr4ns6AQP*VDYvcba3(5wr4N)9zTg24<6xJVv-qb zj1{(3l4+|YZIu>qR@asu6l^8;lof8au&~wtcEJ6!kH4!ZE!XPTC(-;S&%0VFOBoMN z=J2|~^(T|xA^hnJ^o%=$Z~D!}r=c=#s_cHX9Bwt(wDW6#z5*m3q6qOaUV!h^Ti zc>OKfE!q#0%K+GWk7n>64wL6lI=A8GWDF0f?vi=bAY&;E)jm9Bs01Fwd!_ac}YHYuLSAj>W4qtJpI)3x!_(yGS z*6K@qTRiWv1`AdYZlSPmGg|!)lgbnw!{g++Z7={C1A-AS zZ4(PT&yaBHCAOY?3IB zvjKrwpWjPR5-?W4Y3SZ$_%Hk7(vIh>q{&m)EP-4aY3c4XIr;ntg6&6v8($NMn%w+s z?s^0u!N;W`uPa~ICFlsld@ic%g3M~J%TKr#W^83z~$Q!x@tS7N9@Oh)lrzeAqLZyZ^iuekysnE8>_a*VO{)AB%D5tyHB3t zIl=alfJzHCtI~okMHbhV>fTZTXN8ybUb5BSfcy31{~qAvA=fAM8mWg`CF3SZI;1F> zUhVx`rT2uHl&rCiMcOV@&6Yrz2BbTk3S;1w`hflbqtZiJxwr1cmK zNw|qkmkF<{FA#a=J~kb{h3VV&W9^}Rtq*Dd+Ywl z(viQX#T2^kQOM9>0vzho;WwrO_YXpW?h`O~-vjJ8m5783@3G+EBb4Y(NV^km?!nO3 z6~J{S;5tGhsr{wQ(v_4ENyNoiE>{3j!VGKqbG3T-aR}@e2ZuAlJJVxQf7*<_HX-@h$s^sfmBc{x49SU4c@bYAIq4Zo-p}xY;YddQzr-z~UcE(& zsJ-}h^de*#z8=PbkuZgAr(+9OVABR}hNkyMY6FAzYG}JHA>0-~-+ngqZKn}#bR{h( zL*Ifyw*_5Ei*baQfNU(`HX8b-bWBY~KqsTc6Lh-fS&DHvp^{4Kj*|Z3h>b)5GJI_NV21Xfu zUMKUkxpS!`*^ncskruZ`uu+o8p^`ZWIFZ@S$ujjl^}Zx~q$nM@StZq!UZdgAbtN(n zih+G-905nL_1mNar&aCch#0isyA?^i@RP{eYOCk`eJJ8SU2YMYfhh=={P|UpUompy6y78qR{L z;bfQ^5&n&b!me2;zUdH#`s3zc%hjK7oA<*L{)H#Xs5PpH%|Cc48%gz&0-XGN$3I?c z5`eWNE}L2haC?Qs_rzWDTQw`eFWeUo4JTeFqT_-HeA9Xe9GVO^XM56FIy4YhIt)h7 z0WkOl!@;*Vx~)8fjmO_1`oue|JNy!D=fxqDUkJ}xuvsorRoMeNLQYq{10mNAn$m=v z0FDwjr`qL;nP>mjmY}06Nzv^oH&?0!jAdHGR8AmA;0ffUJ?-qjS0LER6ToE~;A@Yr z@E^R8P}_|`OQJDyX#^&$io~2P@tC`LJ7%xnj1jYDW97DO*mv@js*Ze`D1&i-z|%Ke z@*c1%UAX;@(gU0*EfCV`zYTEz6OaG9fJ^7`fkEcO`*J@ zHVI?S-9)KrYvI^$Hk^j8f+a~Jgx`0cSz|9P} z@i6(1WZ)kH&#_yv^z3V%n`Fd11!kT71x0&Jg@a$1nl?wnvz6zDYqRR@g6n8-ai`}7qaykYQ3w!qEe-~?Z9DCw;Ifh)~oc(LgH2kFxN zQf>7r=`918w7UV9UMFG8?T2VNZwvBv8I8;>cx{^UnhN+9HjimoY8#6IzxLP}I~r3M5nt;0V3~bbJN* zKHZ#_V9`m{ppvC}l7N#DP;>>%fRo8q&8kOuN>!!6PTJ(mfHTm!8FIU&Nc*F-!O{8U zAt(iKgqxb?hd>kQq<dtjbSWD5o`{Xo8X5geNlJdEVP}r0bxsG zFlI#@-P#_^S{02YTXtd5ws_3j5{U(okyscJj^h_E;r3^z=_Ouqd5@Rw0x#rop6O)|p22_fUgQ|E7#W7Ffy1Z> z=mu?qsozF8_1Opqg3Um%3Dh)!bRE5yGgvPn+~z|^=ca2zxV4&2=Qf3oY@%{(`esy9 zx;Lqov`8C3unF8`!c7^DsX-Xm4T7#gU*zmE3f))i!shb?*X=~aN!I|nxbVxrVC#iP zSbq2_rpF#a=*lR}J#YnUFTcQs+sWAc220a9R1Qw6EPo9*v*`9L!)NJz#z6)}mf@td;_lp~no_C( zC&8i1YGe+Lfn!(#w0&Zs@3R})Ui+zi(9+S_g;4!>urR^GL;EGr)SnEE8}}=K^CsXZ z9YLp+j@=@{OegY5A8>lV32N*{rv_v2MYA#J7k&xrZoI>`JIPpoBN0P(T*f!eMT$L^`5OVr*9iWqGbgY0AxD};CqXcj%F0M3zSFSDWs&s;V5+O%v^U0*E0y-*B zs?<{@B2>`^bfQgQSFS1SD-dpF{qTcN zH~0>pk5Iy_|EgGoEZl|>%OWvl^$sl9xD#u(?V)yI@y19jiHO3sBZqMO_6@f@Y8~T{tx{e8Zv!r68XRt81;lUZ|3ARV?=tIe!2OFK za&ev$ZjwlHnu?_c9J`Kh1~0(pW9ig}Z6(;YLepO+A>BgPM!3nK!M#?~d8~r2$1-XO zH6QwJv!Lxr=h12^G|i?$#}c@%83S@t23~?q8z57&5C{PTO%n!wrQr;$1WqHWF@sx6 z0;l;PeA8zthMjzf%}?HA^Nl2Izx@soSDz#P{u}IhEPdP(k?=^S(0PW4J8!Z4!V~mf zcL+^p??8>=tMN@626lh$kM2cNw=Xol;@$?E0heBXHc1}w=F)Qr-3-Ab+tcOApvKZB z_xpe|OR6jNbXGEYiB4RWD;lQ0@Mr>N!M^7vWEs30#t`W)um_r62U$3v0(V10 zz-b4@!+r=M7qk(&*7JBwl1%b3;B+#HsuggAo3y>94>+ECnFN)vGWiZgxzOd9bLKTR zTuH)?TXeejfbOeL;tRTK6SuLaThhr0Au`JVWd(=l*rJq};A8;ks1#=&#+ zax|N?j0KDXIPp9QcX;1Cre0X|%(9g1ThVjsXX-Aa!*%{8$31+Bal22W&WJhqy!9aN zdlc+jje$e!$-F=K-2|Jq3BQ}y#n5m#?ELy8i+3M%S+on=F22QfD*P5O;lKlA4;Vss z9s;9t5FDNR@mdjXp0+Nos{)*{d`IXlfRm&Xy)EEut0`r?NkYxOdRN$2<7X?={grEH zc6P-GxgwN|exk;)q^#AJw$+yc8f%|90gk0JxKcjC%_2$qFhfq-$x>$)x0RM)OBLOk zYEv_RR-=XpBQ(XSS)`Hg6W3Uj$5NEXXK8EGNd{+e9$PUFX&Tz>oj_ust0n|CY}zhj_&#~@Ad zC1kMdKLfb5aQhf=qO@=j*G4e#K2qW~RzUog{{IA=`S<+|xIghodr{ zx&Yiw{(uv_@#fup0{2zB@cFQ%a2&c7hC#6~4vK=l|0WniH$y*Q6P?E@=zA@Pkzg}+ zTMA>Bg)nwrz$JrYCkEJd47#ltAPF};OW^td2IPQ$062l01#sHN1EFacg71Q-qt6~X zwDYg9^2!^myYd2SFFwZdQ+ElpH`q?VZMyy(o3Fpb=G$-3cK&u`_36V>eh^Gv{bA=r zC+ON2TB)+~84UXXx<^7wOPE@f#lg{?%kkfm_yITyxu1htG> z?@4*kdCGvt;>JAa^aN_|{7p8JEJrmUvK4l2qmaGrB0`qu#Dl+C++EX|$T(m-G7j1W zUC>T~?JzWbk5Kzrh#=&+UOy}jPGRA&>$wJ+=5u%qQwTQ}7QA>~2{r{c8^HaRgVXu( zGyJT!AwTao0$J(e>W$op#V4L4_Ie_suD`?jo5^TA{{XVKnGUW0Sm+hp_&G}7fUs*Y zjjo#eXflP+nF6~Olh+Y{?JoA- zeSrNxJ;a_n_Yr&b4mMxBf!PO7q2IOyxJ+D%9G!;2sr@k6aes!E=6goQ?9?^lch={* zr;FD6^IA0+1e13lDhAKOwD{|YIQ?8{)}f!^J#`!1H=UdRK-jtUhZ6y3uvSwFv#WZX#R2nMDUiCuS>KsHK2TW*@gCiI}T8=?V)`YIrbn zb*Q;Yl#b2f+;pXRtYxUOP5GOeAycikDARd_%8neneLqHTjKPdGF_^w00+W_)#?-aj zFl$>B=0!$hecW!u9y*4zH*TqkZ(k-+Z{Hx1mQ99mGf#IU)zfCciKN>4&jL=S1yo{S z`WtY6{_$T7IG&>SJpIWcxhQIZcYMd(8B$Is|L0x#nm6l{3)@E5wu6d+K9tXc)|&@O?!6k?Udy2Eu?Tt@jif8V)@eTMI?jWhFwnITxG}&= zB1u!0!mV&?!eC6e>6(m&uCWX}Oh7cYY6Jrs;nt9DsSzDkLs1xvO$Q-c`!OgSG#?cQ ztw5!Li%~gjK3vDGMc4I55FB+DEf?=Z`$w@5v4Mb@N1)B73!_7(i_;1y zeJR2>RUZRRC$Gi9`A>qjfh#!2}y!a?>gN zu4ynfn$GW^!DHoXo;Q>KAlTOrM)l!~FedI4cCb(rNjR^#l#HhHb|OoCseT^7LdXDu zjRhMQ0bB^LMF_6}m#)Fk*69s>weB!f=>}c7js#d+!b-9!ThqBopEz?HTngk$wI&eS zLR+~L3~1WJ*oj%IHe=rUZJ4_$9E+l& zv1#vK9KCc6Hy=O6b6PZ+sb963N{9TUB<-BY+dwBw8fxsKl^(4AjI0lNCf{X_roRWc)Zbw%ne~7MSe-N5 zW8{BIX}{~Aef$>#PRR@YoST9hq4p~^q>F1nz$v&5p$H$5cYnen1V#oqJ*Dwxz@iL(VQ}!5 z0g%IBBEqU^!y~!vf0ykNzSpWoZ9$ZgXsp&WcS%$8F z+v@!ocHln7?Y)Oy+s>ie@h8Z=bPqn88V^H{Rs3!SUpEF_HEj)#*^|NFeUg&S!z=-u za&qo`-+d_T=@Jdjq0m+DjSO`H@uhDVaWjFo$dB%` z!B~9LaRxfC+=q2nld<8#TO>RI*4;`%NaT5Z(S)vwj>*0yA>NdQ0bUoorq=y%{|yPa zW(1H$x~9_zsVOkhwc8VTj%}%SW2n){(0&v(2ATLeOS`ei(0VlY#dFeR2uuOPVb_F( zi+~XXDUY==9b%)Y1l%N;>Q96{f0Lc(AbcJ$1kR&YV&3V8h`RFPaOrGri_=!8s&q9E;iWPvTc>pT{s?efueugU zH-j2op{+*1RZIn(wiqENfD_Tp3EXr7v*JxtWGvAP2I)^%T;`x_psEfPQDM?jiF@m%dzq@R6=t>c61e&R0D>zhX z2Zw4MQM$`y^jwvIvGFG{e)~a8iHyU%?Xg(4BNi*@))sFL$I6IkEZZ81$bAQJ(3%1nHrb0rwG>blhnyrWd1vknT zNdMEaeaLtHF~I%$H(TK*vIVfjY?1AEag%@g@n2mrwS_wNWd z;06`($6d4D>Fkj-=y8=wuMV+&jfeXo_!bzcf?XTq)1 zJVIfv8E)-oK+|>_w5{mgS~5UMSAgaW_RSdxrAkuT-W1%-fYUUwt(vrmfYUY_o(eTv z`3-`R@Y6HM8kB}WN6;C)LJ1ZLZ2buu1~mn=fzWWNVNle15niHS4YfrFN4S~PJZ^+( zgAp)xorzqNBhY96PpB8V5cWUTgEo6*l;$}pHGelUjgN-okZ9;yuOQ^66D$n$zT)Bt zIMqR1svo5alTz81Bk3T9z)nU^soNKsodc20xhD$LABZB2!ceeDKYZ@r7g?GPfkTJs z1lShn`p5I!?}IUDKOB4QLB<|C;TW_N#<1Pc58eY)XaaPd!wHy$Fsd;pE!C8Sna1Cu z-vFE$a)ffjaV$ufpBsHgph))x2-$oM^G?0Ns;i0E!V=H=yYCRT?KDdEnu?4K`@#$#X?FqxX7WD+%Ffrjg}O$c^z>&+&@NSS#3jm;(dQ6$^&cnL3qjFLhb1E#X@ zLom?|>YDK0p)>(JuT4b!JPSdNjfN1i{qZdwUYog5SaSMjY?foZ_73Z>y+z>G)A+s< z_vJ~q?m_n^V3RRK1#~um)4G}gr>#f8)$C~&A*ZQGU=d)-sTFTUNnbZJ+(f#Pba(>5 zUjdFVl#bxijXBX_xjDR9=(^IN@0UedDuvA}4^`RehlGP}XD?m3!m}y0M zJm~Jclmu|r=X!!oma1w}wwKR|bCXWm#RP29&Q_oMmn9usF@8@u9!n(xj{4rO4{8O? zLjU!LFlFaC%-DI7aNC0!;jvf{6@wMgu~@ew9xDj84beNX|MUgi{P`(fF#0}yn}nAn zh?X2fYHb($q$X_`uqteNfz-L1f8^}H5dtd>D%VR?L~x_&T9yP zCQ#$HGL4OlSD03^-gPicZd}St+a81+okyW5(Fi&E1UYYa1R(k-PT>2}pWq0m^++N*LqG2FP zdG$aE_f9C})*3&0cf{v@Jz;9l55^v|VeGd7hJHI>>a!CWdL|%KHv+DAJoNqIp&3j! zH)21x*-6N*g~@-q0-Tx~P5?)+S@m0h)6xw|XKtMgu*~N=>6b@9f74<*{HMob(bczD z|5Fk+-+qnlcat&q@O?C&9gQ!W(`C`k>3NL|EhfOo0*tC^wsgkVHzV8vM6!Mgfn|o4 zj}&>Le3(ss!Ak~3KCTL#m$*BA9eY?>GFJ(%X1*89EvuC zJ-4+hRA0>?u2of%W}qpkNfo43{2qOo=Fro@87ekIrrK>#xz_}=n7kGP*YC!#^}8@V zav$bJ@5iF(y;vTz2TLPkv2<$`Htg7mokvdM{LOp#`L#d|c+Mznozh0S0oVdgrnQkE zZ4J~?2IG32`dOhDL|umD5;Xylob^JD%n2%#{frhQ_JX4e3P>M zE?NE0KK`o#C)3!xPfA8Il_Y?Z5!!hf9`L<0)bK-BkbCfaI0P>s)CjcxTcPO}L8xtp zuID;vy3?ujSOQJAMbLCvVD7NpVIFjC2)0&qY^_-0wyNb+Xjod;Fwp5z+S?d-71-$3 zL^8CJRShjL6E(8HO_uVyJ^@Ebm8C|;ifl9zPEyrLm!3espehnUukGD2%oG!l8Osd{M6(N;K$&GJYLU z(W@28)($|~T8&V$wlDJ6X@GBCTjCQxx`P&UAw6fqF=P|$debfTjE55)oT+y#3mmb~ z42Xq(*k0HV-V3MR+hN~gKJ?OkK)NAVfRB4OVaOSE^O;K@iW~Gc_X>oT-GQ?MD zv$XP@u#!&STI-mP(q<-3PL$s-HTzK#Qn=1Q09s`v zCtz$kZHvr+`&cqVfB;V5rU2(oc@KkK#~H{mX)EgOz6sB`tEj%}7+kho!>0oyp%2{w z4MC&p8G{VnqL8ueS{@Svv;a=N$9VhIQJdm4^%i-1n4)we6ybgvP3lw&}BVg} z_uGREAu(|1zKYj^kZmwoWlx&CC%{f-@>U(cE%GPm2r_9mOA9x%SRnC}sz&M5JqDiy zj6mICYcT!518lhT5_=xJNA&e1#NJQFhTBQ#w&es04_<{&J57bLskE8#Tym*Z$wDm9 zG^VRnfD^dQ<8u~-8uR<5djX|yI1a`}W2rGPve08kcW=k*ZpZ6x*I+mt8V$i`0RvHS z;C%ECKZbQzpJLm!$5?mqXDp+88@~4@YK>V3lV=~5A#3jw3WH||B~_H-+6EA0{ZsTS zz*(v*HT8m0Ay=~}v;r+P%1JANh|m%daJtfMRi|*hs-o-$LoLgQC}pLKK`SLSK(hdj zODo{4)t4!ND`O*pk{Zm|Mglo;aY|Bc$)%+C6KXO|jS{yJX;rE}B^ity6eDvLL6hk6UB5XqfCPwYW)bJS0+_VkLw{6Edy0(owc42c&0`?p`i*wh1 z!c&s$>6>J{B&l8zZf_Y?RVL(b0M1%PnV$Y5fU|+nzf!i3zpE(!g%5QCl%(ry5+x}l zk}LU^=O+oT_?}Ewd5&u$UL~XR?qm3V>{6IQm(jJYhCXC1;kJ=(VGHcLZ-!m>jj->w z4#v*Q>DXjSn+4ExnhjmsY0$T!q>YVEP1@HK&{{A+wqQxVDTAe@8d4x;2AP13fsdfF zO3!sNu&>HmOc5QAeAWUsy|_L9A<)Vw|8!|umdrKML7UI*8b~!IAt>%mK~BI%Pk04HxPXK6bbA`sEjFDyxbryt`TPBNPA$nN+WHgV z*lZ>tCe^BZt>7l`ES*{)uXhkk z{Jh??9}M1-Eh}zKswufnNuKxTJ&`I^O=$%jU7QtiTB)wA(H+{#9qHuSLMBGll%eR- z1aOjRDQ$4Nn%sucSL+I0xpo8>U7S==%4`JEcBk6!WGF8}&1M`+ZFz22Ub-7l@cxaOr|UYmIl^rg-+O|xGdDuhgF$W3T4+Kep$XZ>puLHXZ3ErFS~zxF1-mZG z>D(64vCW5uj!hCsbnT|W(0YoJN*s|kHq~jHC396ZB~W8vO>toam_=r=NoFKPcp14) zRW%Bv80bV=-(e^)WDBxNHm3JLGvow}{)7-gW`$fP2F~xgFNA&b3CacP>hn9ixD6dy zrX~}Sk|H`l0Z_aJ)IDtR*p~; zmsW2t8 zoOmKhDB9uy1Y8sISe2AsWnwl}(iby3LYcxx+60Y~^(h&iJT?uTnx=9Kg0~HfHCw@< zPAin}F&2TV6EH0D7)C}AY?}^Z=9UAPwk8^rmv6w7rOUB!&1$ULya{pp_Tkdan|LBa zYY|pbEEcd?W$m;r`WSG8R=R*A_!x73Ei=^8>f_I*FDp0{;L_3me}GH>ccib6ot^D( z{K(Dyg~xvZ;3U~!dbQEHy?=+Kq<473JMwvQGA<{-$LhNe5wI~9IR+3?L33a?P%rxoI&WC|+z|e(2>r8c=4Q*Sgj+_fa%NZ118{L{@$myjTQbCTv zv6&3FMaf9?w$+SQS>Yxkuq08$AmH=kYX)FZ212vU*d6$?`yw;^yrnAuAtz%;S^;Ot zh*awcHj&zg7Xd|>In^Jn`n;KBz+i6%I0kw9`urS&p216I;~qlsvz97NgSS*Ea^EsS zi4|^2d@ZAm_(&VmDuN^yx|ou4pw66fEb8d^G~_ zje8F``0|+B%;EQMg#-7m590L-iX?2qk)hWrd>_0FRYtEvzpY0RfB!AcF=DJa_6QBf zY{nNY$HIY*O6y~8S5#dBB%!3fw8u#jiUn|zQOWbBm8#>0vLpx_2=KUFaP$GzU3`PBSKcA&`Uh+{{Q~jaN6h&b z*g}^$>)1myoE?oEGCGMX!A3agrAm?z*Lw$1eR-X^v;xlaW1yu~+UiPcRi$!rb$UTp zqYE@u>EZ}ET}6w^r2pNXkh61Y8fot@{ROyDTNp=2FusI<(FN zS;whrgEPzvxbLa86a-Xg|PFDiXRWcK25^@`0 z>Jh_&KrGMK4(Pi_Q?iVKv1cso2)fS(?t*={)#kP)nP5|r1aeeCrf>HPvE2;5=5?Xl%3OZ{@^u&k*I`RA zXzLM-i8+HUcajkC(>p})hTHMed&Jx(?0)*7mOE~|!}jZmh`I3=JMR);S6?H7&-eD&{6MruW8_z$(*2}LI)Ha-cf(85Upv8=E6z(w@nHmk|wc_vK^)|?Lzo^2oY!%+tFzel$E&@(3KMx*OHKv zHa_z_bJ~(k2{Ae{N?<3Mm?8x?85T@nDShv_KfCIkpeHD$3qTI99;g^N2|bqYz~BwL zF@D=Y%#J#W=^GQUIAT9G#2&$#s6AM*Ee;X;jv(&XN!)z$1V6uhjTeNQ8F133Ccq+q zY(QoUIH{&oRh71Iqkb=7r!U*>|FNv#unqpU`u_!R*5hHhKvg&XF}?pts?)kJ#hoOO2OSMQVYkdh>lbG+$R-+qQhOLyS2-V>k?o&`<6h0yn32~D5X(DvQ{ zeUJ6fcUn!iwu(So2(7raP6S%V$uPDj#9A{5wlt@I%OJNpIyUndl9Z(%o63+>DgRQP zC2eYi8bR_aF~F)s5s^4EabP}lN_1lyZ!Rf&87;$qB<$!Q^aPtEk4Q3!ZPue!G9?Kp z0iQ}T@ffU}o55``>|6)K!7U7*)$flc^J5Vba~ZA^)+2kvVA#8MWzgyd=^JGy`*jaf znRX_hR0pT`ARyg&{DhlG>oS<)Yqzn`H<$;zb{k;q5d~eZNJ^GFpd;LLJ>sG56$fMg zI5>qy!oK5tXzK;4zDe3I%ERz!US#{e7;+V_iu@Joq7a>0VY;}2HQZ5%uq#r{6U7L& zq5{A2bx^8YRg|vmi~?1>koGXt3}PO+3ZF_RXfiK42oR&1g6_7zq=>;^^HQUo;Cty)moNjZSUq@dubgtv>%8aSuOW z`>iDGxcLsdc|*oteTT?%FR=IC2W&g{96JcVtpr>Yo!RFk&M6d)M4R-($?w{?KcPexy;P_q*0%?aSD$kGD1vI4nQ(9_{*%Lu#(GRb@_Nhe1~r$$LpU?bEN*tjo# zPFt2htlSDtwL73xmkDS;KL*{F#A49;1Wb=Uf_ZdnbJxdXCGUl;(FYK|eJ|E+-GRu2 z{kU}hG48&6gQtuUPm_`r;9gn)X9db{0Zu{A25f%=F7=TXaJI=Xzb{FgN!72~7Ss7I zzV*KgaKClZq{QUIxb?x{ra&&91HY zQs{fFfU)~>I=01x+9H@bErMO=#azyZzWp3EosFsOblA6?1XGJ~(6?aez6IS>i&4-v zXYiBENKq38#KtW3OPiY|Nkpo+q@%PMa+EF9B(2|vKoT(^r6i50Oh^HpjQ_~(WGOI{ zQAJdzY&tluQ-Jd(>?l2-%kWx~0Vx@iM!GHsx1q3e9*E*SmSE=bm)Iy1c|HQd?*ns= z-ABVon~<~JcsNMamPbD|;)zz;XSja>o8FVo#ggW)b>(l7_BfXEWkd`Cou;0&8O}n6 zt{Y+K8$lPfor;B~?=EQj?&WiefYbNeip+gi(s2xey-Y#!b$J*+{Q((r{D?2|6i4pT zH3%+qTU+j`&d5{68Tl&KMS*h8C|IU03YV^l66GtQe9by2S)CxP=8wWPo8t%P4)~HV zsXAgM+AoU3qJuYZ>Pey+!1&hF=Qwfo8dgQ^z{KTiF=XKygszN5;I?z{o41?4r4N4_ zgT1tC$~c>rIpUNQ;6(D=3^akAMjSLjrmsH=4*uilg2xjqe9vnufM+6n}ts2t%{jvynr6vzZsG#%xKzgbh0|ds7V7MC``q@LhLXznqVqW z`m!yDrt1=Dq=UB1&DL=NOzjuK&~`pWxV4#WRx7EBB;1oK+UkrNBQ$Fk&g4 zul3UYM(1UqeL0PexxTqMau&d`%oY!)BvEmx=gN3MMXr1VkuZ z4_&XgU>m@x&fqDKvxS|2ZX9%6nx_h~^rfRzpFi17GBbS%LT_mU9ZKM_u;Dux_Wncg zDes-Eym!88H3>gnp~l4RC_Qu&vbCNFqjG6H z&oU^spDfMJOfOZeQqUpTw92g!be>W$VZp~;Ajf-2+?*$YC89f%!il&$RYrzan`{TWTJ4a(#Q-#&yaod{2-pr|V$=~#*t#2&Hbh`SB;gjDfaP1lu`zr* z4jnszpYA`z%hzvJJbcY~Au;16fo6r9=p$$mWVV1)Rg+x*G29q`C^O8=g=}lKqc!6( zty0tHxo^wE7xvh!UJS?%OI&Z92I+lDskj89T3s zUGEqe2smxuJp>$`Ti-~S25g0)+kAY|Wh#n?Oh=soli}R8KfW(f9-n-j8(-uqich{R zjO;l}B5$X})o3RkFyLgnkCWEFRmui=f-mE2IKss~C_rKpkwU$F|VC{o@9B`bTQ zb&tU~bm1<}-+PL<<7Y5s>1qs^JO^tMj$`!lXfz6&g__;R!?9XxIC=Jgy>~y@se}x1 zKm08|1fCD!^}B%kEy-u(vu3G`QA*~Glc{w~jmFXCvT)oHL>5Oea^Dszcb-3F5UU>}vO+2t0yLwZVx9I9=6lYT6yGa&ZDV zvjlKf$f+?bQvgS}Spg@h{gvp>Dm8;+olY>+=mAs+})GjV^Gi z)e#wLw@2YNgVB20Y7AMw6H|8_!R(z!F(Yyh#;n?m>1#J)Nq7X-?1;wNs0bW5aTGs2 zeuP(V-Vjt0cFkb1l{mM52sqpKQe>T>-K^h&nyu0TP9!cSJ;0^HfZ}JZN;h8qf1qCh zEFZ$6j#+0=S-M{NzErbZ)SkaO@IisF5j zVd|kr*nT?^2{&G0*R>bebml%bU3rFt2k#JbD-pYCcV`^CgX#lk2b_HxO_d7ao`Y6x9LVA!s{YTm*DMn_voBg{=Koy>AoAW}2+Wsh8%NLzPMi6qhu_fU!TK64@ep42q)u5?x?lKJJ+YCXrx*d?A zPG{J=^(CTZ*9o{Rg{t@7`Y6=@Vduhj$?g;98M5%z2 z=T^Y!_*`ZcH_<)oE!Bus5NmqkF ztI`QN*U+EIaF$i-1URuF>pTmE{(&4$fKCL{SanGAHLHe$)&l0q(-cG9m+L$K-U4}!LSC`?W1q#6@K4G69J0yLI{ zeHbLXZN>Gf9Z0}thMJ7vFM}NaTIT8}1vVw~wyG+O!BeU#?dTBg{070Ks-@^u8V*C& zR^t&CcTJ5~xc7E4c3*#s3EPe$aAiE!o`0$mAGY0mjo6=)5%J(PrXRYBVr@quQ{7&$ zuNz3$69zl^epku{a9U>`vp~*S06hY_7Bk?~Yc(?VTLb$(bCE4*7D^0RjuuN2u;?t^ z)txtpIDQ2e?mxwj{YTNbeOH1l7j%waz#(gHWcji%@)WP309U%Yr@3!jbuYCnPFGiy zD#G{5R`W)=8a^miu^vhgbanh%pnP3FbPO7bkWn*`k3jsof(Npe^MO-Ye`I&=1cw@( zV5-$a&17wmYB)D>JS-4+^ZI$yVM$w>8s+3;fTabSRcQfd1)GxEAED;S`@~E7BNAv_ zO2>O!8R+cweq0iA1_Do#MC|MHJPPFe=*A_xRvfy5p1I0Vz$G2~Z6#IJY2jvmk6=?E zvs6t=dzvh5lma&k;B4KSa%^d&rIXXvlf)B?lM~P>H%G|TBIHzCTn}igbt9l;1Qf!_ zs&X^g2aJTL9bKFxx0ounM3(BUQL)1) z^j#c_(Hjq9YQ!C-K@s!U`CM9Fmy~ij&e+_hjV_-LU1);VY`aWx62wBH<%c1E(=O%FL zGM8|hMYzqPYnws15o&Fx5N?wRHbSix)sn%jIRjTSi<&cNQ35$lQw!h(YEt@^EIU5e z)4@sgq^a>x7#cCS`1WSWye|VOmu@|wlgu}728xdWCt@%X2WJJG4b-#@#2QMLdN&3- z%E0F)H}1c7Z#t?V2J8@IB-k7YHN7)~xLY8ysL3z;!-2;m*@6w`#$vsp^F?1YZemlfQIz-B-1OMmlxbLw15_9?;RNw*~fGOIBw z|AzoaX(>G&p}~(!U*0#~yjLt>v$}Ad5APL8Tv3i)TpOJ*p`?;UtbH7%jm?YiQE9>sr7AoP=xhOJTSfVsfU9Sg0-SPkmg-82o72=LDkZe|&zdjSg{>a((sx&qIBFv&4gl`$^+C|9VX(=$|UhcCUl z!!=|EMs7TSg}W|ccK8v@+jlLhyRb2CKN3z7Y)_x#r^F=u{GPEQ z`5j&oaIfFLvq0;A2yhHy0ywU=Q7V9JB~Y`Kb^YIf`{(sn9{(}G$-r9j&pfO4$C8UF zkdsE%=PV{&<%>NplhI=9E_^?JAq)ei!*S?J=z>Ea6+)-W1>@sYC|QZNlIvow5lw z1-JTi11g)1kde9AeE7%e+y?o2jz@(-3y`zzaAfuGOJ^0tpv9nMk-$ynWV2RJs^BEq zZ-kq`Op*mOl+JY!j537{Wk&~MPj{8cwI|B;nTJ|~SEAvx?eH778P)sE$5-`3;N-$m zuPYsxZx{@o{ZKMwE|#2sh1eVKvFk<>79F{Vyln>~t8Wkrwi}0b^J1{#!V`qweU8{i zudwU!2kdzc%sue{H3uz6X1@Wj<8f+Lk_nGXWl=KdDiLljEWz`5G;Tfcb=zTRu`nD9 zPF}{&J1=nL?h~B4{R}@nd55D%&Z23PRwz)iEVBKWkM1oiGUUhuyH9_FG0P9I&z75z zDuV)LYoTOSca&1{P}P-c$=4;TcoJ^zs8HJnc}rJAnOYtwRl^gNT$`X&&HBh*h|nzV z38#upVXW8+rW#!d=e{u1>(9b~Ocx{PR;qb<9SJy%rvPp&fih0Dov9(bDCsJY9^6DJ zfRpFx08U(-&Wn=2JQ)c}ng8#v1CHC$!HIOv6k#Mvsj{rw8(ICV$w5^)f#w;#sFUB|H^Y7Z8y-;Ric!#H{Q7VbTJiKnE26oQ{He!TdQjMpEM2(ORZ z*Zv6LeqBXr10lvGkr`}Or2@!SR=W{(1eC$G;wMa(uQ@3%LR0AIX)>%PRVi zs9q<%e~ZMVL|*9kxX*2m@H12HJVB}XTVdCKHjD$7!Wgy^`hLry?@RSs0Yi^vYAPFT zx5eg3NW093c@k2ol4J?JEdx|*1~LUU1}1@83jrE~5~XW45;{6IowT_HNShm9Q~Ce~ zDw%k+0fR;p!lzLfOkREPsb2_8)my9S?gJ()h4X-kDAKM!igXx+@0#{SCjVe_|0{yc zJcN>zviV2tA;C%ln60>fODAi+R1ek;f~jU8oEQuWw;hd;&Bw6(8lBgjcZm4u1EOxf z!=_6wFl+x!bX&d?Up8R?a_z#Bdmuh*9D?zCZ>zz9_uK>4UV4rygXSQE4`IoG_Idpw z@EyMqVd2NH@#afJ-%i5L2S6lG^rFje&}rRax&)=wbmst`7Zc^1t*tpS&%fze%oRP3H(2W^nvQhz?FYg5}zMlqc z=}D?KW!^cn2uVugDb9=l_27LX@*I%@Hr1A@+EYbC)Kao%C3#3I{U7DIxH)Niqa;gG z3O@>P*5|e`vw@whB(u^W?Rj)@A0?Amp4-Y6aMt!VtIS{{D5Y?~ZIpW>+)|vJ5&*W2vmWNtaBwoEJOQedr)l(??7rW*556fhrGQZ<1 z$_y^abWBbE|EscAN1Cf71w3Y10hU&_U`nmhG`GQhywB9v0$2gCfKQ3*Z1`e4m6n+;r#bw`d=C1epiVglW`rXa}#S zd!t(mHdjX)dM!}XtLS^ohOX-z=%l@^vy34r$t1H?m84cVHU=XFHU^~T3}}>sTL4Se zgqT)lYvyyEj9SrX6zpWMWI{n^%+}WLNB7nj#^xcgYtR!}yt^WMz4izgx)^wB$@N!&s~ZLf23vc+_Zc13 z_w@&%;h2r86Y!3of%UiEBK$#;*>T-VM#9}h#Q&6p?e~*0^VB1J%VTqN>i|cO&Zr$a z4;#)tN6bwin(*qjX&)UWOZM&~;OH|9nS2M}%YcFCvHmdDU3i04r=MZly$^_d3G|P> zf~*0<7z}?6IGsxvKJguhtS;RVxN~&mcpt*ecI@Ye+0ym!k6e(L1 z6`lQ&^@n26fAS;s12kE_hap=oIOfO)17GVu5y<65&Z1ROw1O+$nt;v=#p&8)S%Top zTe23)RQEw~f=`LiD_n`&5pboQn?$mlisESHmiOYaL(e|2EgdX{kaP8WFY3WfD2N963IPnbnj1b z8)t&6j#NGVj$|fr7w*%Qe@FbicKn_8gtEPJ59Dew80{9sV&Ik&7_j~zrp2Gf%%}sH zwmBLTR&T=G&EZ%c6^*sqwy3PsJ&~)rA9B@gik$9kkk!2lfzulf?tNkB7NmlJbh6e;Lcp&8#}c>% zL6)HH7y!O)JQUrR>_f~$ApGVBgx`LTrRSbv;fY6Bb@myS9lD2YS6(BA>tlahh&pMWJt9;>kpWx~#pyUrq`zYOn0XDaP~3`{cM?`QP~qDIIP^jUoz zQ;$4Hw+;J|zx#AJ@^@s3DDRBQUae5LW&=3>P!_r`ib3~zA?ULefIfRZ7_;Su>GRysfA&3epL~l? zzb}R#ic}%Iqzcjph051MnOc4*&FA?`*G7ffgjo%F?We}GEFr4li{iB!AYZMP_@Pb* zeBs;;_BFe~D9I&W1Of{UX29|K(!pun>DWCen}iSz&xb%xM>l7!t~4tR-2M>YxW5#@ zarq|zr@;0n04HOOsw5Mct=l!VBX@fGqkxkH9RZrTn$osSE){Tk$x@XmdU!9Xew8i) zu-_54(eiIW75wQiOI=$nfMN`s5fd#tkh~oTfj-RpH;SS`7KFun^m^!{|4ND zPJiX`?*p7%b<0vJCk1bB3ApF)p5e)xm-vP2&+uwTz5^O<-ih2}S0TrcDIL@7@b0=Ptk6VDzsJ@aud(LrOU&4FAN9wrN3MV{l3J3Fq+ zz~Gpx-Be`sm3FHj0)oL#f~RU1WFWRkvMcQv^OdWh)q7J^P{(B&usP1ZcH|1uv;*?)wVfV0n@ z2ibF#LjH2jC|T1-4c%3unitB^rIjJf%F`K_t>JG2IUmX!MfqNVnvK=Cm0$CGXRO@; z4z9hRt<#ggkH1yGpqv~Xx(Ba2r2u4=WLDC>skXI$5^yP!ICv$;CB&lGPj&YmMp-@Qpfu|^))5$yKz6FdVzFpAu#c8!dSO6 za<&=<_mRsneA{7++PE9@cb&xKP4Sqtemlmk*n}ao7Gd0yl~}QT2jY$%#j%SQ@Y63p zCglY}fx|*=A1ux_vD@*@DUTv$p&FBY;aU;QwFK zUwQo70mplj7o9gI|Gg&So_|Qj)fcaE_+=7iT)c-O%IWm&XPzn3)+s;p=(dbwVMoG>xs}RO@N-x&DeCTk|AKUa%m}G zqqL0}bQ&?pNSc2m217#4!9NTc{3P%PBAa(#WOMC_?9Q!_-@g@ngN7hmu?nc{(GdBH zS4554-Y8P6DhigYi9(g!@f|_;Lv?>-t=14(oZBI@OBdMJlZ?k8*t2B*TY%HL1~EAI zMC(Nf*z)i_R-XF>aa>N`c@=dAEP|6uSLhfNoOn!`-Fl&D&nXxfcNvS0|AH98E#lf+ zY=8IxZRdvLle%r-GiV_;pL>N}1l-;Sz@X@haPaKIl6xP*)Z%XFW=!5=kg*}pQ(c)) zOn?x|_11v;G2pZWoPni(ZI!ObSgQ+uaO(o!zzLWgegMq}j6*5EHpo?{2|g|735Sv% z$Xu}rGFNH?yONEe&F2d3_f-_+j9(Oikq*w3Jty7V571`*4h}i;;`2OZ6y!?Ny%nqM zj?&e9l?s=2RZH`11R`%GadL%OxX4qxA-=8K9FEljV5-xWLAW!6uM9#=$SEf$2^<1B zGuTujh`2aO^S368`~$$Lt_A-Pa0IBk6>$8%e+F=}&XQgG+ki_CZj=qIY(ZuVuHRap zRt66<;M8ji;FNQ#Bef7=FiAU~xHmWM(}VjGpo!?@Qe<5x^5SbLFmY+};XTHCSK4rk zb{&Tnv$tZx&hwbQ{UFvIIE`ho`!RLZdQ4rh2ICek#+KK?U+Bg4}T`2W}RS04XXz{#=ZGb6q-!&bpi3JlBmXahA#gcNgqpt362fgBG`;5#aB~SbK3DzQ z77%W;p>I10hBge=t;R#wa;%cLHd6rAWDE>VMnZ23H*s!_he6Yj0i`|zh5rEPeM4aH z83-r09>~lY+Tts(9>_okXmakU+TsKtYQSWH8cXC-WhtY(8{Go&UGu@1d+sIH z+)BpQyGdAh^cG6A9|lL4?$En-r~B#)lV5+9vZXshcYNPzEZWYA#>$gFBmSrN2)~zv z#pj-&V)t>V)?*@89DRi73onsyGZD+rKSvgsNR+?J#Ng!MGm@ok24uI<43Z4agq;e& z1g>QJnZe6oO9%83u*<0=0bcL!HL3FXI7Y<%V!1cy%6u{2gY%sYzTF+{_N{9|BI= z;|Mgf2r$XIlo3!QThg1ay+mff={(2rdW@k)@w@&Q;1tBtj$o7G-bDWyz{z_uk6a4i ztg>WNI{6Mo27ehnhajZ10y70L5s$-?EiA)^nMFtFCj(s%gwapBZS=<1Ery`lz!E+*6#P=s2K*@W9HXu8n3b(#r%2bpbqD)en8!XU1#m9)2w zhORjsTeHzHG^JAs7)8L1P=M12)FeByDIHtW!EoRoozY+B)gsiqx*$vKrpWEn5jEQn zz?fyxNI3TpI}e{jRQxXN*tHum@q4gl-4+ZQG75EFd{DKv8!FcJK>qTzkgI|-3fJ~W z&WgVHrhI+;P`eGja^*hVx zDAaNgCMI0N=4&qzedi69UrR)-;Va-ERjwYv3{W!IGS7oM1F~cpa;aR1I6DunS5QbJ zi;L4a^RspOz(mN|)nXyQw=Z%w9e|9^{C(Biz)-!Tn(^bunoh*_hZ4K^O(Q; zDApW4fvty*V|DZntc!`kx*gG26B&WH!-sMG{sTOI`3f@TtW-zZjz*H61PIo#9j$;+ zkl<^pxGN=ju7JX@WEO#Gkrg^h1Zm1Zzzkwi^CYuRnlr%7|9%T(A1igAR+yzP^T;T_ z{$7D<`oaIN>RtOu0ysIw#J9;vlADz;?otQeBx1;cOZa@?92keMgm%nk zXvS`XcIbL&`x15omcu9tSq3fPrt2xAl+d|#CD=O8q-W1$qni~cm z8U3Gtlfjau-AylD0O;U!jT!6+IBk<5u%lx#HVI*%?}|_A_rkYLgHWn{Kh)_Ggqhpo zaPJ*(^YIHDJ#!iR4jjUsgq=8Z@)Rzdy@=z7Pa}L=EP{dtp|*=Rs@C;F`8r<6Q>GRQ zRC4Fi9mT6PL%wRw@kQk(_|B^vK5^-Y%pN`P8C~M{%?6`Xw~46QXBrB$48=Eoz3_!c zS5)pf78CbgMcDRBD9qn!s3+NKA*$4D&R81&69b&yc`!_M`r*3<0}!@)AHvW4f_=AS z9x`Cco;&!`rw?j|&Ba=}w=H)QvEgA73U;5yz#q&Y#X#mMRgn@<8Hfot$u^WWB9|^gypwILTGJjJ9-xa8g@&sGS3OtVl zofU4PqLthTH*Zv^>rcRW5r`FG_jx`TJ}-#u1!|zMPggj&bY-v(MurA-^3paY4%KTE zK_##Fb1s_?m(Yqk(d;|8w++F!LmJvv5W=9dD|keJ}w?n`ww7aY%EqsMBu>L zbGZBT8Ge5G8ZX|yGtab5BK;%%w*yX;Uhw~``d2>E`@TP;zw-FE08VZM$(T(1@Cwh~ zzr&%Y&(SktC%)`I37^ubI8Ka&X4pn(=-BiFR=_lXP?MpzD80D1p7WvYG0zORE&{h1 z3UHeClc8-l*#fvp1l&Y5kDD5iq!|O1j84*&%K*wUBR5a=89gPkv(6NBNWo*nQ* z{ch+ydlR;ty@P{y9^%Tg=eYOgE&s?~;NIg0xOV*tu3f!|>sPMe%Eha=dg&IS?bu_-O9|XHPJz!LwmL&mYkd5e~YWIhoa}YAs z>40{V*I~o4``CLU39+|;Y5N`^Ps@?WN%h)r6jKh}MaxCIk-^gpBcmHj)(6b9!Z6hUW&oMhXnzEC{~v)<5EBkZaX%+=^pt20Ov zcE;-6VXD%WkPD!b^M)~J9q0);O}1jtWG?{y=XnUZAK>tLZa7gHa^yuxp2xCPyiktr z%sM`$s4N|F$?BdcTG<7k|5%hz{1&FHd0@(35QeOUQKi)Yl8a45Pr z>5#3bWU2FJp~v!EhVimW<0T^W2t}!aGWFY{B~Y1gwtQj+I-tVIy7Kq0?t^@7Xgv zO?-#f1e?g(;W|~n54f~dk$g*uyGj8ZxuJmaH{kfC|H4Ol-}h(qS04Wsz$FuJFOz@f z&G!N?`6~YYW4JF^i*Lp+hr^hSbZwiU9UcK)7?*vP!$jAn>AOTp8@L$So(rLqq>`@l zpy@oz3^*B~L;%-zl1eI3-2o^y^p*flI&(MW^8f;`$yn$EM!~+xaG3aq=jh!HpSicD zvucalT?Szlo#g4C-{SP$CpdHS9?spog*%TP;+L0C@XND@c<}Hxo;`Vlm(QQ$^^4bd z^*m9H*0Etj6#9jYf{RZ8%GLHnVFuki$ zW(W6MfHO~uO1Qbn%mpLp)VLHm^H{hqnax03mqAffi}0)&0z1OZu39kk)d|2FK`_+p zMaXrAu^J&)u^H?O`$G3!4QR3!hvsua>ht_C=Ewtw968}Y&}IHAAHL652F1$OMJY9G zmpjVV@IVcZ00p@(^OS}$%h#~`lyLj>N0_qZh3?b5$Wf>k!j|m7@ZC3%yTfGIxrV9f zg`^*!j7e#Rk6Ah|{$?cs8J(C#)`SsB9?^UA-tw8kAWp#fPJ%IDCLCJKg}w>zKY!kP zT&MGy1QXvkO1A;^xnBb=RZ>{6acmY@$0ap)A^Fz=PP&Phvm4WhFf(UDayvpzx*o`s zJu(81$U0?@xeEi2(@l<(OX=d^LjX1y2ovu$JKw(e#J>+*MlVCZEe9|<`Uqz1I!@Pi z67wT>VcME4SP>PA$o+?~CL#(EQuV)kFRt9Vi>GfA@sd<}O(Lm2Z59yO0?StKxlJ-9 z&#fR6SwWUoAjqATT0l`!SyEKdJt~i<`ZsoaB6Hxac@)A@LL+mm{iWq0ylAPDS)GzFiu0z}#7j;OX7~wd#1GI#s^9JBkr*#j1*Xb3@Tup2$kH|~O7`F8WveKOyYWIVQ z0p6Y^a)WbUWbq}?Y6rt4De`q0R0%bWIF3IEIK3O;R&O-nCgO8vadQmJ^%&sj>O}fF zgJ7yP01gD4qqw*lgj`KJ$C`b(>_OOdp|fiThqBFJ$nOcwmldGNQ3CoLh0K8aDi<<; zmls*T&yNh*zemmjWl_9bZB%vkL-|@>$XBWwKKZ5q!S)^WnZHs?yR123mpvbTEai-r zeJ3FL+H0)1nTY0#55SJ+ONKo&Np}G*bpoDW0nXC?XpuQlL`2wm5paG}p=&S$_ATcl zbEoC_tlKJN?63r;=5wHLG!0tc$#jJiVDS1qz)AL`z%89BO6nuPDR2;C0<-(+?JN-OfA^ltAH@iZ|JyYD^1q8kqp zbpAemn7I|Y0V`k}6ajtcc4&hm2)7N?vQ)s)y=l72@LRK?={VB@I662raIyeS;3id* z7Pwg?ecoiGf5(Ockjb~Vs*e2Hw=F#Tj==gor*Pr^OI*0|0B6tMz-1Yc1{uIw&JjIzar!jHjMAWY3j3$j*qC|=EC|I~G3Kgq_e8nrF09{-0 z>K-Un*B2$~dLd7R8Yo(|9tzRPm8(6T5HNK)wp@EgIKDyx z0lDVHFQ^{4h;Av6unC2o$4J--r0VvEJ%hZ#g@InG7~MzH)kt9HIdY|gaih4MR?QI2 zfULyVlvMGl4%4mzxG@$H3@LNQ5uDD1A*HW7gn$c$Q;iTfRu6)yx*2e~nth?IC1V$w zA!jGu2TC-AHg|1kz9>xxR|KZd^P+B}7AR1@Dl&ih13vpYH?n@28(;re1O-Y~Mvk0? z;gIcn7(e+2MmjgUtbG3I_sEvBB;i&Yt}Xgt{IVEqJ^vJoF26+YZRc4C2!w-lTz2jc zJ1Dv;56R~;<4YnY{{bOC39n@Hc_LkkWm^+nksq14-+BLy@uRK$IS`0A1GX#JJewn3-?_Q@1BzUd%2m z*uDcBckRQLxV;FE+l?IwdvW&S6@u+K;{t(3JC(?#R6$Ch|JYrng+Qv(1stQzM*))W zr6>8!-+Lc8~a2Hq4 z+`#Q?KjG$$d$@JyK4#3CL$_8Jg^CnI-h73Szd#YHI007<`3qM>?!p!5E@}{Po^)^? zC{)#xaPva(DqbjB&IKi?GL=10w7NfvdbCA;|88)o-ULqWosp-*Shx&di;#84vG(j^ zB-~>G;HNj(aOp9McN~FC-ht57=}1VmryFaJADacjf7m?Cj5~+zx85TD9uRZu1C|_m zh+^$WA)`kijP(c=XF3)a26Dp4=sJ>4hVG))V8V?sa}l6Pn-PN)U723qBdlcfeS?6I zL0JYW7U-!IcvsnGtlE!Rgq_PUC7lZcIln_wkAb}|fme4R;Ti%X-J)HM{sbN+lWEGh zg*CfEQ>_C`H9A0B${(71wW0g50y5<;j~c$MkZ|lAmT%aGoCS;H>s*D9^{br7ob@|o z$^HYJvPyO2*RUhtv>9{Y(_BUI6$=;NvtZ%YJ_!A1Z@_~1^O%3=4kn-a8MVi3Kqj9s zm|W;!U3g5ABtx*NF+aUW!5~Q{(hY*(OLbZTCH@vkO5yo2xJ`nc|13B)T!7C4mch=M z1*;09VRW8`%uN>I^A4-=ZSPGmG@Qcy%D&~?@;tZ_2K+wr{C-mHXd?x&-xC36b!WDK zOL1(nJ{`bGCv54MZK++X{O>X@}%CwOQzq*(}_-trcjhFB`X4j?L`a%y6S) z^Wyy@V_ZuANO5xYdCi-QhoLF|-Wn5d^~V!#jT7JbWi_Lhz;on0M$R=EQSJ zkS*8|i}?`|SRB3;%QkMpmWb`xckn3g-G7YNuig<*4E{+B?365Rfh9^WX~b5tz3js} zm!!-m_Iq%VSY(xaK0UzM%6328JVj{(uvNC({~^h7rMKQj>3z@d>3_o`z2p8p{gubR z7H~W^-Y2}9s6?|83A(HIe?h|8OW1tsA{L&zi3V$8kTG})bp4jY&@UXC-gI!k2RIpq ztIbrxOaM30JTm|Rr)@b7`eqEk%@~*)hQZON2fpxbhXM^dqEY`*2tRTbH-34Ai#LA4 z73$KZ+qlHBn68@!b!3 zkTZ8dq9Y^@h=h$)m7wmcb7Gt)bKvtJ7s2V&U(~myJ z$_vl1>B3X&y8agXZUeD&Z5z+O!GyT$XfkyhvNe)SH}10@gI!$)wYm(nb?7SUjv$l> zf2mgV7_FdZa2rEcIEK#|xZFp;j=|l)04(DW>fHqh=F#|-yCdARlw=DU8N7|I+|~*> zzSh>GE21>qznXc#d4TFpU|(l2jJ3kl0Md5u!EkWt1t;e&_}r%#@-_`YZm$lg**XZp z6Bc4)>=EofdLGAk-n$14Mvm_bAY(Ry?X&MFy0uJSL7(Mo*yqTFOy3tm_9Ep`(xVBQ z4H%1IE2A+f@)%~sUqZi)M^K>K1Z4E38&OrfVV2|MF%oV9IFsL4GvK6up90(vi+H{i z;CNnmzKr$8Axp#g_@eb{IJnM$rXbHvA^yJN+^*(iWN5MwSv#(Rp}{oni=Pu$%=4g= z^C5s!!){T38gMoslh-l`vgjjcC(pUgDuJCWS;)!OiZGIPR{>jH8RVD%`%S=cKVDYA z(Xk2KeCWstNTV;$jkLkh#hIE-I5wYv%ngU5M4$QSvFaekMxMsZ9VfAL_c1Kl zxfjcJ?#8OPomfi1E!(;oaeMaQ!iCFt`0!`Ec}-WuIFL-&W?ue#0VmH>;U@YUaR1In zddK~H`YVrreHEqL5xfxva&-E5PyPJ!OPoG;1^drl#QM``F#Gat)LR+_r@jkd?7I=V zzMFm1bz_P8eA;E*Y1Rpd6cY+3YcOBd%I0OssK0t8y07Gzh z3+{uvyI=N)eV={)Lv?lcs#Wh|G{k&PYvE~ss6}WWmfm3wS}C;7Rifc*7+#}SUL-GM zc*uRfDO!C50g#`rj)wEr=udwu&!v}h2QdXO&`FCrbFY|u)*T4>?D#?(=CeQV?y4U9 z-yMvh#p(n2vL7;L8o2l)mL@{Q1t8%(st3m ztebtYniaA@1QVIaj>i_fV!tl&xq6+VZp-6Mw$)OoTYq`ibchO(>UFR;W?V6^qe05k zNI_039z>l;D=LzqwQ$GeKwL*_IVIiqEG-ZjbpYT4VZO==;YPvxBb^3Z@msa@Rc}~i z)cT8s=hzWLz0P-jT^U{Cce}CsOp3L1rJAgazx+>misJ2&pWl36S-Ss?`+q?WVG{35 zSpB%%iG5rXzwd zU9<4hK<|6&C>f3K+cxpCBs#SPs|lyw<@j}6`x0T3WS&GGl9R1FJYH8^<72JpC>yq zvyoTk_Jke$^^Ni~=Z(borc1Zz-$}Q4NqM9ha^cqQJZRX+5}|P+dQm1suil4Yz7HMN zyb?Qzu&385qrKF)?E@tfu`e`#`;c~dnQ&N(d1$us$)$IwP9G7}?*@MMCNmq-#WSpfQC{lc)IraTV$1X}b{@3~u3U`l z&)0wsJbt?mKK+s(^|-l~`AsMo7F-i&tNfR(R7ht?YLYS z#lcENK%(2B3mhbAd@12jF>Ed14(kk?2>(p#B2n?i1JXQjpse8;|oVC0W~#(*9^4sR0YXqQgNBELht$@ZBFs z;z!djQ!cb7b@*EO&e`-!$t;A6c`KyP3V6<$c?3vASmCYBP&eRsm^9tiBmfF=$yh;S$RJ4b+@1^tx4uwzI3b@V1l!!w8)rmc=^7(cb#zGWq4_WldoU|9F`}%@T$>e|?-BAN7yx z_*HV9o_WEj%!M#}k(a^x+JBp{cCzA|!1&H;)`;)9?Sqrfl>U2P)8LvYuAo26$caKG zTI3}nB`~$z4p_R+1iKDDNE7(p()jJSd@LN0>hV~m;aG4ad+5>lEY-C5g_9h^m;LX8 zg#bR9xrH^Np%d2W`)EB9`4Em!dI$7-Y{?p{{frOemMJ&0RWv@N(Cx$C+TivSen^X) zLf4VvTl6t?B%Dqs-hVIAgoogiF!DBXoF~Azp`Fi!! zal5(UsU1O%S2iEwV1qEOUHPaMz3znLn{p;PG}jYfYvE16(D*a|kbfblqk*-HQr#&5 z^7%A+uUCEPo!rjup@%=2RtTlFbG`K5zRyB(28mXo`%B4-2Jf+n^=Kok3M|a#V33+y z*26uHm*-NgMETBj&V&GJLtQ;oK7HzJb`*wV`uY7x21a{4mEN~TJ6Q;-HfuJVP{r8S zg#;O$*9`rs%C4bR&RjX0Oj)jrT~qpMn*;||le*+r3$ue8AT(orS)Ilf>^`6s%+ zb6XhI^T!0I;UDtPy5mNZkE?08E-YTfRPZE2vCcjWz3k(%fG zJH!IMFOsk<;jqy6g6}*c1yaI`7trst&Dgyn>XrR(i-(~Lqi>e_ngW)yVMyE-`0;@7 zG)~r&jY3y*K>zlAT(7T=v4g_(PTgk~gopaCxusYxoGM_eZiEsAY9VT4<^P_Px7a`u z%>)~Ck?yUTWKA3jT*fdyI~m}4I{*CE$pXC&apCdXBpA=(m74#7m#Uc4vzTA(^l)sh z*YX3!`~CwVi2%U~-;GFnH_e6J_)q%pH9J&LWR-QFWE9>y|JaJf_C9>W>1Oj6B3{U- z`fr|yl(IkvKl+f-oIR=Avxv`%LmBiM>F8AvtTIZ4rFF?|NIV{DCA&j+s~7r(c!PQ^ z#9sk&0i`c01b^)Ua}}vco+_yRSo}WPh1c?YVVhff0n{V$te1?ugE|F|Lv2Iiok<8| zY^8?L>gHOp!7?!msm|cd{t^e?3!v4 zuH!O?sgnH!xt0v|dUwViP;h`Dn2c5JUHv&&wL2iA`;DA)iA-sP&q048|BQsYm`>L7 zaO@mXQ>k{vbY*_iq+YhxgJe0&ni8HDQ>qSl(hHivhtlc|G#*tu-lMNBJsj~kJtCjJ zTqATmgMYb|H}y<(zz@%$i>yVg4T8(zx-^g#43qP`8R1q_`+h&v(aU^r9T=>9VzXU_ zy^qof5P&rvRLX~U#ntT@qWMH9c%X22yXi5#@qIRnI-b5Wx)8xp0ONh{h2}RsM0s3I z{}nN^AVc%)E7q-_>V;Kg-=Js>q3-)*!g2aq3tqlv)VUTh)L_I@cs%say4ArZD$6t2 z*$yFe4D}%Iy2~Pk3k_;!FLa{=&Wz$O1-~ctoz5T&pggO64pPaXW`zWR^FN0s~ zRGfcB32kj=bueK4DSswbEN|uO$?|>@oNRs80y^3*KJI74NFuJG6oyqwm79l6f>zn0 zkcH*nuST0qcT;m$60ZKU?tf#twI((Qc^D*p*{XX^55)ub4>$bJmkgM!>$GL#3;%X+ z6=4-b%-{*928^&?Y+&wK#)O*F6MF03jV<$J2c&mr2h{?vg#q&Z4Bg*^Zx3I@FuTd9+#15Rcb+3>#e+DxBWLyGD}?0Mx@n~!;d3imGF zlht&_W_Pz2u??FWrS7I8ou0>u!7m2@1--T)(>)fWx2Yb8>j`C*3P^!$;G!qYapzeA z%Df^tR`L>F{@nX!--QIggv-3q)He&|}%FsbgfeYqCt^10g$y|DBc3v@Jq|J};_!qUG1rD9B38p)Fyx$nAVMhEHkaZ{2 zy*qGL6N3ISn4aT!+D-bm(ZkyI-`K_n==_&Yh2>ll+IKO7YFb|zN5rlqfN6E9lA)|4 zVs8?>`~D?}#hYt`%1weoZl7m{xq=%dr7UUK>r+bjV~goxi<{sAos;ouEI)$cc{mxN zGcG95(ea3H1}m8WTRs|lRoPJ5tvwr;OfOUD&h(mon~ z9Yw{(B8oe*iW!xlw20-R#ttWU-m{(Wif$#Cz#4}65;QTTPPH13l=adF1lY^~1^Id! zd-;aig9g0RIj>w8AEc$4aP-K@qvj}|EQ@&medl(fhA4D?QGz|<9;P#`xzM6IUixh2 zwa*X$q6-g4lwBBlt?`+Vs6y7pV-MYm_XB(EPLujnLk@C{^MvFji`J!v{in9-6+2MJ zt1Z{D^VyZ|u;Aw_*<5S;!L|fZ^>578-5Nm6+}qDDAxZO`FM=;w*Q{_Xdsg4&vmZsL zw=`M5x4R~H*4N(C71RhbWXl)lX%S|9Yaz|W*=J0*|AmucS_ma*2DEJmE1A7hG;XsL zYZb78>!!%7DJSMqmIAd0P156k`-V!)^0p8xfd_DnSH1n>*yPw^k}}9cY_)pA{^{qx zKT5_BNEI?P09C6PlFMgv4NP4QIlku0WJ#)37{oent_^Ecj5V2;T{Xa*j$rLP9NWNV z1e}@%>efzl>`ZSa1GN=Iv_>+BBo$`9+Dnenj+K}*#If5+2x^yYz%B;Zlt~n_fYxGE z+}rMTZnBS?gBAZW?bgHElyI7-;!px1`aeQ+A7!<>2PdC!$UK!+0?GOE#iq#d!(Rts zQ1ybQh)F|F7rwamhb20w5IoS1JSHh!i$AK0v8CaKZd^Me%VXs354K%9%J!a6-kS;b zFyErTX#A*oo#CWJ5Ex(;rhHw(PH+&SdyVlqtD45KV+FW^0@e8gb@_qkGp_1&Rr4w< z;mBE3A@$iAr{y6$xSVJyMAWN3Y>$Fm!w;l+&|@QHW>hhUOTYHCn&h=*%5%lUGv2p2 zS#ENthdHqrgzN&bb)>Ww)LzKL7lt7>whH4|dpCYm2Ay2Pe>iQd0Q+?v!N~^V1T?M|%sQO;A~Rtk(kCZ41}A3)m4NaxZP={cJOmPl<;6<`u&qD2<1KGM zCfz{t3D+jfd$s?03VeRK8-`!_2K!))9D9{JNG*)4a7oz#xfIo(vkp)_$?|eWf0%j9 zK>)8gz$i#KE6I+fm)bepjI?`Y;6S&3AlsICsj8bsBu;xtMc9ncu?QIwHzZwIGj`-a zyjL4h5bRxs*1v(PkYkp8g+dVQ%|Uj>#REvRAF@rqIid;&)743mTo+MEE$-p>!wUO1 zlJInru{vN)7(#Ei=$`MNaWVS51e2W)(#@Vh4ls9=ADrt>=8e2GJp-Agy2qR19&bSJ zzRk82(HnT^t2eOP=F$6+|G*GIuW_3!HB%;}b#N|LF$XizEk0nM2R$dchgpZh<7=S& zMUz#N1oDAU!b7N^RC}$>6mbJs6yDv_w;HC;oe3D=M{yhg4 z_OwJPb$@Xjr3$GI3w0LVgC6Cw|3aWNm25k+ZpbEFRfPJHa;c6lLqyq(yIBN(Q(bwi_9g!(CtUKQ?-qH#k?EEw^f8pR8{1p z5jbZ?Z2oh7^vq=!h2heJ7ItzSe?;tg-p&~GrV<_GW=Qfe6*mRA!nOKrA-HaJOJMHH z|Dt~Db&QA-lB=%-Ex0_;{BY z|4oZ_lKPlf+EY>gB*^Gz{>hVi^cVZ`siPWEBRYk`w(kuu4Tcf+v2Hj7kW9saCsi#N z8y^#u1wI*coqoLzvuBl4ERvkzh!gL9L1R3(EI!qD?$WTf$CmEVsgK26 zd~C)a+)3U%!$rKd%Q>AA(x9bEpwUVYT*}K-!#g#Amx9>d9geG|HpBIJ041%rkf-bu zYuS<-Om0C#pt;B8q_T)N{NI&;5qth;fa79{MBxcy7#bDCkRr>QLS|-05 zwW{NQ7;c)0MKY3bZmAK@6%00Cjd#37BpJBd2f84raiJwrvjuJ1|8_{AZD~a7al9+B=5*7uMIrFK;BUPTk`K&rMOr7bZGVy1|9#p zC)RNXv!ur;=xGB3AUNn*(%VYMH136~0~URL$jFmIL>=!SWYdQ~BaYx=zlr9S>hCRA zQHiCgJgHy>6>Gi==^<)b>ckZAH;pBL3BYp7xq=SEz=`2&L`uv_sY(%EUu5c@jgtlE zVdiw|8 zTNJo-N(5R&9Z+ukPNHQ|fND(q;g#HdcfJ*+Y4MrbZv6C(BvZ55E|DNDFC%jJ)(hnB(*mi;iLvk@+3D5=Q0zbDCpd(2dQlvUS zEQ8;7MzbsnM8?&PiaGeONasJHN_qeLp^k9$&k*YU!YQ;zUfcOZf-R7r?*IH%HpZ}T z>+26BqZf&9+(te|$UA$d(4#ZohwUdNAp^+V#M=B9@dEHySzA@{RcgO z$(8_+>vNh3HWiTL0m3tAx~~v-rGAESALu}9o8NVPVyoyq*!%%wkql4zDZIXP-S`Vl z1)o(9oy@}RHcGvMnu8#i$WAh@BO3)k+>rar$|GVC_4ltaifg71`JjACe0m7d0)ll} zgqrk#K|_~37UX;G8TI5~JYtjW&L6i@_ogN>q73Boweq~t_(S@9KCoqgDtiM@__VTJ zR3luB0r5`zbauQr zWki?JYoa<}ofw=yGm|i!MJM=e2e_qtb0r3eyedvhGYed^JBI2Kjp<>2<8`Y(PWzFQ zXMcbBWF@_`K`xYK_gmixURGn+xCNrk;}3ku@p=xSs!YOIz1A4Qw+Iq7CpFwpG54R6 z(<&OOOL?g{(}q;krG@a|tWM=EucZRK{r{O2uAGgGcoe{-=HKNe=L^>>+PA?sHF3&& z;y22i;aHOY^9Nu4E$S%lNPOiEP(^EogQNCw* zICcg@B_-l1tji)NOS?(*+VZN&L`5@po4a#(pty601tbs1~N6%^va(f-+TF-YF6!dX=;+GkB0$RrXDk z875oZf8tQ9ncj5tRY@cJ`Iy6-ot6qfz#PjvvXs}Ayze;6&sC>3mGx6=W7vIE{Ag61PCz(Pqi9H8GP`dqb(@Ms#7&#gfr(DeZx zc#Rh7P$2SF$f0{Aaa=ynbd8#4-<0cPzMedB;;mdDO?T)H9$X=fzwa0B<-WmO2lP(+ zb+HJ!e=148``q!Obg7O0Z7-u%cBnVu2DwiCTYe?%;Lz7+K&0WyZrm+TP` z=&yMM*l*MhHfZT1aMg>le`?@*!Y?Qq8o5Ho)*FQS1cu+OY9o-?&8(L>++1QE~=nW&_ zNmJEe{`&6PHXaomqTBoTRfTiSiKWmn^TCmkdWb@S>o1ATil5`})t7?eb1ch(585 zM#TgjO_@qj3eXLKr(rC^*lZo0eUF91X+PMme2wGmD~{>%+~$h)rDr%Y(TYq`H87xD zqV#exfYGp2D7lQIzqaz(@@+hOU`heGlbuxsbo89e=P;cWknzD zoK5^mwen!OAQXX)If%w9+LbHyg=9DU)zRTv(kpWM3iH!r?$IHu5y}j-Xp4xV=3-|n zo}ZB1D?V$;1F7vMBWN#2*?vYR0&RVmF8@x|I`4xZMmET$h^IMIc+2gL&JXe!rL*px z=s#?Lx`!eT`jF4fjv91eOfdctzeZxP@%!bNK*Tt;=Ly4dSQyG^%#f#)$roBRioM7{ z2!s+FWB9>gbNbsz8X->f*gXwS?V+4;X8U&2q0|kTixw15?E5A=B|>jJ;l#%z7lE`J z5`Nqr{gup^tO#yyIT7|4A9U;nbdp6<{kp;}`jC#!lL;7wpb_tYX?6DOk5K0%c;4AX z@d-b?M+Us?IV*AKlr3r>B5UD&n)-}3BvL||+ey(^IzWKpIMQDxG9>Je)%0~{89EP~ zn78`u0}C|i&!KeX$q5>?*SFoxsSH$Bmf@qjLw}UP{{*mHbdh2hvSF6YJQTk~bVhbu zg0X+l)g8kIH4|jr%1$2r!3i2iVYB4U+jdt!hdUoFMr!J{dS&H99KW1}H$H;*}Ds308{PxCobO^|YUTG}GP3O^m{EbT57rz+Y z)#=P5aV%v#X^Y@iWLHofU@Sijk8UB*MfZx%mg=`_`KT&d9WWJ#i&I0^H2|m0dGRMV zD`4IkPGXrkzC#SU1xACA>|4LPeRx-2UH-mykWO3hiV~+)7WY?%d$U{SmvO1X=svXQ z3_X;WcJ)@464>!w{xxa|A!yVMh~eQPowZ-`EM&recV6fryMbeduJutDJz|_bOvh^z#8>3gr3=l|#rHA`u-&OpG;)F1lGMaTw1&5)PVKi)9 zf9AWRR+v;>Wb5X;31(aYUa98gnLqZgc^`n=3AGa`WUYzVZk*cb5)j}P6j^0 z@lE3iS}qw=j4fT~>IicFXkl1Ssg_-oL8I5K4TNx0F=(g-z@L`{8Z7XCywV#R|C7mEc^`iX&bKtAI z+bENc@zzWTqC7&>Cfrd3O2t|M;SQ7TWx5y!Y8GN-Bmr&6JppjCFs@!XBnzjLG&OJC z7Z>35BC_E}+$(Qexc|Enhk!oDcGUR&H%fVqHHmihdvK5n2meds`lq4Wf)Dp#|A>j? zoHU=yzyN3AP}4Rb*Ae|=(4C6KF$-6pU?OtFo_%$1hbXr> zZ%9plijO1PhjL3~#k2iOBto=ElVo1VuILxcz8G?3D!}qT=;AIMC-GxLO3xe^_A0LN zT%Cn<_;qi;E;j?A@>p^5Tlw~pz%_?tE|+K`^jtb6)qi&HGHOzFL&=zctL{Wk&5OzP zGV?#Ljzd?2pT-ks^fNX>JN-UVnKyn+0`B3s8|lxV62`OR%vOR-7TkH#(Cot&5B&ms zg~)z{0kCsY#w(vcRdW@hbdi#zF16SiP=5j*hhZ2R0;4}MnWeT;kE`fst92P7_UaVNv`G;HO!U_hKU81@p`zlY1x3ekpZIoYxyA@$Of zo0ALyt?C5Rw}Y8B6Itt>n(zff6>^)7Cn7S?DoWQTBmPF)^#$^V+qe`3){?4QbLEPs6XCEbhfj1c$i~M4 z?E4tc59X8aAwO{2S(XDH<#mkaD_Bz$+Ila-r)`c!?WpJuKN1{iH7m_k2}+FeD|NQg zd07Mvf>=UYXUzh$VY;1K1029SguwGmg*Z6RpEy6U{}?!1&mqBkIN$(F}!PLJ&bRLM8JUkPP5$&{ThgIF<%g7!|~)?gYNYo()H7Yr)0oI+4(#? z#G0_d85(kW(QVc?iRLUdZR+Su;%8zkQq6a7H&1Y=@qsYkVH;|ud5{;;3**ck%G?Ng z>cr@f+OH8NM)66SQ8Td(>+kQ;`20NLlIf;-z@Cx6uROv>ArmsyJi`rO`W#YGM(pr8 zMwLLtszQSS8lvs%rE%N=+Bh5bglhz*?Jui7_SR@l3Qqv3096`*mP7r{2{hkt! z?(IX(&{z=ea+4E1Q*flm+4=n}BN@_4cKbNGp0_7qQ1!RfA7Y|B>4bKY&-TI?7jy-wt;e$yVAX zlA|KcJ}#)_V@qSv^doO?BG@`z^wRcYdmiF!6i>uEA8^gTXL>9uIJDz@xGp5}n6ty7 z(md;7ykQB$uBshA<8WKL#s1w;Tm<+)38VNDi^eDw1ub9`T!b9|mT*HbF!Pe&06CwbA@m97>r zbB-;m^we?g5HG7P&IBUUHYPRMNdr=|eeCDqeW$ZGee?j1aJ-4H`{9X`O=Q8jV-yj5 zvD;L8m;?*xzqPK|_Loo#ei0oN+%}QR3#sES7~=)4Y%XR!!&N6q-BYj9TrW9ijR<;1rrZo0kl25w6JoLI-EUz^?Sbj6n9=Dh_1Bu}~>qryZ+ zF~m5TjI4jr$33g|HOpVnyos~6r%_iw9Vh(sM&g^RpK6NlUe?jetc1&iS+W**U_#Kd z$R7mpfhPcyTj;~QMeSywVOfh0di_?}_#tW@-Zr=>ih5BQ>0({q+*p)lXMtizY4K=` z^6y$3nl(dkEKxyK()4a2pL=U5-i%dQ5kscPtHl=3QaGwx!?$GBg@J!UZ~J%*AE7{) z;=G^H-Ao9Sq{v6}!~z|8M+LNu1y$A_+!q;8A6^Q=VL7mQPOu5ZuNT#b)CH^(AeUGV z+`$xQrSgI7o-=G!Sx)6=^XI58(YF8muxG#$sSm%TVAlk%aoACA`&bL;4gmIDwUbEu z+Iz6B`Kx~$&G+FP38fBKm0Q-2hh4OT(y_6|F3+{NB>QUxIU-Jk1{OBRM*U(x-Tpw> zAS3CzNK&g3@a*=5?Wcmxgv&r=AF8p||EBQ%0|rdCI6eSG-gzF)$t}#s6Z@+ZyY7)4 zFf7I3_@>vSHDKmtK<58>-;@W8G_$!FFwPgf2H+neU0h&S8Ma7U%lz$R3Pga_$;&Wc z{48O>*hzy)POPXSgN#&q0G4kV)adxggdhnZ;xjT@#CxHwtjYWvdKQxdvbmOT%xW1u z-H-G+^N%=WS$(L;=A=OgRzK!fTKkJ@KB`AQyAbdEJ}08FugX=Gipq5F%@y;vUA`_# zv0`W7t|=5HMUk1e6z%(KF@xiwp}L}T6FRrqnB-F5Jvf>YO3O84JsCV~9rxqGnrO02 ztt_M=M9@@9-snt$yPL9m(bth&R+CE~d&a-R0{u~>~1g<}^3Qmb=nBMs#G*a@e33GPiAkJ}?w@phx$P0I4x@&-+t_1aj8Tw2>pk8 zOCBDw)Hj7js{m~LVv`0=O;Q;I3%kC`hIpGv4ZnK4&3l}cC17~a(H{{gZNO0@PMgBW zq(cWdA;_=OV(lB^S&UJPKh8+dL@n(-b!N9hJ@CsL$J5gh3+pQ>o#KY&zHE!w#>PeJqB;j@@bH=;X z@2cVaR;#xT)GjB>0RKhpE^|{X<95o+2!~HbuufL5mWnKzX>u&ePN(YE7*EsTGnwg) z80I`wE;8X9)~x#0T$fzi*3veFj zS@(wxJTGsS>wuJq)bVQB z(u!hR9XXG_G-++^6!4-8T}8(X7&AH?-SS)n41_mpz|fW7*OeIf9s1wIz*t~1LW;Y?tBR<=dq?OXezyzkWbh*(2K5wM!u z1qlgrqCFDOBop2_y~=^SVV$D%kqxOt+o{gRXCRm;Cgo$lBnC`-@$LSc&zWXb8bNVv z9wGERQUutUatw8%D*F|-0=GR;Bva!BBh~$Ck^+NbJ;(kQx<6OO@2k!*$Z*c ztR-UI{i0hvp?4AR@W|#rR>Moc_Sygwv zjmrg=0}Z6h%>lA{T9+M>HLM5c(QN6h%oGo3<$-i8m7Rb-rXk0)9iEgwllwy9#k4Cwp!D7w$Q$)t9+0g!!^pF9A`|)O1wpH~E z)8~LD{vGq6uatl%BN-A8gmRE%hG%LYlJyO3hi(2O|HSb1kUupR-2aQ8BrK*Gr#+^} z>Wd$zaPOM2;Xg5-abc?aZX5Ig!TfbBa+$^iQ7yDO4Z&BP2ydl&lM*GyVLJ|dx(&*Z z%818Gug_&Hx7N>TK>^YYcAS}k?Ga$RaxYPtE|$}=PA4M3tUG|*$(nE68W_?BBN7d- z>SAKS=>6$VH||A}cty7+{Eso5LrfwwXQLd&=W%&{163MMtrgrXi_1IyUp;li!}z3h z{~Wx(gjKh7-$m$cl}}vAHi!FPaymI-Xl?pOS+L{%b01=Ov|k!(OjFwgpyC^LSOhtI zLGd^?&+@1EkeApCjJ%Tn+j}@kpfk48nK~vF8Ho#GwwFJ;&yzP040_%iM9rKK?|1+o z+mv^oa3s3eu+IN36lv=FajmPEr?lsZvrl=dK~iNuzq5UGDd<(lvCot9d;(Hxofp+% zxic~l!Rl$cuQ34chMv0|3t^6Zb4npz5#%LsPilC=sAJ_p?WhMeUqa)#M0BNz2WVC7 ztgXSBTyyd=Z!02?%)o#h`n%f|{c8ZWCxb`sEhuT8jbrF;hrW8^C0nS#pJm6*_buX8 z;ZYN&SV0B$q`}M&7kqxPHK5n#rGA7-NK7m%D*6+#`Tky99tn;c&`ckw`xAzhRslKu z-1K;{A#8Ttf8wruzTUQ&u3k{Vl*=2%i?p!wunpm5EfP2bVgvBW7jG`OLA?5F54C9d zO{?o!1On6fTj@}#3ojBiMFl5&HzMwyQgeU4j||16t7Y}cbhIvtcv=Tziu~?iutu%;5ZVD53o?} z|6Ph5yO>xcxk+PNR+uU|^YEcM2O0@s`IbBFGt3v3-6o-6-6bm?-BX6tLRgrgr4V*O znkQ)ozJ57O`II{Z2DmSPOrOTh8Iss_!MmzXAA z7!vZ*Ig{mW*WfH&y6sO~_e9NU%=$HZeS*4*JDw{Wd*1OJ4AY$Ahcv%fXp$WQHqBS0 z&Q0e|Yv_w+TemXvpDIIb+5$aSd8~qjxN@OsGo){;QGOx1vC9rVa0TQog9?7{dhd>5j{;b;?b`yN`M-=0Ez=6pZj^xOX)=>ODz7Hjsp@o_FhvQ`_~I7gRc=j>q5 zw>gp__~ATCU_8FYR2*cmIow0HIYS-W{^?8GefXZW{`Uf}Z`N_xDkW?2&4D+%kKC|# zBhQUz((PyOo|-#g_0f~&`(UbL7mBlzE#y1=;(f)g< zu}qe>{HJTEutlVvlXmWebC7V^{dY!uBA40k7<0xl4(Fmk`46;AD{>+;>Z1S1wz3^_ z99Jb4;BO&~*z~7gg1NBgY8ff9 z->&&do-R0Dd?qfGr!fhZV!uuNOQvkB!l@_i> z1Aki#H(}o|$YI^(myrRSWtNU94;wQ0Y)Bq&O?MzFam&&9aZD(DQQzjBs1Y|MT}fu6 zsH;?J6vYkYi7LLyeLH=3baif2_Og|Q$4Ypiv#(buN*TuJ{$4Z_vS*7qwRu_0^2&+R zW&PN8D{dop71_}pktOs)ytm#yR=6cb+?himz>PMGtzKi&d{S$-dbQtU`L6yVj;{QU z%|GPLR3e%tLz$FGdD;o@EL2XqD>-{)g& zwhk1j#2OvN{@9K(!r^{yOb_kvv)A?F)~YkZsrP66`%r42$V*Dd^D>xGv&zyaGBVP; zJS{EF&|rYpG9o&BZ~p?Dgx@LR3ZFF*oFQESfy~Tsbc=~0p$IEXHsiQwNt^Z^a6FRo zF%$Y`Lz*NqBCd5q{Ze^x_5~6ZmSeuIyr7Q67S>bVla#|LP8yFgMI2r)Bae~`mdSdT*cUP=CXf>@%hIQZ7kW!)@(UwrNukUZn@Fa-duK!&zcKI zl|kJ`6gSWg8^9B&rEiK)q}`AoFH%+I$*3$#R5A$FIANNQS-5`4=l8OJ{ItfpS%tO|GmWSB4j0GRlLpNIF(!mW@=$41cT=6 z(9K_d&CllC4@<0Mj#)Z6Bm(Rc>5cdzOzQ0EjlMdj1;iZg8?$TZWp@rr>ZOgj24?<4 zbuxy-^M;M*GEeE02ZNnm`S9Q;8%Ra{o{XI<;@`2{;t%N4_XRs2VGV_ata(GRR(Dk= zCG>I+v8u~H`}cHNWr-9_PM}zxF|u~<1f1Y^j{PgBp4(}4s|;1Gyc0z?Yo**qc5WlX zN^y=R6_QGCaON*>B+r$7yO>G+kUPFA`3c)2J!+IZJYw7gS~ChyR#IdXq!FqHzF@rI3!&^FeYE{d59e;l^T= zX6TA{7_DLD5jSp)zWSD>&;wCp&-%@)gJLu=3*67@<&Y!%FYJ1v@V|ww-r44LBU2vp zd@(@&4wtXnolCOuCU;zn!0mH7wdJ7eYsiX>nS!RcZG26wT7eEc#rC}jQZUmbJHmqB zE-c+iBaK%V)lzy5dYpVILcAuZ{O_6xuC;#oDFR9UvOqJ9%dMJ)ez8d@)KgPu6bfyO zE*^ZFHrNy&o-MWv2~Dy#D(|Yy%Q#tINq6qQO{xNQ;o}}&XqUe0?z)i)aE%UqL&;3q z$r-amZ06le3Z(pE8ahQhjgfl1{^7Fe+HepK77H`009jc%N_NgUPZ-Mtv(Pu@!h%9akptn9`k_yb-;&IK4q4mGoAmF(z_L(^Nlid{Sx9-hm z?9G$k_~D=N#rsl8&HzYWA7~_)kY}yo<7DMER%CvclvH@C+qu(uQVqk>;-$jNX}1C< zVmkXG0p&WWMD2C+n{gGO?a|ouf2EK7d-E+4yily5BCcOB=sUyzay-Fmnj0yL-^G*5Yr?np{8(}iJrNpCm{d!7*W?vC8`+@LnJ=*$ZVcfKPi+f+)B&WY20s8&jyvP< zm4YYX0mrqFh5@)by1Zi1^5O~q-Ng@`zTe-JhL0X!asQ*d!-JjeJx*6PHv1x}>F8|6 znKjqX(Lw$*FA|I^>@M{dta};Sfo0ZDI;#cieb^Pa@RclqB*7&=cu63 zOJ)x1*~mOx;&ZFn{v1v2Dmw5`%uUg~AEX z=IKOo1#v-tv9(Bx&+E^|Q71?3Qo^N2Pp526gnzZl%5s@pxQvXl)-zSom0mZc3<2dz z+7fgn#qt;$%_+P)oEOD69SkcohOK&LbTcEjK zB@|tZFMkJS>O{Ed%XT$h2Cth4d8xpp5H^JmeNJ7#bc-Dv{Q%~B3#gy>D>}I+FPXJN zw!?D(IsM@1YBI$3!qvFf+jJS3!fDJ7&Ye|rvQiy7;~^Gwvf^`xBXY9Tk;3!_1_Lbq za+QJ18fV_a)0{=U&`l9S^S@Z~C9(3w=AI10`*<9F{nliNMZJ#A!sm5WI5$1L*Bu+R z@JSK~doAUj-#05y(J|tR+z6I<#oMd`@3g3aBAXna*aNWBN(Wcu>&vOtXLH(Z=T+_e zW3YD|2w3-c491ngC4-aS%=kkoHN;9+oS9xM>`d;XX0OS(!q5B{`a5$?OBwIPdT3*` zwBB=NCK0jO=?-U`ewz-o_x4-F#k)sO#;IPPvPZ?|7kxE96qSrk@B1lHuKmZAhOAu( zEZ|M#;b7REmk|EFZS2BkVll@R0|cuEo2iWRsiZuH=5JZP^ileL16UzYArjztHHq7K z;1=)Qe57*CKVGPH=gE;)m&}qWMsVbpk&HQ1ffNg>f6nIb9!ZfdI%%M_?5N z;Cjx{QTO_@!dCpBDTyt3mAvyoq6Hlq5)TtFEZ&206+5LXfHl@-m*1&ZYr36nt9Qcl z|J%k1pfln0C8dpxPj_cFMyevJS>N*t0yICV9)TvZcVTHOod&p+&?Hfw`e@vYPS6pF}t#J*I1a}hL-8}>dE{%I2xHj$@Ah>(u?hcI;+})kV zJ-Ea4ckj%-^B2ylRp+f;dp~9Wter^G4ubWH=DeMQ7<*}5R|AbB_?7`VT zaK1ZQ`j!YH8z|=d#ty>uf7=b>JC*yjz5ML1?>$tj8^aI0z->!72NW%;>Dc@;(|%D{ zv-f{jKxu!(HtpjkSdrak#gvv_(-8^C>@3^BE;(9~&rL28py?Vrbm|(ofD>{MX{al&0nfJsdk^_ygA4|IX)u(g)jtFzfe^h z)^M<8wyv82<|fqF=Cpvn^SOD=m*kBK^|t-s_@vcu!D1r3F@XyBMmgO!oS4Fln*6M= zVXr?rZG?l%UN!&41u>FG%re>V#40Ix0-$Av#~i}uF+kL*+emt#k;&HNP|zuKLy%Sy z@DdG<&JGTa;&eIAP_E7;yvFk#%rBml8EqeE$~Fj|n7s;8Gff6p;Fjfs76pb5(q$tC%R{)G*~H%Jxp zORtr|$SZxFShb-0R`tg<#5B4t;7+3&LCyYZ9evpT!tMPXcg|66Bv2F{e2>mvD2iNR z2y?&c`OfKQKt3aya@E@DCkZv!*mjp<55Z=X#kYH0@2@ISwZIm47f}=~D1rDc@f?qR z)Llx;*s2Y?CZdR;e*1s9JP*2vWNOQY{X97Vfh_*Xw3bvXX+5%Y$91V54qkHpQY zobqM%Ez}>R9pNjL`l8d#nSXiosvfc{KjczE3qP960LQ)Q_NJX+_kOG18Cxa)(UrET zlGntaol-5ArlU|Yw-i{{NUYK-t1qirCK)9Vb+qn=61vvG8w zgE;63Fp@>_bn2Z>J0D-HZ?|j`iLaDdyli(yCkLt!b7ZS{FR3yxuPJ3u$>U}FjpP*| zJ1+sFUu@0VGjS!xMXK{#uhH9YPKz#G7RetPTKG_AWpH8_L&~HJj!7U~S%Kq4Xav%L zJ`b*JE@JUf55eW^N9FE2Y%+W@r-v7bJDN>nX^I9vpqo=b08LC+nxkJZ1E7nE5t)f|1yjEL8hq6^aX~dRC?-`#j zjRTd%gUJ*$UL+=I@4y9Qa#J}6gw>!uUc4OJ`%fN7HM6}bQ`qJ|nn7z=5? z)gQoBcVY51s(E5rwNRV(T)-+~K9<8VNp~UgHNHqzZ!*|}>BGpq%iX$mVs5^?I{lAO zBMR9vkY!OZS;5KS;N;{sqBk#sDNaVSLNhB?%3csF_LMKPMYFR4`#(iG+8q@dpQ*=ub zS^jNfl!m(WvAWT@)4!u4-9$&{;0GTzSkw2OB`lV`a0<%^RH}3&thl&x9Vb9PKBp1l|4@>l<>pt9;7>_oIA>-~kO$gp)8qe$mOI#QBG%}Yt*Y(Vvo6@5fVhz*QT$V$>p*wBaQUhRYZo#B|kEylDW9*i`~D{H@b5 z_<(k34pL=KVI`f~#25~HV|ovBJ;B;v4hZm4p{{}jWs4;#5mLY3-*$YaGPfiHhRM7| zT=7YpGP@<#5(bk(Pdo3@J?L2iCm!w-k1u35QZ|DQ zONwY1D=drl@JMHxDmn9RyKbpU;-M{&kVG%yn5r`^H5(rN=V=@Zf#k2zy+`0vwzdTF zKi1w-|86yHM3RPocl_0g*8kQa&S}Z^i;UUK&SW6h0+UK~&*6#^jumaU&YE(xW-kgW5%I;_Ml`anQZKDZlqBZH3!x;d zP;<9!_I%oDTjRKibYzHHP`iB@yO;+a!9tNME;MrGO1I=_k%(Y*szM!K!1-)4ITQJ4 z+P;KOP|#|Qvq%FTJ6%hl#`lEmtCAEpiATB&Cmx%_k~@YsZ0;Z`ilEHy@~@t}32d)D z*c0K&x|L_9X9&U_{ryth9mrQu>C)qX|FAS28CN{70}O7ux^D&z;dkI|fh|N6Ftg2` za(^s9^G}mubUdDqb@QVBq~c#}ZZAzTLa~tHzoGKGM3=jr1K*d?$wa)Wf}1?(5rg4= zCx_x}sWs*calN70b)kdrjgnIegdT*u=}`l(ScZJz9WIVj{5*Qkd^(;<{R*&-vgJJV zH@otn8IjLhj-6`!yxg78nSg$=$iE1r8|lwT!xCLW0JKk6dtTwkup8+>L_mm5Paip3 zZEids(rvc0lt3{ki%g{i{_dg^Ob|}F7(WVR!paiD=1ocW__X=&2Zo~;TulA7#b;tg z!`$a4Hj#6YjKHhi@>K=2XuB)5HBTYQRfW9euWmFgnWkw}`%XH3gg z0H7oww$l?7DBrS#Ff(aLq2fUCKTqaCa9nm4_@$}@%`^kmB^P%apDZ}C{jU^9<6J#~q zr9@>j9*IVgtInoWVY5&GM8}PXZ2qfgztTp0S?W&Bej(T+G^XyN2YsaiE6*av1Lycw zm>e}#&AYh=enG`?hyjnJZ9oJFu+ucCyPHwEL2K)mh7%166TwL(i`@7{epB?6CpgdO zRaTkyhLei@BUfA8TZr>_4DBPp{ZRZvt6fjcnH$d8KT^+$vNPKXvF|Pdgv`;yNj(bE z)22z}=Ld$^z9;5z^;tF4Olea3{qx$c5R*X>f|dJEA2D-FUX?|fiAVl0OD_Y@Swzy5 z_&rY={SVAT&i-_F`^Li9RV++@k4Q`A>{!T#0|^arjb}-y-m);8@qWDCmRknyqtR9i z?>!Vg|2AEt;k!lJY(w6jv;TnZ=E-57K#Xy^G9^aF0yRSY9a>7JF~f9!lynrJ2@ab* zP^na;)Nwl;7I71`$<#49o06q4|N60^f1-t3+FJru)K@XbH4vC^P-AqY<4S(j`>w^6 zJDt|I?%o}MP005FBzf_utBsx97XXbn4BLY*MkX6{cP0lj&5fs2^h$(ceb!8gxTXD+ zo*Si8Pso|Eeibhf;f+^K0Z#v6K$P7P1ijmr_bw(iVkjTJlThv)W1Nfn76lxo?UGWD zy9}Qc8?R<{dGBobYA$0XF8c$FMpekfyp-OL*aGg+>(@qz9lGf3b2_RG$?xy~_wT{) z179VBD#u6!J}cPkz6z-cq)0W=La$i_gO7_nzFcBhK(acWFU{Re-(0koRW>oFmqBt; zycmD!i|oJv3Q5O135>^990spIq-|pJOQw=Y3a*uAuF6vW zv5vbDlVLMlg3+LiBik2{r4*i0=zhfDty0G!*+tjOYig~m2r?k0T-Ea*4arz2S6$PU z0MPr7>!?)LQevD5){P%9`O2t@6^WRq!mL`Xu#9}rSDLRCWXtMIsS^LkJE2ZqA=ei-FFEl=yVIwwGUoA&2C(4_?KKmKe>z}SI~I;X6i<85bMIFPIGTFP$+Xk&q?-_RyTpGu3(RWRbh}J& zG!0A0T(HlUl{f$pLx%}|QXuZiNGLB?A&KW!zN0RE}KC#laH>*%CwAeNSqK)oASGL_>BTdp+6B*haiUmLRnbFHiaMgUS3 zoF&IM6vT;5c#taQR)c@UmD^}9^Z?SsbddCSvIvUPK1=WD!J20+j?G{i4n_zZu2i*D z*R>kj4JcSq8i;UKlxNQyIhiTN;c0@hNiH;zMHzRxej?-Uz*h-k*!&@8;Q84ALKCD95mwH2Mcsq&>xsFo3WxH z4KrJrj^L}fljv`nZ6uWZ)V4i$E|Pii0^tWyR2|Pa-Jxy~wT8>t9EbZx5Uz~Tt+2r! z$b8+~MX-s69Fv%vRv&-`ZAU6mAuqd5u0HHUjlVAx^|}wPti2~isi}5gi&gDvfiYOK zcooLg(iDGb^VonEQa+r59u76dc9e`dO-B;cn!*_X+_qN$F1 zqvJW=eA`e1t!1v_T%jrG0#PbxAo&Ay{TjxQsmj3 zSBlQY^FH$^-PxK|1PvrAhwu8uiC$1@=fn#3K`lYE?1T?@P_rc4>l7iLC+L^H@&_-< zon>staG$@lOK0R0n3FI~WLH1C3}FU$MP4o+InPCX1~_4tcg!cLyHFg|Rx@_9K~_?Y zZ}0fX#T%o<78fUrgRjC+?Njf6w9im~fbwj2Q5Iab$X-t{I?));nxmessxcan!9Z6J z?jX;E-{Yxbe?<`_P>HdivC3l5IkH#22=>(9f}#wSvb*MvpZ4B$_a?NCEjitghNqti z>7JcfTRaZXa%p26sUUpkw2-CGpL$132qSO9cgm{(^b0_a{?;+E#bsRK`g0r}OKHwU z52nqX2=gh_&j~X!(DL^0N3AjG#|;O_DNtO0Ln0)kr|Qe1r2Z299rpII;e)qO`{AZN zLJ|XSflgz{T-o~XRtOvsS51*CsYh9gZ4$#`zIQNGMKla`%v0U7Qf+m#9nrL=u==sg zAeC;#RrAqeS-Chab4Wz6wYd~#qN1>fWQZ_r)H)!m#n#J;nBRDBN;~2UuiXGck8#yj zwma$jSm*<)k0LYPv&0zv==?!45_dtxwAB)2?aDkK~5I<_s>V5 z3xk*?g}_d`q%<4BNknHkInoY-rwLsD`lG5m%(9UOLOJK(0|5lH&b&z>-p+=@{+ip3 ziijUyAR_=peV>Wt(Mdjr^UL2%y;WUQ|E8^7*L@_ThIQE-2&JcsC?DpUurkeU`#3K# zzoZyoyW^U9Ma(*<{q7{lg#qBn1@|wu)^Kvg1h5UHI7+_lZUfj9!N2y~XjPtUOx_H`t$)U3ThlGio z)uMIJN~5mjavh_=#?J=qZbHJ;HGKvS97D{16{T(6$!;sRTFbcPrQus$QA{G!8LGMB z;|iMSamj?@cQ4GY6v=&+ca{Su>ICKWGA>ZtW2ARJeID>-HFPBr>TB{rM&)-6KXE(n zN<-0Z;SLzfaOL{XzE-w;1;4cYN?swBX=M4%Em2VEt#~B!U~g_y_JPiHylvI7sZ0Gl zP-#Mf{=fzEb1LI zyeAa%JKr!#PZZWZYN4G>8kqE*ql`Fbj^W!5s4fBNByC!+fhM#h$dP8*-Dhexb$CUz z!py-1zXDRr!sX+-*d--7ly`28Wn_Qs&OAnt;QF(q)}wG@69Y}T8!a{$?ka%}c1jWf zYEdgzX7M3#O|6N{h5)sUx;-mve@6XI4W6ws-j9Q34AJ9IWOsRP>`RH5AtRD`HSvP_Rt&R0V+}G@Q>wqhD1ip8E9m#pX<1r3|;9|9luA56gQ4 z1$lG*!VY18G@ppy47lf)=h?-4=H*tUlGE4pQxM2E^{Ghd9?O8M>liv@yFu`NGNGj7 zqYwOw?On4*H27rguzNN6UC%SvYfgVfK_^rtMuzD+!uaIUxrarVQgzGoviqbk>mb7{=&;^hFcf0<*>%tSh2?b&k~50qL?Z3Wty%Dj1H1Ig zHUvu;7R2+j9!61#1VqGZZY2B--jux@KI!gP)Tc>JZSXK zBbUVr@oKV<_R~5Z@C6!wmZf>=lkvPcSCRn!EGoL2E1OScP(Q||yAKA`-w|Z+Pqq4B z@z}eWO#0gEK)-uiq$d1$)+?B`O%;R3^9wq+ ztdxp3%pnn&^LK+0pN@B8DA5KB8h)o#*IiBHG)F;08>tG63?D({Rc9uwcA?>SVoBeD zBS7Tgj@gB_^%lo7fknGDoWZ+q91zCJ6{_OU1yNYOvwFu*1}M8^o$lfTjjITmVLQrw z{A-F-^=r>up@56odoNyDF5;oZ35kb+D)ehNFUO}Bg^5A~D(crjo=H>VZ|!`%+_POT z`d7{UD0347DBzvXg>3SsiyJ{)_VaN>E`=W}=KqW|D%3dGNRKMMLh%TnOis+ys_hjm zfwqOC3we?Ll@)NS8MiaI->n>)`M@KM@+CThdH`nTCQ#)M*a>lX5S$j~E>U_@kD6Xa zi;uJdi|uoS*U9%NPuDqY<&4#)!Z>wC0SwU4Or~#zgXjp_CY_YczudhSQZ<5Gc*B_G ziA8M8f76HXI0QSTu<~vVP()A6AE9Z&og_JtbSFl(ne3O|7kWM#c@jMo0m@xY%C!>k z6#3%6QTKJJ?pw78;~Gu>m68K@ok+t0RaW}MeEZ?MY!v*DPlhjPnUJr7OrNF%FlT7E zSxSC!`m>Tgv3rk;!*P&*qVgB~noZbxhVy8vN59K~tv^V(;?5UTG&_YqSaiV!?=zT2 zfcEmC0tv9>Nf9jHHfufXi%Gjdts0%Xb)0eeXQ+*pu<@@P%xtJ9skG7VOw59?)O3-A zVYEA9Y_dy$R)AjL^ei8G3DIznRKh@$TII?|@=X+ybSSw22l!ad3#g1{r_oR-rKYIZ z910(~`Z!yD;jm!0)L%y4#A&YDo$c&;!EHPl| zebJ6V!9INI+x#oKjd|Vt$Zns(%gIajYvpNurEi?rM`8)O7d_j^J-v=GI)Sy1MhK~W z{wKcHAsm+ce6_z$?!oX#hit>|@LUX=qM7yF25r{RYX)0y_C^1{DO;s8&-WYNdf(jN zFM5hH4DEF*9Gx!HO-M>wpDU;X48V>_C(RcQI}^g^!k!Gg7pz;Jh92vO>AP)yN(}k! zya!tP=7oT!An?(WY5%z=VQ;uqQ{heDRr$cjY{0@obHpJ#pygTI5q zNysvOgn+@j-+?7E^xp?ZH{%m0T7Ijw2lX6rT2SFya~Bw&NhPXaDr9Yy6R-YU&O>*# z^_*$|khfwHOJf&nB!0fvC`%Nply|-TmB!IXr`yD1$}sE-L^dtwnlfW8Rj$0$otm$( zr}VEcu8PaY$x&ec>}F=S9z6iZXL7Bf#Q;X#wOVK{)+?mBIpkCX^-~=4I2P2JqNk(m z9_u#eM%&U_@!#eAeEx)EHw7n=-=vd~Up1=sVU;z{D8|KG=mEws2J7PzDIRIwp@my` z6hG6b1Y`*l-~d7n$;VW2cn}BrJWr9B_phbZCQhF0XshZ5r0bEoAg?$8_ahW8}DmP}g) z@}0>G0sMA<3^=WE7^*Z*esivrHp9Yp&`?x>p`EGE{CWatcmM`xC~nmk1(hz@;h+oW zqjo-k{2K-lOhpES?*vYI0W~B-L9Amd0J$p3-e}4rCYGwfEqX5d^{CpNVhA}&Pa0Hw zyEwSy!|`t^rKGva(5PJT-=?J5CU*yQ*8pygS-U!f5=*w>T!?i3nAi=(ssOYo#nQWM zgyDa6NH)WAIa`gI&l3aMwDCR1btJVzqtz_e@fQ`&AF}-X-H!F!A@-0Ihlus zuau!Y={763ISO6dTFqxtw%mIu-IdNqD{$b}x(a$0#WlS>&%{q)OMqVoJRdsHWC5~! zx&aLcWzZzxLFUp`OGEe#eRM;sB_%~zl=5lD+3P>unqp$F#eM5&8iirt zzdvvqJ;z}?i^+QY0nL}@n)60@yP^*t)cnYywdxcDyhSr|6NaPR8%PYtwbkf*fF_(1fa*-=@AM9Lz`(GgAcluut_H4Pjn?q~w=vmkgrNzC^p^%ujQ~yfE;hP<+c4q`m=q_yBZDSIwfJ3H*)zMQavjjT5~KXNx0A; z&xMie1LE)r3TF772OC;Pvlmp{}+e?k23ahtyX0}cviRxz#w+~H`FpBD$Ruc-aip){IhurBvkri<^nPd@3{MiM|qmKNxiL~0-s1{fpsKuBAksR%%A@`>-nDq z;51QpnY|-AwB4m&KrUwFjMO|UES=z+ zKu3$&RA-{3^C7MG{+TjNz9V#Sn(59xdw}yF&Sv%c$jqK~Dz`l>qekTy&Xe?)yOgjf zMf1NeNn9oN;vG+BYS8}FTw9T`Vth7}^|)#OYcWo_h((*$&gXM9>62<}G@+q}^>0A+ z_Dxz7&Q7!ho#k|L)-|sq+hY}Y1{n(2G*X)LSU}D)9Vn6*TlY>ChBXIbMGY{1MT)7J zJ-tQW_UGen?p98=-xvw;LH>t=vfb0T8XrnU-r-J-70&AZ?^OFPlFD(moL^_2gLl{Q zCI*%zMyMol5$Xl#QpbC_(!YQ^$qZByxrTLke@=YneY8KkC=f*ep#c-Jvff-ZKF@op zMwtM%0GzQU{Iu%GiMnp*4)5C%!)nXx!S%Q2<1g8CZe}AY=l4$L9}xMuC0cnFv-k$^ zt>iOpG;RH+fwg@!eb}@IA^rU`~TVXt!!39&w!bEm_Z!HJ*zY{;yQ zp)8%`V>%yuhgeTLd9#Qf{KHsEy##&QxSUI?OZqI40$6sz-Ek$QeR#1}G?1MA>vUg3 z+zHCN^LZSS(JL7J*vjN|@ErSrN6Mf_BKpkjlJX7Qfql_SEctBmoT^!7A9*l z1*3T!VO_3WjmnMaVC#u#JSuL;14f?mTQMeiiY`dB1fY#vXm?mjmkGEOEdMJ_Y(5dY zP4-Ev75pP1q%jLlfq? zL11sV^WMkN`1zODS zX>;tm_IP}Ibi6ei^bfnw(DBeO+wq;oPwL(5N#Z}-8nL48qMV{KCUsHE^A{_Pw|@kA(Z8r23)_kVpnI3~Y}yWoXI&ESxe z%LN{PA|#iVHU2yd+4%gK^Tz~u;^_Bi#nPVSY`{+GW*)6Al9K6Zj{?)m;opi|vIg?D z-+A+u58x0)Y9cK_T_<%Rk&a4hF87}L2mX^}0)Dr?I$L`kXL8x{^Po~%%<5l-Pu_rB zOeh(ZcA_#Dsacjg&!>_!K5ID>fH_m;iY)AjSRn%jy>=@DwXA0D zZgbM()#w#e6wEkojAZ8l_DtlGvM_rY?JUVqEP{~Cm`kl!IEWpi^h&5>tu0m+x&>un z)?*<1KJ-f^f{5eKfGIOJBr_j6W8v5rvknATVLAqu6UvM?u${<6>r^>Oq>q@`0A=7T z^#6(NctpK{Z{V;y!g#0WIY1Xfu;SyFx{nP7Pf;Wd$yNXPIEe51sfn!X`<^T1Lth!E zYn9v*xnFk8i6}OM70%?bbYEn^Yvh_{+ zX?%YC8JJJQ5|dv9qCtvLX8LptcB?tz?1iU-TO6(;QC=~Hzip*k$Mq-Aol=(Yo%EAa z5baX5wmky*S36SM<}=6Pnn=;?;FfyD2mk%UGQStMzZ-2Sv3r+(2|^VFCMQIA9{BP5?ZT=j%U1u=Ke{C@ErGw#RNp^@ zj)}bV)tiv}8~Y+?S0?hWgh^i4<#HDkCyg?hn%m>D z;{81nz5dVubOIqw8gE1!?O%NOnYPMKUjSFKT1OttKzOZYaaH5C zmJ)`I){Zz|YC4Gm9CR)<94RVbBQv0*FwQ~arRw=M;Nb(uEsd@?VT?#p3*+;^BwJ#e z(-pLKP^p$S$N-UhEQcZ6#~| z5N4b#>_F0$=|Oe`rWN|j`bhRyW)W4cRsQ=^%x_bn0m@5hTcf(o@$Ad?hxlVD=3#96y71eJ52>V*9M7Xbf+Eu0^SgQClehd9c6f?gm)Vs&v9YWX0@#nQ= zry*juYB3cUuXSO5W@vsYzWw=-e^M>#H@NnGyXeNte^kZp&r4Uu|Ebu&OHeU0agXt@ zTJ*-4SgANmb>Q{?E?MvRnB9;^+m86LeCMNMTvh0n(&s)JZ)AUaXRuvO9C==(jEFkr z4aEVfWwaD#=>)5LFcjO0SC0Cfk*H^65KZLl_X%aENjiRm=oW_W$ScZF(eno^fh~;l zC*mk_BEn<7yf|~|#vsg2yF|m}FdAyP(sTiJZ-|@nJO^t(;JI_>!PkPSy%M>N#&A~K%c0{F#Ip86}CD3;&pE0)hH;>&Ab061R+wC0?pK`YuLHp8PHpgOjldJ9z@h0}*6_%_y>9 zSqq3{z?wq4Nfji)IkcA^i$C>^;GGzbllzaZ|9-|5OjqJFN!wHSP{bt4IC!wY1-WF4 zYSDNNZQv~IS6@|rgWOZ_#Ji$22IyG-HolZO2zF5=L-F4T=3Dd`JnA=efFQu zOqdB*5Dy?M`d0aaZOBhfJId>|{C|;t-C^Yrl)dNsg0;VnH*lQ|r+xo$z4()FfA0Vn z!=k#%)P=Jm6u+JxS_bIB6)O(z?2&$ZhowAPD`X`VxGC_so;Y3IQEjd zp0pH}EIFvx8^h%3j<|QS9>RQ`<%VTQNsi4P@4Sg^dP5p^-5p*m{C>UAiLWc^>WSG` z3HpxE@3!O(15GKxm4qshU2jcG7_?8gTJb-($KSunbX!KP)Olx!Z_ciMs3=%j$?E#9 zFz+G>GL_O1IHE6wk|bg)R#v{34qN?mg$t8e#$-8OBaF`G8~I7j%G1)qX?m-%5^dN+ zTSQ84HH)q9ME`R36u;Rn*yuTKHWWf(b}rg~y8ekt&>eJ+odVdH3!W?^1hfV;(jbEC zqE1UJAV0X}pgfr^8-bhtDuCaZj$6JJw%5o!Z?U7k6Ey5oWTgN&CM+$J@v_NW`DwTJ zt+32WpxVkCkoQ%+eBONSPZ$Y5gi`S_gy;U?Ot)D^VR-A|5`cgd3RKQ|a65N3d8$B^ zPZx+`Sw|iLrlZp78hy>NwK)CZLrELiKdx@SmgpFjuDV=AsjmNY+2Y}46T)hwuN>HY zED``2d6^~qn0O0wvD?N)`XoHjyTIm|#Ack^@z6gAmw5o{xC-=7Y;(@6Pn#rp0!$gKnQ&^N@kM@Lv?4&v z98dv!+=NJ@eqIV`>LmIS`pl4n@Ptf5}b2<(>f&tnJ7yUGv z$?R!@r$1)<&ET^??PN~AwUrgc!Bp`Zt@`( zwOJm+@>(b8vckr0_P7l8v3DMCRV`U&yE%hGxrJf$6E^0ETLZUsds6-Q!V!@b+3d|f zjz23w%8$*w^=BhF&>Z2w98yi``HZwI6|G_e?Y68Hh>k92tN(0;ojOZYvmua?PI~TKcA^bXNzp{y?rEFoG+15SPgep8m)!!nk&kJ)pvv4 z5zlTu0A~qREzBKxXu|qOHMa#^%XmgZqI?+T5$mG*n9Gip)Nhx6_-l?9(5owC0MsdX z9UsZ=Pz&03?68^quVcC-Qen<__soBz#Ck){jaN;OZ1uZLqU_Ko+J0Z?$(yo&%fX8%^)Tm00uDDZeiiA3=sj$S$%(0E|c%CzS1H=+Qk64}05$EPYb zpx{#`!@MK^+1qMcVbB$5U=yv&jdny8o9n1fR@&WP+`5zUfKx2ky6ZyF+sO9O z^zdO}-D4sCzZ<-h<_F=|4EoJy-oET4xHP=j8;m)Feb`3P@_TzD>f!wK4d2j}i{eEc z-A!l~tzm+d|BGjQLPA5KqfMC(2epg~McCrXD$X1PV*Dp8Y~fDD)=B*Dmx|?vwA<(P z2l1?e=1fK7ZXGufd@$h>hV->yw~-;jsj;2$ zLDHP4Yz%d=%J*Nyn`Ymv__m*Zqi`! z40^bF6?>{~C!z%APy~Ht!mi}5BW|+N80|x+WTJKHt=8L*zS_4 zH1cV3`cAs(Zf6pPi!vXw*n$S`m`fLyl8+rb!Xsu`Odyd_-7^)dbm>v4a)ZHM7F<8; z@yHG-@l|GXz0Wx!%@P(koZ3V)UMFh%52&7lCLAdKA+!mf9ac6o8(gmHh+cOa(6xBHg!s>ik@!GlRsJK(tr@2^zthO1hx7FeGTs=^*C+d;%U zEw^uO?ds=FBZX@RuM?Zp7afd^3N9>Qh%T6j<(fk`1SKPOK#Z ztJorW=4H^ee{^lG*W#(JmxeZuU8yceLu)RLrQ{9IR%sHJPM}SJPwErRX|6cj$-d%% zJWt8RA`<35<aw*pc|Kr~$lVLtZZ6#P;C|rd^>8&WCPeHz~<`yBmF+=Rylq^z| zpufR~RtwA;D6@G{7_W^U4s|T@x-dUBbz&4E+7+Ixs!k7OSt%f}EL74n!@QKKO312N zf2R*vgPJ{6%Diny)XO9?pJ@2_o6Vla9uY#xs3}k4K~8AHGM0{2X>fdq|EO3%T|;Mr zqF1N=j8G4V7`(2$4V<9sx#?bd)CV#iB;*4gDDc_X?(CZhY(}82u$=j^E?1$M2{Ei5 zpo~~hWnR7od^fgsEMYXj={d3TZD(TVP{c{tOEGg$@R8;(z8@9aH73+db>)%Z`r*Y+ zv|M6m*^320vVwtGmXoQiUqV>|-1ZsUCq(lv%GS9Eh2n7E z2LFt;_TPH3x_h7SH_zDbbnc)4%vtk&-nSc$1rb)Gh1so_!>Y@;w*d2)3|&9#MNDcA zg>XVUu~Tc#VZ0`P)lxsvWXu?-*mi#nU%D?=T}0K2G8pi_H?jFA@E7wPaEie@5X z3gw4)6=T7EibIf;f^3tE=~)RV8MMd3=?5^|cUx9Lqb&?Kn=V$e7x25U?diI8URCY4 zqi;~s+?CLq*ND$K^k4sOHiB*^`@T5y&gnnOZ#OYs&SE;@$+K$^5#;e*N2;}(A=ydg zfD3FY!6XZ>7u|3_nYq0?yaN*O37nhzRq3e|wG0pzz1Z;SzT~WRmk!whzVIO{N!K4L zv&jWPN`)<8gF#Yx7knXkq-KDe9cMJx)SktT{b?5;Isler?HXH~s@#d%n%D^>V!=0)Gr-_hyoq$H(g|h=10!!kezI6|nF}L>Ruh!>sdG6%O8;{s z3QYl--J;)jA-LF)>WmC|$Nsb9BO&u3Ykr`UeUaU@Y19D_=%r2aqjmHtb@jCM353MC zM!)b5BZ=&lw`t8AjC8&|8;4BCJ)aUIO_DRT<>So(Vavu8?lbT0cyI>`K6;}4^Yjfh zxW5=$H2d{xf);Of4Z%a%efW%+73_WV#S1f(4cyvainW$o)y-8%|$`wI$6Ot&E2pBijh@Y_y|&eVpqahF=d*(So8 zVDL+IlDYaXyS)*m3ptT^M#I;-_y2^=TL1iBBkb_Iey3GUqpQx~aZ?qh zRR5^vqN1Kk#w{ey&xuPYWIpsQ5X?o5*qWM3H(p7AyL?96jzc|`C#lY%6Q{%G!mPc^mnuyk6b`5MRC1}VDw<{hdaMqYN?ky4U z#?91xWHWu2N%qV#ov`x*h05n?ahr%#EyadIi;&8pTx~Wn{g%1ZU=Z{fvJ{?DHqwl1 zrCjC6%%EN^cfD}-Xg9Aj!^s+-82{y;>=$9?gv3Oy8PypX1=+oC`-l_fdRte)qrXsZ zqRFvq&Q^N!g5^?qeDIw1nT^&GaGfa;PWuhPK$WbTrArLlwa`+zolihu8(*yaR0v4 zcyN>2fzLm8nfL>`S`zEp@tvU_QHAxj)f{u;JA5P+R>dX(N_b=3mc_<$D zDFBCzQ2ys4g$jk_z>uRi3?i);z@m&+ve;AQ7v$3i=`(4+EyWL>Hu2USm_c{eQ`U`8 zQpo7EZPRy>4LG28#PGpat5?z|A2(&^NN?A4(GYCR5%xQP(XJE&lh9ZqIMhbbD{!zM z-`z~a*u(-(zlf=DaIPPLTF>b6$)~{3r)OL`*83~~#t!~WTD~yoM1CbEE6nFYg`n{` zN?|dsg5NnYr(pF|G%mzzP7-s#;8QW4;sL)Xo6S7g!bA4YBuy&aK&9Gg#>m_AHyf9G zlWg;k0o$~ExisXWPRR`w*K3~t{0FP+1Y?D!Z{+M{xHH#T)#ESlueuiOVHNA(7+Dil=2j}V8#I7Dm@UktU*$0=KfQc5yJt(B|tR?BWo_wY&;i}aw@vXY> z-3^u&AK>z;`B!D0!b$x$6`lu?#a7?t8J`}!r=}?tRu7<13z~5XEEn;9h&{OKtLVP_ zmX$UCy|FBGC5ik3;=}bD{%61`DyoT7pZ5N9w&~4wz4x*Uji)Vb?yM==qkw#oa*QMa zII`d31qsRKgK^QbWzqSK`3#=hm;e^!x@ha|SZn4^6)-&Yk>7mwc z9f?LMZD35>X{lpTK_q3klylnod?C~^sSMC41wR5kUa~n)0VR9DR1}3_bC2nj*e-`0 z(~Orc^rfL;R5p5GGiC@}+4kb8)g2AeN@YIu)v-!4J*nj1!|jJ*uYB@B&mId!FaS@! zai+mtS!%hEj^9MR*`Rd(@j7O_D-to8jLNnI3*dG9G{7M_lK%U5gW!h$doC=b0*U?* z(THyit3$;==LT-QHtR6*`kQ$>Z)+ahY~WNj)5YkMH1_MmuRPXl#jC=9sgyVbd(qo9 zKD#Gf7@2RY(?`wl>*CLJ92?n6=48D_pe~YolqZRM$-fnd9(IyARoAz9H9a0>=M>KV0?fY6+rmd|L{S`YD49kuA>3lr1EWHF!rEo2} zcpJD6ryI0`BHJ-2JDKpopf32YTr-<}H7ldAT8*Az(*r_1EnnbxsL#@t>u=I?k>4bu za=XKv-@{is-EYxivPAL09W!uuR{jak%15N#9n6Q>`ciybv;~!RAxMlI;Y9u&)2V?W8X4wM3x+H{Fq-Sp}t0`U6F-E!P014G~;5$ z%x~jII-0WDYBVllh5+B0T!E>$$bF9I*^>>FsnJPyzgOXIPa|_)N&dHlB0JdcUNCu zjcJCD7>vc?N(qnp`ptuM$InUw1bL$6@L3#>UJ!e}edDk369p727QT0jM-mAqyAbnO z%_r(MofViIoU4^bu3#^Rp8dp zz9Ur|IZ&m6HFeD$sAObGxrQ!OwCu?zjXF})tS|be{Y3p~JY)q+{gZKvyCJUS5+`rH z=1|-fK5x{Lw&T~Z$TOMUi7LL^3swtA91diP8}k+v0V2wCJzTw`o6piwcZL%EggU%>V^vC&OI5~(yGr};O8i?LxUkXh1 zrI5I_B2xk}92bD@C_g!#H#&m^l0)UQeRiWaa5wq-ZsC)m8)>!9m*vMV34|ZvpR9U^ zKIg#cbSgM>z-7dA8Vk@`44z7(7JX^Zybl$u+fmB0HD*0V3B056O}c~o=?nPAUDL+$ zt@b!Z>rr!Y74R)P7|i0mUVOLDlYPO*+2Iq&UjJbB2ZV6YFN8zBAp}Jn=ltbsT6n1E zuU_)>)e8-73UZI7)Xu4n%o}idRn@(#f2@i!kN5vB;NF#-V;;)=x8(l2e}P(#)V6mY zhCif#C*=hBYeI!{MW0GF@%Sae&Dr&xyxI4#`6knR3V*%!$C-M);R`90-pG~w&g z)u~Z=`I(uX_I9|# zVfRBUU$&f4qg)v@a0sp1w8Pfk33E$Z8a6VaWT|pgt5HXDZ(n{>RsdI#65rO)=BNC& zLIVMuEe)(&Y7VZ7IJes7EitlcO%vIsLcTOIwWqE{8|pRfPjQpZ7&PcWLCb;YoAe>S zaZg%KSkL#~F&v7yhHu7w0xmscSIk|ibeMwCpv81w+!+Fn>{i>?_9~?2GK9|`0t4E>JbdryfA{Skk0 znZb$o2uZs~x7n*HAuu!RJ07FX!>Q)di;CjfYPj@f@Zzm_C*3AE>mgnV*YQuf#aho3 z^qaAY4x7<8Un_eq$sGLxfZxhzc|$Na_lr(@853i(>9uI+>Ptlemu&e_Biw@{WI2x0XuZw8H=M$LMr zRHrtj`Wxo9CTR#1w1fPFZl5fSiefmX7N|zI;%J-HkQ=3md zuRz%!m3X)|h@y3ok7B@WJ==-OitLx)Yov5gw1*Nuj4M^Ll!B2FIShkNi}_^K z0g4FV@{jVsV3Zen;>>hM2%v@@A^&J!3Xb(bf4Bgy{~q*)96@iex5x(rftr$9SJEBm zt4V*5lDEhcy+Q8so@mfv^agmK*I$l5K#r|JQh+!3ut4r0h6DDCbKFYl0pHVWV>p|m zA8;t~5kcus@QlC7?lTu?*wvLUOj}dhp$lb2Eypfmh383v1ZZ@}d!@BEXk-23H%+^>|~X(UJQ&g-cDDS)Wgzx7YOmz__4 z3vmC5)MsAGIp^q)0he=*+4_(^r2i!SZvm(2HTNDq;PTZg+9b}Zx=w*jIXCrM!7itB ziCQY49X)zfdz}L|B~>M<+U#CQVWK*xsCA{cfD@R#4>&cJNL5#=*DCzKZ&(;Ry*xP( zd6F#=(JT&(VolTyN_U^7P4@dyml@=99!jBh0y&G$)a*B%0c#I1cc(9F1aRB-c`8kxOkN_r=#)q@@L;3cSemI zFPea(Q%eDx5ml?z(FTEk{f$yNzW%lxAAkN0UlcFRH{VvFa@7WuuUL;yKQGT$Uss`G zbrb5FHKUHX$g+h7xq9X*W?XYBRc}Q9fuk8bbOQBFov~`&5A%*A=rVN;B^`S6iDfrR zIQHc$^DbEQm`X_2OM)-Hz%BVP+v6VKy8AR=xwul$rayX?J<+upNIskK6zRK_ug7^& zY^=8cO`zl|;8BsmM+>xuiSrt8kOG4}C_2Iy!@;T}ia<$T>k9z&I2@KBri-H;~PTBG_~wj4g+P*enkqyFLBbe#i^Yut?%EFL2}DBknzUsXq zeMtXF`qu%cKC5n1<=&pXe4)*4nUbEy+34)*M+GsZoN7r0G9?AJ)2B~sRg$XuQdLJP z$ELuhX8KTVY#Pj@uy`#6Opb(~nxq_gCo|38Yc6#^rII1m`X-jEaQicDfv zU;?h&j`CHjNfd2827Q;oq5&8<^%NJ=3G-pIn7!SLwR?RzBv1EV{-H$2C6JJuD9adu znm{`-K?~axFRoN|EK%dwR1eCuj7yx2O5mWoH|sa-VAA9{3>q>)4vN|dUqMHl$;>vDYdWhrrQr6}^z*L?KxxAM9?rOVc#c3mrKH?*f_BL`}l zI8xojfhvvdXkgufI*skAQd3o*8q>hY3QLE!*tPAARonj5cIrxlwgaitVKBzM$I)`! za`vCOj&ITvjwC-}U&13+MqI^Y#7guUbwgLR*I5YMEQgZMO0J9Z3_k6>o?-*HQ+V($ z3Jlqc!N8pu4%tuPVeS+jDiX*Q93W0_r~=%6bO-H5ccdHnTn~ucwKh22QR3`G`2>1O zIzv6lKgf#$17+FYgZu*yi`+HGmfuyi@9oB5=pH%#E)078AP##j`5h;tXFilqoklZq zTO@Nmqw&A;8n4WU_+5C)%D^}ldYs^J@@;}IKO^YEBle!oVsmH$J!hO0G&HDq{>lwm6FJC+Yg9$x#mK1r2x&K_Xvq=Fi_mLKpQ0}`tr5>zu zf$X=GSHAge{Sn}l@;>G(f!!bf`|E(qy4Lr+wue0npaf`0YeJSEH zoI;8s`b9a*|; zElQTEPT2~zC{?z)xVOrDTc!qO%GIV^g}PdhRRuUBlV;R6an{D-)ey+lv1ms1Mz+)w zz}2p2K@(#;Y;2lStG)$eXDp?76(j1{wr2H#0CpdZVf*Q1=DCOCm3W^6XYa7<)NMv@ z4ySIfxfHb;Kmp4^0+7Mznhit8d;~gH!^F`J&7LUPeiGS%N@oc4X34c$h+*617rS$pC<9vKhuOnZb+ z))Nk=+|wMLXYw6(pUz~)HZSJv^5Z*?2v)cY)Vw3v;O5W9eZFiJ?T~A;b-yoeUO^l? zCl6z(XEExSeD#XE_wH$t2~LV5i;9im^w~3Ss~;8Ea+KrXR6Cri zy37f#s=%gtNUG{cm9ncKRYp*mBO7Rc1#qgm^ySM3#3jby6%d41*lCW0#j-6p8Mmb8 zIM3L`$IiX^v}G>}+qb8PMRUqJwr7odAYtc{I2)HtvbfRIbk)WdOKN74IJdLey(R^4 z=Tt|Nv@0At8P8rfUsnFGld+TL(MRCcvO`~Nn|7pbLtAY^=8DxC3%KgOQEe?YT)B$% z1Z=gm2;pj5+46O%T-AtLbi<~A)TE};BGplRB&JNqMI2~54op7<*qOn-=X=1ac!%b?fdLwsgEh=QiQ zDJ)A}lYSVO4@1v%7`o;o$!9hY1DjzKbP&K<2=FXro8>6Y*{Nx@beqo9W**6BJ6-k_ z09wz;9?elOJ(Si&1{q3dGP#oEZrZ#VsYa;{EoBFJAz$@0@>;2!@k4*gdB?^IqM1+ zf+x?Oaq-G!t!+)g>wTa_%ad``nX{Zbb4II< zRPIgfqk^mE^o~?udoBfw$^bd-Yd=Y$AYb!V@^x>Od;9zEDW~^Jl+!l%>a`q8j(h*n zBTh%hXx+X2BTjH2dZS5X>P9_qQb&WFuxA^!(QUt^) z@zEs4sW!wo;zbDp^C)p|p~s_f^9W(d%B_rtTeg?a+A+Tz%1Q9@i=4%C!WD_yp>xVnZ~#P2%d$f{Ipq(M=OR8ZTDYIV)2Uf+^> zO&n+_kTbDrfw^@{92`5+qD5EDy%`xCHoFt^aI{$ci0(qoeeP$aCM8u zYQiRp+6=+Kv@Zp%2V-C}(N2hNyHapaf z^*D5GCZJ&t^i22-Q}|yCmJvNO-9FIrntU&=({ZBQ*nHsc3MZVF6$^bbQgt3 z3-Ctoqu6+NJ|2INPsh2T-(@-Znh7keCu9T8YLa}<6!h(;$?J(2ILa|?UCHk_hARD6 z(0B7OzB_iELmAK5cH$z7+#(qL-45n$c4ywE18h7T&JO<*Z1j?)R~T-dAsq3GVBaBM zdEf~rE;)-!H|~%<`-p%`mT$qOB=?sFGtt{{Hrn=V2q|Z$9;$M~=g&+){%ieqzRX+M z-=F)ldFAyS>qFaa zLuqW@oN|>LQlfNCzAjZmRE-j%lBH`n9Kh|{xCfSM@#2&}|~<+FGr1+5ly(Qi4I0v#4pu;Wtl%hI6T zLUdeaqT@J~eBu(da5}akS?W1VMc+}}qME$fX*~H|CScHXG@rMfh|`>%4BHw=k44*< zy)Tf3yS-Vt+f#rhQ1c9Dr{)!feRO?70_l`EbmgpV8 z<>-}w7#Lb4X~ zYs;R)OkVgs{m0FuO@CJ!J9nU}sUy|Rn^H^MRCP;d>e;r$$i6iu0-I(6y4nJ#lH%aL zDOFQ*a3uw7*^sL)fK%;q4YZ}IwyapCp;on7wU((C9lTy6TN+z5!^*K8)^a>6`?lD~ zvR*?0p18GArgXF6>bZegC+Q8q0mxO(HdHe*MQi#u`R1d)-E+EP_drX{Cv zt#U!^&nP$KUP?)%W;jvpgKG8(H8}T~>e?-(Q9@cKAxBSg>}(9@5>kjs&fsifDyL!- zId>*ngIY#>inzCAl0^xssxmp96H#$&bN6Jyx~+^}@Ex5-PN8Y<;n;K?M0pcO$~3a0 zOk-QhsbHukb~I?(mL|p|#S3=+2`Kohv>S`3lp5P_Y*O#r29r2?0V zE6b4roRz@pjdZPD$uD42?Q+V!=?L@;#pQisGlWlV29w{UFZ$-gDB?U-oT5Nc0Zfys zz7&XQ67boIYy^Hvb|QP#wxhNI@;P^8Czyq`wY06=y9+zXiM80Qx(C%YB^O%AIdN zqz~!8nf@~1^4eErm|r6-Cofp@3_4;+vGc&TQB%{Rnq$Fz{Od^gQB|a{m+c$3V z@cskt-nqjAac(L!j%vG8VRBT)$R6w|V0xpsnMN{-db0l0dQ;G7dIxawJ@T}zsw$t$ zPVyG_9y}s3C5@=qcx~L88UhfTm`qf349Q92)&$c3Uwh{P9!1rK;dH8EM@2;uktWiS zF1qMiL>y_!gUzFcq7U*?ufU( zt&J~!Xoa`R)x>8cm~R@l!8Z+Bp;`+|)M?uT&AR-Ij(vurezVRfO<7vWQk1z-Hpg<~ zb8`|TyRRsVJ<+&DH_F_4peaq~hbHY&vtesgt=kNhYc@v3Y7Ovq z$ucNawhEfI?}<|Mxn!*tc)w12yxWN6R=*!i>h?q7W+PzUbU0;J!$?lUHF6UK$4}#y z z%_&2ZvNNIuiLLo04g8*_qsiJRn`=!1Y&i+WO((&OvNa1?a4fg>b70d?y{Q zWXXL+V*IjP4V3<-2Fg{gOLDVB`>q2~vwj=YZ`uhBTF`X(IdGJqjuJjWa8xgNJOQO~3dY|jIK^q?f;g^T{S;6Ir^eqE+RFr#3M(TP z*!=K<;0Qhkcjw+cT)B3QWI$QZrHhD9I8T|;Ib6SS12^y9!CjKc)AUrNJ(EY z-HK^i;`dspq8K(J1wqpg@jPfdkmw#ieu^76Zb{E%J58Bed|W(YqM{KOdm86BgNukn z0=-^6$C5jZFg_f4(i`*kI%3eWy=XXoAcIBl}U0no8nu};+l6toi^RkpnY$&u>2Wqi0^7O#iw6=PlEam zWl3laNnqSlvO?8HsM)9;>bK~ODnB$w)p{*ar8Z@2HTYt0%_|SXPZuaflKg^%$j_wv zq;zFetet{WhZ3-BZ@Qf*Y<8y9|MsV4ODJe5EYd(=O zG!kBmag_NDr_73mY025%P?)zEN#hTt;YOfjmxgMW5t#21g*BcL*zE3w9nLN|LNar3^M;FyC&I%b5p*gF=dbYHNS;W&AZ0R0 zY?;|;%ZnfyKBq=SM**SC=7Ct(7|xiK>J|Sc)-A(2CPB3!gNA;AKXt}xkZ<+-} zTxPM3(x%g*h8llYymG-QO@nztS=_bXf0xe1{eJTXZrr|wTlenbLE>ZS5GKnlmCxOA z1sT!o%^Fhuc2tsxgV-E zY>f)^d)e>nqag{9?@CgM#8o_z~Y$Z74~x z?05C>HNEnoN`BhF7bLgODmBC*pK~}8`3HuqbwshI!zhCrK{8^Y8S-qaI%RT~qmmkFJBfi+`cNPl|J7R~MJB|hT!!NLk(Uu)TY{a$b2~KmZnFPNVORtjHlAaw%i8T6a zGd=q+PM#iTbMiEDs{EQ^^u?7Qq+V@AYI+7O+XKol`2nN}lH8)BV{tA%0T)Pcaj}%O zMbYOo@sz#A;9Trk#8cLG@|Yc5U0tzq%T6q}wnzI(OYwHIJ}@V_88`ijGM0X@XgL5C zMlZyGz3v!)&=)iJdtv-q8eLqImzp5l31nstx>H>N7QZI z9Zfp+MTeh;pv9jv4*054ee@VQ1$M!gaWv*3_CzM4-uO+F!I8)m1xMn` zL2xFGN23r4%CzZdnA96UncyH)8Z;k+w>zWu=p}fo>Cbq(`2dveJ|696Y(SeKGcjkI z0}cjXgVU9CEOw5;2hE4UqQxj!w3$Fcn@9pZ9(k@ejvgA3yAKa>9na`?1f)PU_)$ z|4|b77FzPtwDS@iBbFbr5!WILj?1hpIKHr2kQ*bHZEYE+@s-Lr|E3B~4K)fDMsfjE zDy)oHVDp30G#I%;^Zh6JoSM?f>s%`@ksrayU9oe5434PU zS(S$PvU(GIQ>O(gH)@L-%`H)84$x(4_7M7Ui|gWH`ftoFZv&w5P4+Z|~- zZN|dFavDtA6P@Rg%;u8tC_^L6=sja($#R2wjpBU zUQm?ExKjOip^nhlN>US11*gXUQ~d3MlhJ;kJ)W4U<%FT&bdt=!;27y*?zB`XljIvc zOZUP&9h;-z&c&UT0AOXoxgyv&=AW*+o})ow@pGRr zAEm1`M)`Uz@qN>dsNJT!bd6kDlG`U0YvYshwNQ#)OO~rflB_q1YE?7hN{IdNdzUVuZGDOM(yG(^q z$LZ1`NFz(4Q#O|KG<2~-;l8u*<(OsYxcUg@J4IuQPdxVd#NlW_9IRbKVeRCLlU^b4 z35|rKr!Sl+gY%D!!Oh1{kihP71RiFm8$uP|vb2*$04!mRUn7_}aZ zawF!W$2wb#-s6l(dz>(B+i|oXIvpj-*224ASH}l@*#Kp6rK>h3$u+_^+;M~?SFUag z=@90Jl#PA(O?8y~rW!u{x(Yrf$+6h@Fy)sFN%GULzsLKZmq$sG+k2mt!&@JH1=Hds zQJlo~_Ge`&V=Pb8u8RrtSK+8%9JV^gV)@Bfw4SyDg;``xM(7F7=*OYilG6yzxanB> zjeANmW9joKm{Z2qXy$J0iBHAxYgrh()eUuinugt8=iqqeE*#F>hTDY)*cW^r$D(h* zIrJtbrzYsXPd*kLG50OG; zATgcFK*n=CNXtYjm6tb3aANt^mUDv3xw7Cy$-Oy(Gh8p)Y+BS%u6VcC zI27+O9)-J%g;^((K$mea>oOXpN6kZzt#%l*&lNKdxMSundsJ)H8%0W0!bj!n;L}QV zQL0isl&#qe-~P}_I$Zf>jmCJNr1ox^DtM3hpiEVXQsrvl+v-13=GFwIE7Za#^xG$8 zzQdt@CuOB;-@dF!5-nXGg+KWU6&tt2%KdiO;TDS#D{N7*%S05b|1-=R z4VHRL8Z{e9@?z1E)F?YMZ!sEXE%}ZmBc)!FMcPfG*YPmsYvj0hWRpRtG;AR@N8G~E ziy5#xpM-W}mtp$)yo*hOB4WBh#_iKb!B+{0@3SPWcejct+FaD=kAJ(nNi z;GI+qw+lqk9`wgSXA&G`X-3@^!MMjVNpj|0=i;5-^H6o-7L45QkLd?Ju+q*KHhyQY z=a?6c*n7j?*$2)fHP4`M=?UAh=PqSGW&0_TGf8II@HK8aNNiL-Wca!_8Tk(E}Q zmnSVk`ON{nvMY-*2YCAOJaq{5ho-29p5Xo&a5 z`Y2PY8D(w_QIh2KK4)fMRgoz4!B_aGY&FzsYKcZ|dZGf2Tbktf{^u3&?x*D>3YGW_ zMc@4b?|objUwm7W1XmkHOMZLV5+;<@6>T$0>LF>~bO62`FatB}!f^a*CS0#Shke`= z^q;l~s}2WXw?`c8WA4EI>_a$RPQ{69Y3RCS4?gWW60PTN#}t=nEC{`h@h-6_J8TI| z+Wd;Q`z?bR$<3(4TomiO8pV1o#wP=pp~ogS4BPF4347eJ?1&e(pA3Ml2W|IbZtw|+ zg0q_++E`AnuH`Z%2))kr3AQb8}8pa*Qz_=Spf-sgC!{=mhs} z>F=Zrt|STZOUm}j5bu3f37?j&K{Bh2FGzNu(`zaE{P9=SP^NMN6#n3A6#1kaKB`m) zmLn#jO#Rj{`LZs|Dzrt>nmu7ss}C$Fd*gdf8Z{oOksQ-(6yCC&0<%_>vGGlon~g@P zzH_nA{{|-8N8{ag!|_3<;V9I&2Z}cAj$bw$g~O#3cwEiGrjyZV*n2XjY_P|n(3^0* zl8&PZNjQG{IexPbrEN0=CQS#zq7_N96J>?%r%?8`0L6MPgK;}6=?2S1x-CYDUi0z! zpd}cxKLDfmd19)K5B7&$#*UML*m%$p9%1qD2#AC`{gH9N#tz1doj!-C_-_OSRuNqAAXeB~mEjWRYG|IwpI(&KqF4^z#Q$jT&%W~Gzl((sHv zKYH>Mz9C^a>gs|0j&3;QABIg{Az0=bin`+$qiDMkDAMIO65J#hb^DdFl2Itsb{Oja zx&{+=x?|R^lbE&TAe!|Xg}2Mq!n+mg;$um2_3&Z&T6nK)Rju5Z;-8ksJD*p=7xa7O zI;}}^4e;TY)$o4l?@{vW8YuNG_pYojy_PIn3txTzBZ`%(jJHX4Z<9m|eO(ow{LmVO zD>O%uDxFZYb}tm7?9I3y(TLBxvD`R=8>OM?XcFBRlG`|#H64Yns~ur`BMZlFWMITD zXOw8!2WIs| zF|c;=drw{R64Azfv+;V46FT$Y^hrOeT@$rLIcRYOfK)T7ZbSRPp zC*AOw<|8c&WpEiJx75^R`aKh=8R@uj=N^25!?Ev}J#0LDVH+HYHO~H6?Gp#nhJ8`o zax#p&PlZvB$uR0T4n{48;q#u;&~nlyjNM>^iEDOZ##U=oY}E}#zO8}xs?^1Yl*N_g z8!nTOM8TD+P#bTRDvvKJ)kT&1?eOt8wNU(%3M9QMc<-y~_~@J3C{wKksy6P5MjZ#D z)lXwkjU-g;%bGCys60$cSA|8nA5gqX8xou)%&K>Xah<*}=O+a;7_1;Tf-*PrR{ZFj zVW>203ARVyhfP8vT<@i$^P-LTmgL-X`F8A!y#@PANpLuq1jn<<@Vb_XrFN01)o&J- zJD-E?wJfYW{Rc+7MZ%)}1ekW30rMV|v2|SmlkRI#w9iJEc3zBk`z%I<@te@VY8R&1 zg<+xNDIAQtimmP;un&)eL%=CGxCX%4Ef5C|pTJ?;6F7VEGG%Db@FZQ+_5V>yx+F3Y z`MeuHf&1m8rjIxc5v5^Y9-;nFEmH-jh8p>c90kX4;nnq2%v*4|9kLOTr*Al4L#c^q zZnR9}(0djdzp~KyP$WO3lvBgM-8zZC#scPWfk1V&ys8cu< z5suTRVkWpLaTe<+MdD&O|8!ocMRNOA`-&)N~A zR`0;a^;=SQRTJ-5`~e?+QxhMQrR=QC_jvEi?}#dRyHo{~t@a~oHtme^KeWO}-`2)^ zUsp$Q%HT@S@Sjk|R=%MnTJ;@|{=Y3j;~pbn{#kVt`LYg-->(FtQs2Yqvl=k1&3T5IEw}YDT5oRIS0obO&Sk{@sHezWCV=4(+PJtX*L4I+K$3ot$x8m*H|2gy^Rx> z9>U?;BRJoD3YS}Hup{XmIr9iRLvG^e`Bc~@q{8Mx1{zLSk0#T$V%VWTjP<;LPe-kS zamUFdwj3$Fel zICjDnhi&ZP=@W=YPg9Vb@eD~BS(4=V!tLaA%IFOQCtcJn!I_qlkP0RzaT+2@!@fL1 z{h^ws3Qi3*@)t(RGSL-MF0^t%R;mDoC>^e0#9?x(5K7Bp2+M{ACrK?cOOg#gQiso% zaYqqRWK47fNiHf{x>%c^7#_#U)%gk6X8HNss5@%#X7*IaA|TNp37s zKJP}^q5LXmi<0z6hP+HE8JReJ?mT4{?r`=EguPc7b~}Z_Ch87`?Q+FCU4KLIp0i=x zZahp{je$}1K6tmq7?idgi=i8j!0Lz>X6!$SA9z)P|+&m1Mw+a2HuSTU7Kf$bYEtq^x zd_jUM&D4eQm-S&(p*f7cZwsRuU0_!KXP7n`3{%R`O!*Rlh67>VWC$#p4TA-}n$veh z+m1%@cEeGk?O=S_Z4~C(`Qu>36*ymf2#?E8;C?w7`@(Nwdq!^95|dL96&DYCH(z*%p2jinXzccm#paL;XfbCe%v+6uMZ2*u zqHKttmR+d9P!w(SGg?etjiH-tF~`;i%N>F+eEAN1SgAft3x9^9pMD3k56h#-CzWCG zQ3Vt!SqT;&S44@gYoSupu4vSI6fDQi#?XZuF@DV+{JM5OnhlzQ_r7Zm<4>w(OYH}e zT0Iz%+>A=o>sJl*1Xrx-V3;=^Ov^L?#*GG0cG(B-v>r*)`xzEL4n*M=LttF1E8gia z9G1)WkcRGxB&#-UREGS{7{f>T3{oTEU36Lzal#~X)&}g{%hQlrR40bsBV{d3Y zmb%BH_JlPsYcc?4Ek@w&cE6!;qv0shXdua>3+j(ugsIk)#aVk`fsGfYtUH9d?FOLu z=QUwo@;ey4Qx2vdR6rr3QqvyjGG-1&EZT-&*IQ%OUI)zDN7>)f?Wo>;1d3H|3bV2e zU|NP`#$prsiYU`iC%BrF!8POuoeoCvR--XupFb9RT|vE(%V1WgCq8I55^psdgbs`L zV_DF39JuxjHovE$Os|P(Yqc3WPTj!4)Aw=oLMj$G#p0djB<<$IQLMuRm{Yd;cCT3| z(RVIPyG})spXQ)YZz~k*GaDA2CZc4|S!gzMALcs8W6~j4%y;m@mcUck;U9txE^e?1 z3WklVCk~x(#$h`r1ck;>w*5qUVm57R>Edj@xIdHj6(5r1!;q5n=(A=Y={`%)ewC0v zOW*0rCL@+}u_2ey9maf7Y#O=AzY|oRl%*y@<53Ne1jl4AM}Bw}f@7pQ&Gpovt||>{ zNKW-x4K@DhP!t>^o$ty8u@ZYfsrEH8Z z&gPCJGJIh+%Z{bT*SGO`IF?=@WpR8R9AD_oUH>_2{T{RfU-e~!Hu($IO;36$tOg)-HNB(bS5 z=`aaKZ70CE>vR#X^(v6boy^JU}eqy`49~rp}>h|-Ch~-m> zEGn%`CZV}KmOlKw-1tk`kscc9y@)W3P*;@UVQ3uUuLw?WooKp>+k)mL4wo0SiM6Pq z#y=hZD#7u&FBa2<%a)vDdP&VQ~jq@RIUu^dX!3x(? zuyTsP=e;N6ZEEyOwD=W8nvQ`{t$wf|$y6V{1T&6@V}X4HHaVZc`XfPDV;z8gzpcgO zH8xm(EEsE!hhUX`7?#)tVIeVg+X;Nrc^FKqwt#WPA4y;ui505ShB7vK&w~59v5xZ1 zVEkPxn3CMgf9L}927PGW15xNl%`@Q!ZgRoi=*MuoMUo4?g-SgqW6X9pY$vhph)YJp z={xa3&zUIP?pGA04DBt-@H(%w#m3W*vGdBG=(g1p79A%^H%vBeHwh+PW}!&mMKI|# z7slOY;r+p@@KL{oXfY5D>!Wfuieji3r&OWAC(-5OhW4PVL&FK8-~g}jjuzL znusurP*;@UVQ3se6`UGsM!4q@_Pce8Ocod3fQZ zuOE*1g<_3^FE;weWB4u)6m2yM#adC8^W!)a;fII*Fc5DwAAtswHelplZ>(}TN0K{> zb@ox%?Qsqp?IW@IWE8f0$77A#X-qxf0n6DN@L|)QFsk$;OuuhInHdR;vNNNve}qYS z65hAXU`iO3rO(-~##P$EqcIhI#u*Fl{>th1&lH^VY*)-f|#nPu+s9TYd1!pcOFh zG6zN-X2QsF7K}Pghf&vQDD?9@yiWqJJYg;RY_NxweJIwspMp);890Q-!pSont{$Oq zatng1S2&J4`NJz98uut`dz74oKN3@sLVr1M1}6(HB|Br*$cm(Ung*A9@FgXu zA@;#fGgxRCJN&tmirXH@M!6@_Y%tg4aNDpTfGsVPAct3;p6x71=* zsTB%+-xg*STS(HgsM8Hq23eua%&jO-uNDn|LZK#uVAl9&n*RV;{Lmf4*4W`d$W0tR zbr-g=4>4-Do1&by$<{_xM%1yGIW;qB%> zLO@DF7%03+rDKfl5>UE9q`QCf^SyriZ`ZEvexCcB``L-tIY*RBDs2#IuXyuZ#`Z~mj<1#kM1IZybk3UD1IRPA{RfA?eveku%K!`{ z!jO6_O#|b0X@k5l?K<{^4mT1{azlgc-mjhC4A*?=EG~1No<`rRxpovBCEt(xEeP@W zCPZsox%io?MomRg0wgjcC5sJ;RENl_S-2Qd=6ITiqa6ZM&2rF4V4AAWl$wXWfTJjb zax>3ICUxVAJ?GY+-a=oYM#*@5i0bDjY{Y%$y(%C%0C7TZ$QbEg&kNK|Ti?oSy4;9Y zPlBEmAKm8lslHx~iA96^xSWQTW}^FJj{M}fK2~K$4OJQb_vmnv z*2Y!&GNf=M6XaNST&nVb*{2$176TUN>w-HL#I0orsM3Jmkgq8V1Xz z-%M$_qbm&*WVC_(%yLlE2!-!~osC~Ph8=yP+&9GpzRppW>Y1RfTDIt$^f-@G?h-oI z4}Y)h_qJ&_`q-tfGRV#Dz4&A(cV_CED9SopBf2HK)zY`#VgVjWJI_xd<)Liis zqym9GrAWOPXArI^&Ih~-3Y`gfFJIOVMv}TwVarKZ`eCVImBJ)6VL6JwwZHqZn&ox2 zW|y5DuhP$nZxhxdg2VMBNUH_`XU=jL&&$3Shopvq*w$&^W%T!}qto0V&ouLt?|O1n z3tc!DrGz5tgfwQ}f+1U*SeK?(2-63pZucg_p_t!2C-<7i23Uyt_M07IiLJL$kSNw}Tpe*RC#Cn4N&va|*!eww zWZ^bF9VU@bgIYM>8Et6-5vA;+GKzyMjTEowk8j&<;Y7GdvZ^Nl0;vd@7lLz4K1|lc zw=29uHQ*&z!r>g^kFKBeT6_G|0^Vw1ujiz^I{sN8aaA!3%z7B7=oM{7H3s+&E;OUc z)NyE2x)Z+EN0X^R!OZcrC`baVt@}lt zCA=xV1@RZ?ba2tOFOrFEQ`Gd_KI^TLNXplpfgv7k=d`JPW50+;*_agF5@s1gLC6u{ zTU5omLY9vq%c9(5<0d8Lo(Kk2OjYmPeOQ*&e*C8x>6#wyXMsTqHOo>@aAfY_of8BM zmrOl;CZD_Ar)w8C^l0kDj`p- zQfgKpf$NtELAo~WhB=$PsM9=^Ng?Hd36Fj&wr-Up+X*MbC>8OKlLSW2<-6JD**cmU z#z|R`1dvq?x`Y`1i)&2SH(yfpbVXETG3!+UN|n+lfbdkz+H!iw zj?zsA(EB~Gt4xKT+<-qze-Cf!&tfc8p!^qEskbDu4EmB*&kd(IdmmS*d${ub zD0tzcP_mIj?vf0O;+Vc?kX!!?*eJ>UenegueJYt z%E1sfa2A8V&n-L4>1v_=m+~@(+^T8nL(7T?YJ)J-mSdvz`4x#Ws`=DF+n7WJZP-1Y zUzuQn^f;fE>~2PHSvhG?_r)+9IR-VRy4Cdf3aJ&Tq=c@o+R&Yxy96~*?AAyu*pGW{ zH4DYR)jO`@p})k$8I|s89?zkLF~*VvXmT7Ug}L`R2yh~)4r>6^ zW;CdxQ`6R2Yhp*urGylmR>6=CVKGQd=Woz695alS4GKa#U*@YTcl5-kD=cM}tuw5J zrkvQSH68e6^Vu{(ocW@Sr}$NaQ8 zFMIkx74cRf)BKO!XJ4gOxGf;5H3>y# zV9DUg*auyHcONBZ>A6K+VeM);jcsDcc+!KMO0LeqZ0LV{{>R8A#;9*X;Ct?qG-#To zvjjnJ-uA@yHFzeNshzfwvT~cTI}#%#%XU4UHy zL4c@O{1>cl%-{J^t&{i=)9|7B+0cIYs>iAzabEL#3|MB3=n4-yj`!~TmlPyK6sxbQ z1SR>vMQk?MjX94SG;NLl-e(n*s;N+j4mSV(iLa7ZX}Mra{NC$x{9#`us(=?%Gt7$} zs6bvl3&NktFN7cAafAgT2T;r}3MX*!lisD(foxq!QnE8RTxh5nItKfFA88s8OyLVB zpvJqqDSn0~s1{!cv412iv>R*qJgKV> zgA>)b58s6sIDFGa`$*ACQ%-vm=>tR@*eEplA>c4avXyvKFu7uswGT*4M7Ua-9Z{wJ zWHXXwoETBs!vwc4QSv2DqCA!X}MML9w=9_n z*fYmZn&IoL)5Lpfk1#$TM<&?_&_N~Yo{WZAz=JdmXH7nW7A8{v-| zB}>p}H&2qt@5LY=00e)pm>3c?{1lYCKpJ@Q%+_h_Lu#+4$XXFX`G`xZ@IkIEgjK=j$OiBO60Z`EAQ^zZLd|N}9*7*mRTjVTtPavl8>O4MOi^ z8;*Pa?V|y?5i~Y@>Na0rr|NhFL-uob_&ScOYze;~y@A_e6rzP+df^^EDTR3(tO?WI zF*=)fi6IZ>{4gF}Y>!SL?u(E`HNOs1yF~-caXXN(+7?#uk`Lw|=;9OZ%PB(*D-#+o zs=fOvON(yiIc6W4b+NhPAvY)3_dblDEDfgybY3&&-52?lEXT@$O@C35-Xk$Fd06N?Ud|* zJ6{r@n3lBwN4UN16ijoq^VlsHOyMBga#%`DBYYp5TzBdSETKmpip`pb0G8Xn4O^NL zqgsmJv2#hM+J@JhhHAsFjX3GtWjqurKEJs`JE3xnUsq0$-^c+dBUAG4zk^~^g*zx6 zC)lm6$mp4#1{!DrNFY)BvW13!Xu_z!U3500v?%w5iKrJ#3+=0Zp(!dx&J0~xchTO} zw=+n#GOKvC*c!1Z50m1|Z|j#&Y@OO?xlCGO1ivL0UM5t|DXw`!E@{QI8`MHN ztVwJggT-0e2mE146Xch5fT5Q$VLb7vc55-!^F+h= zrzU3AoLAD+%~zJ6T4=r6IjRT~ApAgPaB=StB-4|2 z=0z?Y$7KSK7Sip~f(Iy!A86{q*8(;o4du%JPl1+Hk#Q*cBA_^DgTwfEfT|`20!c`= zX&alm(yvWFK-ZP%LHy;d!zhwtitzd)!!5|69G8$N%nmL9#g4H`)?^XGinhKk$9(ho zJGFANCd$>rZ>Y=EAn&WVgRS?y#rMoFK&lXjCFJ_yomAes*Gp zQ^EkWX!Ie8Q`=Qln>|ZFcQYU9Z zTd-Vqy4^ZX#E-9-{U56cGl$^4-o`oEEEmF-oGeoY)LpCDqaTkpJZI5{=cSv4m;ehIc-wAC#tPt|&5Jzfo>&Gn?%)~~T8oPV-c3Ho` z{%{w(5XzMh$RFnLxkO-Bl7ZvK5LSUM{;k%L{F%7hJ6?5>&`rHB{4X2sZxiwEA1_7pU@*^30#(&m}NgehM*t0>yU zDbr&Mr_*QpNPkD7{nk*ruPe3J2+TeiUfnvOl#6X);6ig81u$-%b+sBVsWbnBVZ}*e zuNOl1s$jkf{BWv3NmoxE6>1*UB|}}f(5R7h;7^q^280cLQWDAiPSztujFQTGS!f?0 zc2$j1O_)1Ks$4RFQ5@qE{4G9)enZmM&*FG09$GajN~;r@5fu|pSx$I99X4`aIPd6F z$nwe#^9>wP{Ei;$>-|Y4+U@w=&!=+E?r~kRhlV_hzJQ!0N!XBkV5Dj5yZt`rRR*dk zob<|)W3dA7EzhK&7TyplLCV~O#{0xr9*f%IfM|R0G;RzN+Gwp`hzL_0i@UC68L&79D_By{hH3ow!tl@+o1Nr# z74><$KMdUI(5 zcqm~^^yJ&S1>H%~HpTQ`^Lh%B7Kj(@kc@ZO`>^f5W7&sZiGd*nzuG!;*dQa#yZx`= z^-bpT==6-m`lAk{#CunkE+AQx{x5u<#If#GfM$t%J`Phfm)t zB6-Z@%vZT=ua$U%ES>KZr45ziG8rDe*MBgIbG^>t$l>;7nvyv z!%>~}F`?$e^M)$ulcI&Is`!qNVGAIqlIWVyP-bYomq$gAh`#8UjK!9 zN(;_|r3Rla8#t1o(N!fJt9yq?o+PEB=3Tr-@dBx(xZ>w2y_kd-$5!fU_AFng3N!uy zyj7%LzjyyO0ZS$+#ePVla*s0%79$&CJAe(8w`8rk69*Gt6%Jqh1W!9*54V^U!mD}& zc7KpY-SVXcylt0(vu6KSgX)Y}qV?=2Ko8Ze85G2I+7GV&Q_ViZ?9q9_elZvLm$o$= zgVg(iknjFMid$h>HX;LAwk@4?R&?2x(OEGhoqpv&_FQu@iBD>A!YKx$*SN(x&RSqb64UZq zv7A0*ec#2p>zuPo%+GmWRL>YQjoX5}acQXmP_98kns7i|W0Wml8#`OMdc|IVTz`~p z%7r`N5hSyx@u!7l7yXypkrC*dq4>6_P<@p}Fp~%G zJ3%w*dm9mLAXE39FAUGvirsJB*~pvb<#@k&@iM064mnSCuR=iLWB9>jE+Luk)iew?$P!Zje=H>!0})VJJU z#r_TF<52R7{ggwY+E(lX#O=q*hGM#vgsw5@Q>Md{e|aqY5}{lgEFwL)TkJ}{-K5{I z@F~U2e*^L!DqGNw4>F>>V}2S(*=p9*oeWg-anv=qTl@#xcA3eqOYaj@&O*_9zb^!VU9vMwi^H7QNnY@}f-@7R>M1 z$}llfWi1>yvLzy~uPCn%DzArT8zioLG5_#Ohho+HY#vZ7~O$jIq*~N%J6gk^xh!?_aM~yht+eKeOlW)beLk7HN&8Z zhX&LA?yehc*_m_KgKRclM+Frqx2r%^4#lZiSncF@fgePKn0@KC87M6}?s0NuG?D07 zOA^+x@SH*o-;s!l(QUz5>?T;&QgHZ|UuoiLyw*=g@eqlX#Qnl;7$YD);z7HbQ;^Av z0C^K}F=rV}3wjppK0HhC9~o=o+0IiYo#>0mtsDh98~g!P*#+>T&g&hmqU)s31Eog# zbPk{D$Y!}g_SF1u+x=PM)wn?shaL>(cpSerB7w}c9qWx1f^}6X8Ea?!-Fpsc{GnvKN_ZWGRmJeB3BQZ%P zool>dmtJN28zfB%=fqBa99CeXLiCNP!GV0&)$FM48AC@N$n{+T7(!QaoW?rydt)79LiM(hZTf)Z*`65D6^SS zG3v@^2aH_2@o)Y8uDyoF4lN=V%9IzV*>v30=`#*R%YCzE3p(RH$gw~cxs@Qm4G;6h z)cfeKF%Y8t%gBpxCypK8e00+YvgyuXHE2(Q=b87$QdI_L?T02_n?o1T< zW)s>M%7_XkD3^{Za?lkb*jLFnVyu@! z=GNB;_TJ%Ec%Jk)BIKQY2*MW24FPb^K35P3;BncDx6IOr9m#e#EMeN}ZxEm|e~%c@ zIaF;o*KF4IFfR2mZ(_~YowCqR1e+^~CxAsqI54w!)qe50kf65j2NK&846n{HGiCU> z;)@7%n|(RjXfhQ?v%4K)JN5=9o}f1SiQI3lUjQ=2;xS#G|BIDInf{>rdJxd>echu= z+zjhJKBf4&VvbXPP6VqNxYI+rTjyMZhIX0my6#u$$Pd>8=~?Wq;kU*S19Nb-<8nxb9v!f?C@~BDL)=KVL_? zmRBrl=L6#SIac3MS_j>d{+fqs3??3$^Nl{$3HL!zmn_qjIBZ8l{zI#jG}=k6!mBag zz(HSUZ20}WHnA>v*l8H z+c<>lR87ZH)Qxgm0n+@kU%;a##$xVG=I^2qk(1RzZwegpY?{=xkQoCSw5DVmgDh=` zL|*)nqq+oedbmOki$OR3CyVq_#T*qP(WRXgq37%wW+eeA+8GNn>YSj+o}=-$1W4uG zG>IO(3gb5_nihZbNE(1*6c^e4y%V^mOlJQfX1fCPsrJ7*yv&td=12vx(KVmX7p{_;K8@a!jc742s&8hH?Zx|LS(Nh)_Isoy z)#5Ji*?CHy=*qo8wh`NtFq}wY_5qJw>Qp@@w#iriFh2p2=UkTK`b9?}#igIoxGf`# z>j{CnKE2z&Y?^kxueYJ>Sd_W3S0*8(1hs|*79Up#5#J&q!NzSJMB0vMq2t~|iLC_3D!n@EFfY6kkl)98cfkfYH5vjtsE@SE2sj@ zsJ??Nx`QhPI{zx>KlxXe#p#91O5OO@v8#tCuvax!v~18E>T>yU$9DaV>wnN1ozA? zJ*^?%r3uLn6%%n%wy!nDr(bKVLT|toNRcOA{amFZf0upcWljEI=HLEUZ*%(SJ*nih zk@)jZni#tog)H96RHiQqd51sXl(EjRzO`8pI6a(1_X;MONMlHoSsG&Di|{eB@7;j7 zkf??57mtvtiLl)mMRN7a2P$Qt4xZqGZ=y*7Is-eZ|60iydRT&4igh|LdVnTaYR z6ryO8vPbJHH=C7=b+;s#ZDz_8E7NS52*EL`m>c>+@!#T=!>T7m2A{+=;CDBfm@l~p zqT*+b)ZlDuttEdou5vTK{OkOL)+`2fZ)K$ly97fVbV-u27E+s{2;x>+P?#UV4X*!4 zr)GZkb(z#@Wvq#n_|^mdbdrTXg6)kCF!FF?DG6QGYFvJFCZdp*GHY^|G{LQGjwNG*Eapnw*(PF z%@{If?Fmh^SwRR%hM6OlJgNFL3YYaUFzH{WE;4V(Dr|# z=O+1@5pa$ol`u)$qm5Vl&pKPK*P;TwlyClH(-w)tzjd6eB+YL~OLP=78PuozK7vP1 z^$JDgmRKiIyt|h)KAev#4B~c38TQEVV)2j=A<4xfk3vBuhfj1Ug_6igzb00EC}mzy zRw^0EtM@kJ)cq8&VC8O?=X`P_=IC&ESs}r{OHfpj25`;Rgto2JT2L|Yeau~DM5jri zZ6$f&V9k!HrBs69c>Cs_!Oxq|zR+dLZbu2U`q^tMnd%E(>E_cWe5O$krywz)S2qHl zj#ab@&h-jeZITumIccM{!3%kAQo*baXPk7ht|jZ>7MO@<-mAQ zpg7VtrSqiQl3@s3DXv&I{ZChR!c8!0LN+3G(CBdNDVN{go9c12VGx6!ZpGjhu%VCJ z%~&>^!`m2+scGR(7q^Wm@j5`yScT~N8X;mny7QwBy(O&Jddh7#DHQ7GfAm;KV=}kB zdzU#IAB~TaQpkL>TBkf>9(QFKk}OEOcVqAJSVz(xgj;D%(<_!PPau&hXHCU#)Uw1~ zq|nE#gEjpR9{UW!AaU|8YZjfvGJgTy9}dSPaf2k@op45h>la8B9r6hON7NRhFTXYD z?xPr3?v7DN_uqdC%fp}1yDHoRTLp(abL@Z5oJ~cv11N!gD4mjthjQ4+3=ITVP7M{H zzk2sw>#IT4`_SkAGz + + + + + + + + diff --git a/peripherals/morse/sint/PLL_Morse.qip b/peripherals/morse/sint/PLL_Morse.qip new file mode 100644 index 00000000..7c294b06 --- /dev/null +++ b/peripherals/morse/sint/PLL_Morse.qip @@ -0,0 +1,6 @@ +set_global_assignment -name IP_TOOL_NAME "ALTPLL" +set_global_assignment -name IP_TOOL_VERSION "21.1" +set_global_assignment -name IP_GENERATED_DEVICE_FAMILY "{MAX 10}" +set_global_assignment -name VHDL_FILE [file join $::quartus(qip_path) "PLL_Morse.vhd"] +set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) "PLL_Morse.cmp"] +set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) "PLL_Morse.ppf"] diff --git a/peripherals/morse/sint/PLL_Morse.vhd b/peripherals/morse/sint/PLL_Morse.vhd new file mode 100644 index 00000000..497cc05b --- /dev/null +++ b/peripherals/morse/sint/PLL_Morse.vhd @@ -0,0 +1,355 @@ +-- megafunction wizard: %ALTPLL% +-- GENERATION: STANDARD +-- VERSION: WM1.0 +-- MODULE: altpll + +-- ============================================================ +-- File Name: PLL_Morse.vhd +-- Megafunction Name(s): +-- altpll +-- +-- Simulation Library Files(s): +-- altera_mf +-- ============================================================ +-- ************************************************************ +-- THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE! +-- +-- 21.1.1 Build 850 06/23/2022 SJ Standard Edition +-- ************************************************************ + + +--Copyright (C) 2022 Intel Corporation. All rights reserved. +--Your use of Intel Corporation's design tools, logic functions +--and other software and tools, and any partner logic +--functions, and any output files from any of the foregoing +--(including device programming or simulation files), and any +--associated documentation or information are expressly subject +--to the terms and conditions of the Intel Program License +--Subscription Agreement, the Intel Quartus Prime License Agreement, +--the Intel FPGA IP License Agreement, or other applicable license +--agreement, including, without limitation, that your use is for +--the sole purpose of programming logic devices manufactured by +--Intel and sold by Intel or its authorized distributors. Please +--refer to the applicable agreement for further details, at +--https://fpgasoftware.intel.com/eula. + + +LIBRARY ieee; +USE ieee.std_logic_1164.all; + +LIBRARY altera_mf; +USE altera_mf.all; + +ENTITY PLL_Morse IS + PORT + ( + inclk0 : IN STD_LOGIC := '0'; + c0 : OUT STD_LOGIC + ); +END PLL_Morse; + + +ARCHITECTURE SYN OF pll_morse IS + + SIGNAL sub_wire0 : STD_LOGIC_VECTOR (4 DOWNTO 0); + SIGNAL sub_wire1 : STD_LOGIC ; + SIGNAL sub_wire2 : STD_LOGIC ; + SIGNAL sub_wire3 : STD_LOGIC_VECTOR (1 DOWNTO 0); + SIGNAL sub_wire4_bv : BIT_VECTOR (0 DOWNTO 0); + SIGNAL sub_wire4 : STD_LOGIC_VECTOR (0 DOWNTO 0); + + + + COMPONENT altpll + GENERIC ( + bandwidth_type : STRING; + clk0_divide_by : NATURAL; + clk0_duty_cycle : NATURAL; + clk0_multiply_by : NATURAL; + clk0_phase_shift : STRING; + compensate_clock : STRING; + inclk0_input_frequency : NATURAL; + intended_device_family : STRING; + lpm_hint : STRING; + lpm_type : STRING; + operation_mode : STRING; + pll_type : STRING; + port_activeclock : STRING; + port_areset : STRING; + port_clkbad0 : STRING; + port_clkbad1 : STRING; + port_clkloss : STRING; + port_clkswitch : STRING; + port_configupdate : STRING; + port_fbin : STRING; + port_inclk0 : STRING; + port_inclk1 : STRING; + port_locked : STRING; + port_pfdena : STRING; + port_phasecounterselect : STRING; + port_phasedone : STRING; + port_phasestep : STRING; + port_phaseupdown : STRING; + port_pllena : STRING; + port_scanaclr : STRING; + port_scanclk : STRING; + port_scanclkena : STRING; + port_scandata : STRING; + port_scandataout : STRING; + port_scandone : STRING; + port_scanread : STRING; + port_scanwrite : STRING; + port_clk0 : STRING; + port_clk1 : STRING; + port_clk2 : STRING; + port_clk3 : STRING; + port_clk4 : STRING; + port_clk5 : STRING; + port_clkena0 : STRING; + port_clkena1 : STRING; + port_clkena2 : STRING; + port_clkena3 : STRING; + port_clkena4 : STRING; + port_clkena5 : STRING; + port_extclk0 : STRING; + port_extclk1 : STRING; + port_extclk2 : STRING; + port_extclk3 : STRING; + width_clock : NATURAL + ); + PORT ( + inclk : IN STD_LOGIC_VECTOR (1 DOWNTO 0); + clk : OUT STD_LOGIC_VECTOR (4 DOWNTO 0) + ); + END COMPONENT; + +BEGIN + sub_wire4_bv(0 DOWNTO 0) <= "0"; + sub_wire4 <= To_stdlogicvector(sub_wire4_bv); + sub_wire1 <= sub_wire0(0); + c0 <= sub_wire1; + sub_wire2 <= inclk0; + sub_wire3 <= sub_wire4(0 DOWNTO 0) & sub_wire2; + + altpll_component : altpll + GENERIC MAP ( + bandwidth_type => "AUTO", + clk0_divide_by => 4000, + clk0_duty_cycle => 50, + clk0_multiply_by => 1, + clk0_phase_shift => "0", + compensate_clock => "CLK0", + inclk0_input_frequency => 100000, + intended_device_family => "MAX 10", + lpm_hint => "CBX_MODULE_PREFIX=PLL_Morse", + lpm_type => "altpll", + operation_mode => "NORMAL", + pll_type => "AUTO", + port_activeclock => "PORT_UNUSED", + port_areset => "PORT_UNUSED", + port_clkbad0 => "PORT_UNUSED", + port_clkbad1 => "PORT_UNUSED", + port_clkloss => "PORT_UNUSED", + port_clkswitch => "PORT_UNUSED", + port_configupdate => "PORT_UNUSED", + port_fbin => "PORT_UNUSED", + port_inclk0 => "PORT_USED", + port_inclk1 => "PORT_UNUSED", + port_locked => "PORT_UNUSED", + port_pfdena => "PORT_UNUSED", + port_phasecounterselect => "PORT_UNUSED", + port_phasedone => "PORT_UNUSED", + port_phasestep => "PORT_UNUSED", + port_phaseupdown => "PORT_UNUSED", + port_pllena => "PORT_UNUSED", + port_scanaclr => "PORT_UNUSED", + port_scanclk => "PORT_UNUSED", + port_scanclkena => "PORT_UNUSED", + port_scandata => "PORT_UNUSED", + port_scandataout => "PORT_UNUSED", + port_scandone => "PORT_UNUSED", + port_scanread => "PORT_UNUSED", + port_scanwrite => "PORT_UNUSED", + port_clk0 => "PORT_USED", + port_clk1 => "PORT_UNUSED", + port_clk2 => "PORT_UNUSED", + port_clk3 => "PORT_UNUSED", + port_clk4 => "PORT_UNUSED", + port_clk5 => "PORT_UNUSED", + port_clkena0 => "PORT_UNUSED", + port_clkena1 => "PORT_UNUSED", + port_clkena2 => "PORT_UNUSED", + port_clkena3 => "PORT_UNUSED", + port_clkena4 => "PORT_UNUSED", + port_clkena5 => "PORT_UNUSED", + port_extclk0 => "PORT_UNUSED", + port_extclk1 => "PORT_UNUSED", + port_extclk2 => "PORT_UNUSED", + port_extclk3 => "PORT_UNUSED", + width_clock => 5 + ) + PORT MAP ( + inclk => sub_wire3, + clk => sub_wire0 + ); + + + +END SYN; + +-- ============================================================ +-- CNX file retrieval info +-- ============================================================ +-- Retrieval info: PRIVATE: ACTIVECLK_CHECK STRING "0" +-- Retrieval info: PRIVATE: BANDWIDTH STRING "1.000" +-- Retrieval info: PRIVATE: BANDWIDTH_FEATURE_ENABLED STRING "1" +-- Retrieval info: PRIVATE: BANDWIDTH_FREQ_UNIT STRING "MHz" +-- Retrieval info: PRIVATE: BANDWIDTH_PRESET STRING "Low" +-- Retrieval info: PRIVATE: BANDWIDTH_USE_AUTO STRING "1" +-- Retrieval info: PRIVATE: BANDWIDTH_USE_PRESET STRING "0" +-- Retrieval info: PRIVATE: CLKBAD_SWITCHOVER_CHECK STRING "0" +-- Retrieval info: PRIVATE: CLKLOSS_CHECK STRING "0" +-- Retrieval info: PRIVATE: CLKSWITCH_CHECK STRING "0" +-- Retrieval info: PRIVATE: CNX_NO_COMPENSATE_RADIO STRING "0" +-- Retrieval info: PRIVATE: CREATE_CLKBAD_CHECK STRING "0" +-- Retrieval info: PRIVATE: CREATE_INCLK1_CHECK STRING "0" +-- Retrieval info: PRIVATE: CUR_DEDICATED_CLK STRING "c0" +-- Retrieval info: PRIVATE: CUR_FBIN_CLK STRING "c0" +-- Retrieval info: PRIVATE: DEVICE_SPEED_GRADE STRING "Any" +-- Retrieval info: PRIVATE: DIV_FACTOR0 NUMERIC "4000" +-- Retrieval info: PRIVATE: DUTY_CYCLE0 STRING "50.00000000" +-- Retrieval info: PRIVATE: EFF_OUTPUT_FREQ_VALUE0 STRING "0.002500" +-- Retrieval info: PRIVATE: EXPLICIT_SWITCHOVER_COUNTER STRING "0" +-- Retrieval info: PRIVATE: EXT_FEEDBACK_RADIO STRING "0" +-- Retrieval info: PRIVATE: GLOCKED_COUNTER_EDIT_CHANGED STRING "1" +-- Retrieval info: PRIVATE: GLOCKED_FEATURE_ENABLED STRING "0" +-- Retrieval info: PRIVATE: GLOCKED_MODE_CHECK STRING "0" +-- Retrieval info: PRIVATE: GLOCK_COUNTER_EDIT NUMERIC "1048575" +-- Retrieval info: PRIVATE: HAS_MANUAL_SWITCHOVER STRING "1" +-- Retrieval info: PRIVATE: INCLK0_FREQ_EDIT STRING "10.000" +-- Retrieval info: PRIVATE: INCLK0_FREQ_UNIT_COMBO STRING "MHz" +-- Retrieval info: PRIVATE: INCLK1_FREQ_EDIT STRING "100.000" +-- Retrieval info: PRIVATE: INCLK1_FREQ_EDIT_CHANGED STRING "1" +-- Retrieval info: PRIVATE: INCLK1_FREQ_UNIT_CHANGED STRING "1" +-- Retrieval info: PRIVATE: INCLK1_FREQ_UNIT_COMBO STRING "MHz" +-- Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "MAX 10" +-- Retrieval info: PRIVATE: INT_FEEDBACK__MODE_RADIO STRING "1" +-- Retrieval info: PRIVATE: LOCKED_OUTPUT_CHECK STRING "0" +-- Retrieval info: PRIVATE: LONG_SCAN_RADIO STRING "1" +-- Retrieval info: PRIVATE: LVDS_MODE_DATA_RATE STRING "Not Available" +-- Retrieval info: PRIVATE: LVDS_MODE_DATA_RATE_DIRTY NUMERIC "0" +-- Retrieval info: PRIVATE: LVDS_PHASE_SHIFT_UNIT0 STRING "deg" +-- Retrieval info: PRIVATE: MIG_DEVICE_SPEED_GRADE STRING "Any" +-- Retrieval info: PRIVATE: MIRROR_CLK0 STRING "0" +-- Retrieval info: PRIVATE: MULT_FACTOR0 NUMERIC "1" +-- Retrieval info: PRIVATE: NORMAL_MODE_RADIO STRING "1" +-- Retrieval info: PRIVATE: OUTPUT_FREQ0 STRING "100.00000000" +-- Retrieval info: PRIVATE: OUTPUT_FREQ_MODE0 STRING "0" +-- Retrieval info: PRIVATE: OUTPUT_FREQ_UNIT0 STRING "MHz" +-- Retrieval info: PRIVATE: PHASE_RECONFIG_FEATURE_ENABLED STRING "1" +-- Retrieval info: PRIVATE: PHASE_RECONFIG_INPUTS_CHECK STRING "0" +-- Retrieval info: PRIVATE: PHASE_SHIFT0 STRING "0.00000000" +-- Retrieval info: PRIVATE: PHASE_SHIFT_STEP_ENABLED_CHECK STRING "0" +-- Retrieval info: PRIVATE: PHASE_SHIFT_UNIT0 STRING "deg" +-- Retrieval info: PRIVATE: PLL_ADVANCED_PARAM_CHECK STRING "0" +-- Retrieval info: PRIVATE: PLL_ARESET_CHECK STRING "0" +-- Retrieval info: PRIVATE: PLL_AUTOPLL_CHECK NUMERIC "1" +-- Retrieval info: PRIVATE: PLL_ENHPLL_CHECK NUMERIC "0" +-- Retrieval info: PRIVATE: PLL_FASTPLL_CHECK NUMERIC "0" +-- Retrieval info: PRIVATE: PLL_FBMIMIC_CHECK STRING "0" +-- Retrieval info: PRIVATE: PLL_LVDS_PLL_CHECK NUMERIC "0" +-- Retrieval info: PRIVATE: PLL_PFDENA_CHECK STRING "0" +-- Retrieval info: PRIVATE: PLL_TARGET_HARCOPY_CHECK NUMERIC "0" +-- Retrieval info: PRIVATE: PRIMARY_CLK_COMBO STRING "inclk0" +-- Retrieval info: PRIVATE: RECONFIG_FILE STRING "PLL_Morse.mif" +-- Retrieval info: PRIVATE: SACN_INPUTS_CHECK STRING "0" +-- Retrieval info: PRIVATE: SCAN_FEATURE_ENABLED STRING "1" +-- Retrieval info: PRIVATE: SELF_RESET_LOCK_LOSS STRING "0" +-- Retrieval info: PRIVATE: SHORT_SCAN_RADIO STRING "0" +-- Retrieval info: PRIVATE: SPREAD_FEATURE_ENABLED STRING "0" +-- Retrieval info: PRIVATE: SPREAD_FREQ STRING "50.000" +-- Retrieval info: PRIVATE: SPREAD_FREQ_UNIT STRING "KHz" +-- Retrieval info: PRIVATE: SPREAD_PERCENT STRING "0.500" +-- Retrieval info: PRIVATE: SPREAD_USE STRING "0" +-- Retrieval info: PRIVATE: SRC_SYNCH_COMP_RADIO STRING "0" +-- Retrieval info: PRIVATE: STICKY_CLK0 STRING "1" +-- Retrieval info: PRIVATE: STICKY_CLK1 STRING "0" +-- Retrieval info: PRIVATE: STICKY_CLK2 STRING "0" +-- Retrieval info: PRIVATE: STICKY_CLK3 STRING "0" +-- Retrieval info: PRIVATE: STICKY_CLK4 STRING "0" +-- Retrieval info: PRIVATE: SWITCHOVER_COUNT_EDIT NUMERIC "1" +-- Retrieval info: PRIVATE: SWITCHOVER_FEATURE_ENABLED STRING "1" +-- Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0" +-- Retrieval info: PRIVATE: USE_CLK0 STRING "1" +-- Retrieval info: PRIVATE: USE_CLKENA0 STRING "0" +-- Retrieval info: PRIVATE: USE_MIL_SPEED_GRADE NUMERIC "0" +-- Retrieval info: PRIVATE: ZERO_DELAY_RADIO STRING "0" +-- Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all +-- Retrieval info: CONSTANT: BANDWIDTH_TYPE STRING "AUTO" +-- Retrieval info: CONSTANT: CLK0_DIVIDE_BY NUMERIC "4000" +-- Retrieval info: CONSTANT: CLK0_DUTY_CYCLE NUMERIC "50" +-- Retrieval info: CONSTANT: CLK0_MULTIPLY_BY NUMERIC "1" +-- Retrieval info: CONSTANT: CLK0_PHASE_SHIFT STRING "0" +-- Retrieval info: CONSTANT: COMPENSATE_CLOCK STRING "CLK0" +-- Retrieval info: CONSTANT: INCLK0_INPUT_FREQUENCY NUMERIC "100000" +-- Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "MAX 10" +-- Retrieval info: CONSTANT: LPM_TYPE STRING "altpll" +-- Retrieval info: CONSTANT: OPERATION_MODE STRING "NORMAL" +-- Retrieval info: CONSTANT: PLL_TYPE STRING "AUTO" +-- Retrieval info: CONSTANT: PORT_ACTIVECLOCK STRING "PORT_UNUSED" +-- Retrieval info: CONSTANT: PORT_ARESET STRING "PORT_UNUSED" +-- Retrieval info: CONSTANT: PORT_CLKBAD0 STRING "PORT_UNUSED" +-- Retrieval info: CONSTANT: PORT_CLKBAD1 STRING "PORT_UNUSED" +-- Retrieval info: CONSTANT: PORT_CLKLOSS STRING "PORT_UNUSED" +-- Retrieval info: CONSTANT: PORT_CLKSWITCH STRING "PORT_UNUSED" +-- Retrieval info: CONSTANT: PORT_CONFIGUPDATE STRING "PORT_UNUSED" +-- Retrieval info: CONSTANT: PORT_FBIN STRING "PORT_UNUSED" +-- Retrieval info: CONSTANT: PORT_INCLK0 STRING "PORT_USED" +-- Retrieval info: CONSTANT: PORT_INCLK1 STRING "PORT_UNUSED" +-- Retrieval info: CONSTANT: PORT_LOCKED STRING "PORT_UNUSED" +-- Retrieval info: CONSTANT: PORT_PFDENA STRING "PORT_UNUSED" +-- Retrieval info: CONSTANT: PORT_PHASECOUNTERSELECT STRING "PORT_UNUSED" +-- Retrieval info: CONSTANT: PORT_PHASEDONE STRING "PORT_UNUSED" +-- Retrieval info: CONSTANT: PORT_PHASESTEP STRING "PORT_UNUSED" +-- Retrieval info: CONSTANT: PORT_PHASEUPDOWN STRING "PORT_UNUSED" +-- Retrieval info: CONSTANT: PORT_PLLENA STRING "PORT_UNUSED" +-- Retrieval info: CONSTANT: PORT_SCANACLR STRING "PORT_UNUSED" +-- Retrieval info: CONSTANT: PORT_SCANCLK STRING "PORT_UNUSED" +-- Retrieval info: CONSTANT: PORT_SCANCLKENA STRING "PORT_UNUSED" +-- Retrieval info: CONSTANT: PORT_SCANDATA STRING "PORT_UNUSED" +-- Retrieval info: CONSTANT: PORT_SCANDATAOUT STRING "PORT_UNUSED" +-- Retrieval info: CONSTANT: PORT_SCANDONE STRING "PORT_UNUSED" +-- Retrieval info: CONSTANT: PORT_SCANREAD STRING "PORT_UNUSED" +-- Retrieval info: CONSTANT: PORT_SCANWRITE STRING "PORT_UNUSED" +-- Retrieval info: CONSTANT: PORT_clk0 STRING "PORT_USED" +-- Retrieval info: CONSTANT: PORT_clk1 STRING "PORT_UNUSED" +-- Retrieval info: CONSTANT: PORT_clk2 STRING "PORT_UNUSED" +-- Retrieval info: CONSTANT: PORT_clk3 STRING "PORT_UNUSED" +-- Retrieval info: CONSTANT: PORT_clk4 STRING "PORT_UNUSED" +-- Retrieval info: CONSTANT: PORT_clk5 STRING "PORT_UNUSED" +-- Retrieval info: CONSTANT: PORT_clkena0 STRING "PORT_UNUSED" +-- Retrieval info: CONSTANT: PORT_clkena1 STRING "PORT_UNUSED" +-- Retrieval info: CONSTANT: PORT_clkena2 STRING "PORT_UNUSED" +-- Retrieval info: CONSTANT: PORT_clkena3 STRING "PORT_UNUSED" +-- Retrieval info: CONSTANT: PORT_clkena4 STRING "PORT_UNUSED" +-- Retrieval info: CONSTANT: PORT_clkena5 STRING "PORT_UNUSED" +-- Retrieval info: CONSTANT: PORT_extclk0 STRING "PORT_UNUSED" +-- Retrieval info: CONSTANT: PORT_extclk1 STRING "PORT_UNUSED" +-- Retrieval info: CONSTANT: PORT_extclk2 STRING "PORT_UNUSED" +-- Retrieval info: CONSTANT: PORT_extclk3 STRING "PORT_UNUSED" +-- Retrieval info: CONSTANT: WIDTH_CLOCK NUMERIC "5" +-- Retrieval info: USED_PORT: @clk 0 0 5 0 OUTPUT_CLK_EXT VCC "@clk[4..0]" +-- Retrieval info: USED_PORT: @inclk 0 0 2 0 INPUT_CLK_EXT VCC "@inclk[1..0]" +-- Retrieval info: USED_PORT: c0 0 0 0 0 OUTPUT_CLK_EXT VCC "c0" +-- Retrieval info: USED_PORT: inclk0 0 0 0 0 INPUT_CLK_EXT GND "inclk0" +-- Retrieval info: CONNECT: @inclk 0 0 1 1 GND 0 0 0 0 +-- Retrieval info: CONNECT: @inclk 0 0 1 0 inclk0 0 0 0 0 +-- Retrieval info: CONNECT: c0 0 0 0 0 @clk 0 0 1 0 +-- Retrieval info: GEN_FILE: TYPE_NORMAL PLL_Morse.vhd TRUE +-- Retrieval info: GEN_FILE: TYPE_NORMAL PLL_Morse.ppf TRUE +-- Retrieval info: GEN_FILE: TYPE_NORMAL PLL_Morse.inc FALSE +-- Retrieval info: GEN_FILE: TYPE_NORMAL PLL_Morse.cmp TRUE +-- Retrieval info: GEN_FILE: TYPE_NORMAL PLL_Morse.bsf FALSE +-- Retrieval info: GEN_FILE: TYPE_NORMAL PLL_Morse_inst.vhd FALSE +-- Retrieval info: LIB_FILE: altera_mf +-- Retrieval info: CBX_MODULE_PREFIX: ON diff --git a/peripherals/morse/sint/de10_lite.qpf b/peripherals/morse/sint/de10_lite.qpf new file mode 100644 index 00000000..c44f1c80 --- /dev/null +++ b/peripherals/morse/sint/de10_lite.qpf @@ -0,0 +1,30 @@ +# -------------------------------------------------------------------------- # +# +# Copyright (C) 2018 Intel Corporation. All rights reserved. +# Your use of Intel Corporation's design tools, logic functions +# and other software and tools, and its AMPP partner logic +# functions, and any output files from any of the foregoing +# (including device programming or simulation files), and any +# associated documentation or information are expressly subject +# to the terms and conditions of the Intel Program License +# Subscription Agreement, the Intel Quartus Prime License Agreement, +# the Intel FPGA IP License Agreement, or other applicable license +# agreement, including, without limitation, that your use is for +# the sole purpose of programming logic devices manufactured by +# Intel and sold by Intel or its authorized distributors. Please +# refer to the applicable agreement for further details. +# +# -------------------------------------------------------------------------- # +# +# Quartus Prime +# Version 18.1.0 Build 625 09/12/2018 SJ Standard Edition +# Date created = 09:41:06 April 06, 2020 +# +# -------------------------------------------------------------------------- # + +QUARTUS_VERSION = "18.1" +DATE = "09:41:06 April 06, 2020" + +# Revisions + +PROJECT_REVISION = "de10_lite" diff --git a/peripherals/morse/sint/de10_lite.qsf b/peripherals/morse/sint/de10_lite.qsf new file mode 100644 index 00000000..e04c4b93 --- /dev/null +++ b/peripherals/morse/sint/de10_lite.qsf @@ -0,0 +1,362 @@ +# -------------------------------------------------------------------------- # +# +# Copyright (C) 2018 Intel Corporation. All rights reserved. +# Your use of Intel Corporation's design tools, logic functions +# and other software and tools, and its AMPP partner logic +# functions, and any output files from any of the foregoing +# (including device programming or simulation files), and any +# associated documentation or information are expressly subject +# to the terms and conditions of the Intel Program License +# Subscription Agreement, the Intel Quartus Prime License Agreement, +# the Intel FPGA IP License Agreement, or other applicable license +# agreement, including, without limitation, that your use is for +# the sole purpose of programming logic devices manufactured by +# Intel and sold by Intel or its authorized distributors. Please +# refer to the applicable agreement for further details. +# +# -------------------------------------------------------------------------- # +# +# Quartus Prime +# Version 18.1.0 Build 625 09/12/2018 SJ Standard Edition +# Date created = 09:41:06 April 06, 2020 +# +# -------------------------------------------------------------------------- # +# +# Notes: +# +# 1) The default values for assignments are stored in the file: +# de10_lite_assignment_defaults.qdf +# If this file doesn't exist, see file: +# assignment_defaults.qdf +# +# 2) Altera recommends that you do not modify this file. This +# file is updated automatically by the Quartus Prime software +# and any changes you make may be lost or overwritten. +# +# -------------------------------------------------------------------------- # + + +set_global_assignment -name FAMILY "MAX 10" +set_global_assignment -name DEVICE 10M50DAF484C7G +set_global_assignment -name TOP_LEVEL_ENTITY de10_lite_Morse +set_global_assignment -name ORIGINAL_QUARTUS_VERSION 18.1.0 +set_global_assignment -name PROJECT_CREATION_TIME_DATE "09:41:06 APRIL 06, 2020" +set_global_assignment -name LAST_QUARTUS_VERSION "21.1.1 Standard Edition" +set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files +set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0 +set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85 +set_global_assignment -name ERROR_CHECK_FREQUENCY_DIVISOR 256 +set_global_assignment -name EDA_SIMULATION_TOOL "Questa Intel FPGA (Verilog)" +set_global_assignment -name EDA_TIME_SCALE "1 ps" -section_id eda_simulation +set_global_assignment -name EDA_OUTPUT_DATA_FORMAT "VERILOG HDL" -section_id eda_simulation +set_global_assignment -name POWER_PRESET_COOLING_SOLUTION "23 MM HEAT SINK WITH 200 LFPM AIRFLOW" +set_global_assignment -name POWER_BOARD_THERMAL_MODEL "NONE (CONSERVATIVE)" +set_location_assignment PIN_N5 -to ADC_CLK_10 +set_location_assignment PIN_P11 -to MAX10_CLK1_50 +set_location_assignment PIN_N14 -to MAX10_CLK2_50 +set_location_assignment PIN_U17 -to DRAM_ADDR[0] +set_location_assignment PIN_W19 -to DRAM_ADDR[1] +set_location_assignment PIN_V18 -to DRAM_ADDR[2] +set_location_assignment PIN_U18 -to DRAM_ADDR[3] +set_location_assignment PIN_U19 -to DRAM_ADDR[4] +set_location_assignment PIN_T18 -to DRAM_ADDR[5] +set_location_assignment PIN_T19 -to DRAM_ADDR[6] +set_location_assignment PIN_R18 -to DRAM_ADDR[7] +set_location_assignment PIN_P18 -to DRAM_ADDR[8] +set_location_assignment PIN_P19 -to DRAM_ADDR[9] +set_location_assignment PIN_T20 -to DRAM_ADDR[10] +set_location_assignment PIN_P20 -to DRAM_ADDR[11] +set_location_assignment PIN_R20 -to DRAM_ADDR[12] +set_location_assignment PIN_T21 -to DRAM_BA[0] +set_location_assignment PIN_T22 -to DRAM_BA[1] +set_location_assignment PIN_U21 -to DRAM_CAS_N +set_location_assignment PIN_N22 -to DRAM_CKE +set_location_assignment PIN_L14 -to DRAM_CLK +set_location_assignment PIN_U20 -to DRAM_CS_N +set_location_assignment PIN_Y21 -to DRAM_DQ[0] +set_location_assignment PIN_Y20 -to DRAM_DQ[1] +set_location_assignment PIN_AA22 -to DRAM_DQ[2] +set_location_assignment PIN_AA21 -to DRAM_DQ[3] +set_location_assignment PIN_Y22 -to DRAM_DQ[4] +set_location_assignment PIN_W22 -to DRAM_DQ[5] +set_location_assignment PIN_W20 -to DRAM_DQ[6] +set_location_assignment PIN_V21 -to DRAM_DQ[7] +set_location_assignment PIN_P21 -to DRAM_DQ[8] +set_location_assignment PIN_J22 -to DRAM_DQ[9] +set_location_assignment PIN_H21 -to DRAM_DQ[10] +set_location_assignment PIN_H22 -to DRAM_DQ[11] +set_location_assignment PIN_G22 -to DRAM_DQ[12] +set_location_assignment PIN_G20 -to DRAM_DQ[13] +set_location_assignment PIN_G19 -to DRAM_DQ[14] +set_location_assignment PIN_F22 -to DRAM_DQ[15] +set_location_assignment PIN_V22 -to DRAM_LDQM +set_location_assignment PIN_U22 -to DRAM_RAS_N +set_location_assignment PIN_J21 -to DRAM_UDQM +set_location_assignment PIN_V20 -to DRAM_WE_N +set_location_assignment PIN_C14 -to HEX0[0] +set_location_assignment PIN_E15 -to HEX0[1] +set_location_assignment PIN_C15 -to HEX0[2] +set_location_assignment PIN_C16 -to HEX0[3] +set_location_assignment PIN_E16 -to HEX0[4] +set_location_assignment PIN_D17 -to HEX0[5] +set_location_assignment PIN_C17 -to HEX0[6] +set_location_assignment PIN_D15 -to HEX0[7] +set_location_assignment PIN_C18 -to HEX1[0] +set_location_assignment PIN_D18 -to HEX1[1] +set_location_assignment PIN_E18 -to HEX1[2] +set_location_assignment PIN_B16 -to HEX1[3] +set_location_assignment PIN_A17 -to HEX1[4] +set_location_assignment PIN_A18 -to HEX1[5] +set_location_assignment PIN_B17 -to HEX1[6] +set_location_assignment PIN_A16 -to HEX1[7] +set_location_assignment PIN_B20 -to HEX2[0] +set_location_assignment PIN_A20 -to HEX2[1] +set_location_assignment PIN_B19 -to HEX2[2] +set_location_assignment PIN_A21 -to HEX2[3] +set_location_assignment PIN_B21 -to HEX2[4] +set_location_assignment PIN_C22 -to HEX2[5] +set_location_assignment PIN_B22 -to HEX2[6] +set_location_assignment PIN_A19 -to HEX2[7] +set_location_assignment PIN_F21 -to HEX3[0] +set_location_assignment PIN_E22 -to HEX3[1] +set_location_assignment PIN_E21 -to HEX3[2] +set_location_assignment PIN_C19 -to HEX3[3] +set_location_assignment PIN_C20 -to HEX3[4] +set_location_assignment PIN_D19 -to HEX3[5] +set_location_assignment PIN_E17 -to HEX3[6] +set_location_assignment PIN_D22 -to HEX3[7] +set_location_assignment PIN_F18 -to HEX4[0] +set_location_assignment PIN_E20 -to HEX4[1] +set_location_assignment PIN_E19 -to HEX4[2] +set_location_assignment PIN_J18 -to HEX4[3] +set_location_assignment PIN_H19 -to HEX4[4] +set_location_assignment PIN_F19 -to HEX4[5] +set_location_assignment PIN_F20 -to HEX4[6] +set_location_assignment PIN_F17 -to HEX4[7] +set_location_assignment PIN_J20 -to HEX5[0] +set_location_assignment PIN_K20 -to HEX5[1] +set_location_assignment PIN_L18 -to HEX5[2] +set_location_assignment PIN_N18 -to HEX5[3] +set_location_assignment PIN_M20 -to HEX5[4] +set_location_assignment PIN_N19 -to HEX5[5] +set_location_assignment PIN_N20 -to HEX5[6] +set_location_assignment PIN_L19 -to HEX5[7] +set_location_assignment PIN_B8 -to KEY[0] +set_location_assignment PIN_A7 -to KEY[1] +set_location_assignment PIN_A8 -to LEDR[0] +set_location_assignment PIN_A9 -to LEDR[1] +set_location_assignment PIN_A10 -to LEDR[2] +set_location_assignment PIN_B10 -to LEDR[3] +set_location_assignment PIN_D13 -to LEDR[4] +set_location_assignment PIN_C13 -to LEDR[5] +set_location_assignment PIN_E14 -to LEDR[6] +set_location_assignment PIN_D14 -to LEDR[7] +set_location_assignment PIN_A11 -to LEDR[8] +set_location_assignment PIN_B11 -to LEDR[9] +set_location_assignment PIN_C10 -to SW[0] +set_location_assignment PIN_C11 -to SW[1] +set_location_assignment PIN_D12 -to SW[2] +set_location_assignment PIN_C12 -to SW[3] +set_location_assignment PIN_A12 -to SW[4] +set_location_assignment PIN_B12 -to SW[5] +set_location_assignment PIN_A13 -to SW[6] +set_location_assignment PIN_A14 -to SW[7] +set_location_assignment PIN_B14 -to SW[8] +set_location_assignment PIN_F15 -to SW[9] +set_location_assignment PIN_P1 -to VGA_B[0] +set_location_assignment PIN_T1 -to VGA_B[1] +set_location_assignment PIN_P4 -to VGA_B[2] +set_location_assignment PIN_N2 -to VGA_B[3] +set_location_assignment PIN_W1 -to VGA_G[0] +set_location_assignment PIN_T2 -to VGA_G[1] +set_location_assignment PIN_R2 -to VGA_G[2] +set_location_assignment PIN_R1 -to VGA_G[3] +set_location_assignment PIN_N3 -to VGA_HS +set_location_assignment PIN_AA1 -to VGA_R[0] +set_location_assignment PIN_V1 -to VGA_R[1] +set_location_assignment PIN_Y2 -to VGA_R[2] +set_location_assignment PIN_Y1 -to VGA_R[3] +set_location_assignment PIN_N1 -to VGA_VS +set_location_assignment PIN_AB16 -to GSENSOR_CS_N +set_location_assignment PIN_Y14 -to GSENSOR_INT[1] +set_location_assignment PIN_Y13 -to GSENSOR_INT[2] +set_location_assignment PIN_AB15 -to GSENSOR_SCLK +set_location_assignment PIN_V11 -to GSENSOR_SDI +set_location_assignment PIN_V12 -to GSENSOR_SDO +set_location_assignment PIN_AB5 -to ARDUINO_IO[0] +set_location_assignment PIN_AB6 -to ARDUINO_IO[1] +set_location_assignment PIN_AB7 -to ARDUINO_IO[2] +set_location_assignment PIN_AB8 -to ARDUINO_IO[3] +set_location_assignment PIN_AB9 -to ARDUINO_IO[4] +set_location_assignment PIN_Y10 -to ARDUINO_IO[5] +set_location_assignment PIN_AA11 -to ARDUINO_IO[6] +set_location_assignment PIN_AA12 -to ARDUINO_IO[7] +set_location_assignment PIN_AB17 -to ARDUINO_IO[8] +set_location_assignment PIN_AA17 -to ARDUINO_IO[9] +set_location_assignment PIN_AB19 -to ARDUINO_IO[10] +set_location_assignment PIN_AA19 -to ARDUINO_IO[11] +set_location_assignment PIN_Y19 -to ARDUINO_IO[12] +set_location_assignment PIN_AB20 -to ARDUINO_IO[13] +set_location_assignment PIN_AB21 -to ARDUINO_IO[14] +set_location_assignment PIN_AA20 -to ARDUINO_IO[15] +set_location_assignment PIN_F16 -to ARDUINO_RESET_N +set_global_assignment -name QSYS_FILE unnamed.qsys +set_global_assignment -name VHDL_FILE ../MorseCodeBuzzer.vhd +set_global_assignment -name VHDL_FILE ../MorseCode_Package.vhd +set_global_assignment -name SDC_FILE de10_lite.sdc +set_global_assignment -name VHDL_FILE de10_lite.vhd +set_global_assignment -name QIP_FILE PLL.qip +set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top +set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top +set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ADC_CLK_10 +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to MAX10_CLK1_50 +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to MAX10_CLK2_50 +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[4] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[5] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[6] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[7] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[8] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[9] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[10] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[11] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[12] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_BA[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_BA[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_CAS_N +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_CKE +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_CLK +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_CS_N +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[4] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[5] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[6] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[7] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[8] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[9] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[10] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[11] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[12] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[13] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[14] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[15] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_LDQM +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_RAS_N +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_UDQM +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_WE_N +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX0[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX0[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX0[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX0[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX0[4] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX0[5] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX0[6] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX0[7] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX1[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX1[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX1[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX1[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX1[4] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX1[5] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX1[6] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX1[7] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX2[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX2[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX2[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX2[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX2[4] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX2[5] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX2[6] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX2[7] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX3[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX3[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX3[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX3[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX3[4] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX3[5] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX3[6] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX3[7] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX4[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX4[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX4[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX4[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX4[4] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX4[5] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX4[6] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX4[7] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX5[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX5[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX5[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX5[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX5[4] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX5[5] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX5[6] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX5[7] +set_instance_assignment -name IO_STANDARD "3.3 V SCHMITT TRIGGER" -to KEY[0] +set_instance_assignment -name IO_STANDARD "3.3 V SCHMITT TRIGGER" -to KEY[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDR[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDR[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDR[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDR[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDR[4] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDR[5] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDR[6] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDR[7] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDR[8] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDR[9] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[4] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[5] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[6] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[7] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[8] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[9] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_B[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_B[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_B[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_B[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_G[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_G[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_G[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_G[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_HS +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_R[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_R[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_R[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_R[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_VS +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GSENSOR_CS_N +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GSENSOR_INT[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GSENSOR_INT[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GSENSOR_SCLK +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GSENSOR_SDI +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GSENSOR_SDO +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[4] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[5] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[6] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[7] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[8] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[9] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[10] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[11] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[12] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[13] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[14] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[15] +set_instance_assignment -name IO_STANDARD "3.3 V SCHMITT TRIGGER" -to ARDUINO_RESET_N +set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top +set_global_assignment -name QIP_FILE PLL_Morse.qip \ No newline at end of file diff --git a/peripherals/morse/sint/de10_lite.sdc b/peripherals/morse/sint/de10_lite.sdc new file mode 100644 index 00000000..7267c16e --- /dev/null +++ b/peripherals/morse/sint/de10_lite.sdc @@ -0,0 +1,86 @@ +#************************************************************** +# This .sdc file is created by Terasic Tool. +# Users are recommended to modify this file to match users logic. +#************************************************************** + +#************************************************************** +# Create Clock +#************************************************************** +create_clock -period "10.0 MHz" [get_ports ADC_CLK_10] +create_clock -period "50.0 MHz" [get_ports MAX10_CLK1_50] +create_clock -period "50.0 MHz" [get_ports MAX10_CLK2_50] + +#************************************************************** +# Create Generated Clock +#************************************************************** +derive_pll_clocks + + + +#************************************************************** +# Set Clock Latency +#************************************************************** + + + +#************************************************************** +# Set Clock Uncertainty +#************************************************************** +derive_clock_uncertainty + + + +#************************************************************** +# Set Input Delay +#************************************************************** + + + +#************************************************************** +# Set Output Delay +#************************************************************** + + + +#************************************************************** +# Set Clock Groups +#************************************************************** + + + +#************************************************************** +# Set False Path +#************************************************************** + + + +#************************************************************** +# Set Multicycle Path +#************************************************************** + + + +#************************************************************** +# Set Maximum Delay +#************************************************************** + + + +#************************************************************** +# Set Minimum Delay +#************************************************************** + + + +#************************************************************** +# Set Input Transition +#************************************************************** + + + +#************************************************************** +# Set Load +#************************************************************** + + + diff --git a/peripherals/morse/sint/de10_lite.vhd b/peripherals/morse/sint/de10_lite.vhd new file mode 100644 index 00000000..097c6148 --- /dev/null +++ b/peripherals/morse/sint/de10_lite.vhd @@ -0,0 +1,104 @@ +------------------------------------------------------------------- +-- Name : de0_lite.vhd +-- Author : +-- Version : 0.1 +-- Copyright : Departamento de Eletrônica, Florianópolis, IFSC +-- Description : Projeto base DE10-Lite +------------------------------------------------------------------- +LIBRARY ieee; +USE IEEE.STD_LOGIC_1164.ALL; +use ieee.numeric_std.all; + +entity de10_lite_Morse is + port ( + ---------- CLOCK ---------- + ADC_CLK_10: in std_logic; + MAX10_CLK1_50: in std_logic; + MAX10_CLK2_50: in std_logic; + + ----------- SDRAM ------------ + DRAM_ADDR: out std_logic_vector (12 downto 0); + DRAM_BA: out std_logic_vector (1 downto 0); + DRAM_CAS_N: out std_logic; + DRAM_CKE: out std_logic; + DRAM_CLK: out std_logic; + DRAM_CS_N: out std_logic; + DRAM_DQ: inout std_logic_vector(15 downto 0); + DRAM_LDQM: out std_logic; + DRAM_RAS_N: out std_logic; + DRAM_UDQM: out std_logic; + DRAM_WE_N: out std_logic; + + ----------- SEG7 ------------ + HEX0: out std_logic_vector(7 downto 0); + HEX1: out std_logic_vector(7 downto 0); + HEX2: out std_logic_vector(7 downto 0); + HEX3: out std_logic_vector(7 downto 0); + HEX4: out std_logic_vector(7 downto 0); + HEX5: out std_logic_vector(7 downto 0); + + ----------- KEY ------------ + KEY: in std_logic_vector(1 downto 0); + + ----------- LED ------------ + LEDR: out std_logic_vector(9 downto 0); + + ----------- SW ------------ + SW: in std_logic_vector(9 downto 0); + + ----------- VGA ------------ + VGA_B: out std_logic_vector(3 downto 0); + VGA_G: out std_logic_vector(3 downto 0); + VGA_HS: out std_logic; + VGA_R: out std_logic_vector(3 downto 0); + VGA_VS: out std_logic; + + ----------- Accelerometer ------------ + GSENSOR_CS_N: out std_logic; + GSENSOR_INT: in std_logic_vector(2 downto 1); + GSENSOR_SCLK: out std_logic; + GSENSOR_SDI: inout std_logic; + GSENSOR_SDO: inout std_logic; + + ----------- Arduino ------------ + ARDUINO_IO: inout std_logic_vector(15 downto 0); + ARDUINO_RESET_N: inout std_logic + ); +end entity; + + +architecture rtl of de10_lite_Morse is + + signal clk : std_logic; + signal rst : std_logic; + signal entrada : integer; + signal buzzer : std_logic; + signal chaves: unsigned(4 downto 0); + +begin + final:entity work.MorseCodeBuzzer + port map( + clk => clk, + rst => rst, + entrada => entrada, + ledt=>LEDR(2), -- led do tempo T + ledf=>LEDR(8), -- led de fim do número + led3t=>LEDR(4),-- led do tempo 3T + buzzer => ARDUINO_IO(1)-- pino do meio do modulo do buzzer + ); + + entrada<=to_integer(chaves);-- converte as chaves para inteiro, para ir para a entrada + rst<=SW(9);-- reset + chaves(0)<=SW(0);-- chaves de entrada dos números + chaves(1)<=SW(1); + chaves(2)<=SW(2); + chaves(3)<=SW(3); + chaves(4)<=SW(4); + + PLL_inst : entity work.PLL_Morse --clock de 10M e dividido por 4000 (2.5khz) + port map( + inclk0 => ADC_CLK_10, + c0 => clk + ); +end; + diff --git a/peripherals/morse/sint/de10_lite_assignment_defaults.qdf b/peripherals/morse/sint/de10_lite_assignment_defaults.qdf new file mode 100644 index 00000000..bcfd7884 --- /dev/null +++ b/peripherals/morse/sint/de10_lite_assignment_defaults.qdf @@ -0,0 +1,806 @@ +# -------------------------------------------------------------------------- # +# +# Copyright (C) 2023 Intel Corporation. All rights reserved. +# Your use of Intel Corporation's design tools, logic functions +# and other software and tools, and any partner logic +# functions, and any output files from any of the foregoing +# (including device programming or simulation files), and any +# associated documentation or information are expressly subject +# to the terms and conditions of the Intel Program License +# Subscription Agreement, the Intel Quartus Prime License Agreement, +# the Intel FPGA IP License Agreement, or other applicable license +# agreement, including, without limitation, that your use is for +# the sole purpose of programming logic devices manufactured by +# Intel and sold by Intel or its authorized distributors. Please +# refer to the applicable agreement for further details, at +# https://fpgasoftware.intel.com/eula. +# +# -------------------------------------------------------------------------- # +# +# Quartus Prime +# Version 22.1std.2 Build 922 07/20/2023 SC Lite Edition +# Date created = 17:57:45 December 07, 2023 +# +# -------------------------------------------------------------------------- # +# +# Note: +# +# 1) Do not modify this file. This file was generated +# automatically by the Quartus Prime software and is used +# to preserve global assignments across Quartus Prime versions. +# +# -------------------------------------------------------------------------- # + +set_global_assignment -name IP_COMPONENT_REPORT_HIERARCHY Off +set_global_assignment -name IP_COMPONENT_INTERNAL Off +set_global_assignment -name PROJECT_SHOW_ENTITY_NAME On +set_global_assignment -name PROJECT_USE_SIMPLIFIED_NAMES Off +set_global_assignment -name ENABLE_REDUCED_MEMORY_MODE Off +set_global_assignment -name VER_COMPATIBLE_DB_DIR export_db +set_global_assignment -name AUTO_EXPORT_VER_COMPATIBLE_DB Off +set_global_assignment -name FLOW_DISABLE_ASSEMBLER Off +set_global_assignment -name FLOW_ENABLE_POWER_ANALYZER Off +set_global_assignment -name FLOW_ENABLE_HC_COMPARE Off +set_global_assignment -name HC_OUTPUT_DIR hc_output +set_global_assignment -name SAVE_MIGRATION_INFO_DURING_COMPILATION Off +set_global_assignment -name FLOW_ENABLE_IO_ASSIGNMENT_ANALYSIS Off +set_global_assignment -name RUN_FULL_COMPILE_ON_DEVICE_CHANGE On +set_global_assignment -name FLOW_ENABLE_RTL_VIEWER Off +set_global_assignment -name READ_OR_WRITE_IN_BYTE_ADDRESS "Use global settings" +set_global_assignment -name FLOW_HARDCOPY_DESIGN_READINESS_CHECK On +set_global_assignment -name FLOW_ENABLE_PARALLEL_MODULES On +set_global_assignment -name ENABLE_COMPACT_REPORT_TABLE Off +set_global_assignment -name REVISION_TYPE Base -family "Arria V" +set_global_assignment -name REVISION_TYPE Base -family "Stratix V" +set_global_assignment -name REVISION_TYPE Base -family "Arria V GZ" +set_global_assignment -name REVISION_TYPE Base -family "Cyclone V" +set_global_assignment -name DEFAULT_HOLD_MULTICYCLE "Same as Multicycle" +set_global_assignment -name CUT_OFF_PATHS_BETWEEN_CLOCK_DOMAINS On +set_global_assignment -name CUT_OFF_READ_DURING_WRITE_PATHS On +set_global_assignment -name CUT_OFF_IO_PIN_FEEDBACK On +set_global_assignment -name DO_COMBINED_ANALYSIS Off +set_global_assignment -name TDC_AGGRESSIVE_HOLD_CLOSURE_EFFORT Off +set_global_assignment -name ENABLE_HPS_INTERNAL_TIMING Off +set_global_assignment -name EMIF_SOC_PHYCLK_ADVANCE_MODELING Off +set_global_assignment -name USE_DLL_FREQUENCY_FOR_DQS_DELAY_CHAIN Off +set_global_assignment -name ANALYZE_LATCHES_AS_SYNCHRONOUS_ELEMENTS On +set_global_assignment -name TIMING_ANALYZER_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS On +set_global_assignment -name TIMING_ANALYZER_MULTICORNER_ANALYSIS On -family "Arria V" +set_global_assignment -name TIMING_ANALYZER_MULTICORNER_ANALYSIS On -family "Cyclone 10 LP" +set_global_assignment -name TIMING_ANALYZER_MULTICORNER_ANALYSIS On -family "MAX 10" +set_global_assignment -name TIMING_ANALYZER_MULTICORNER_ANALYSIS On -family "Stratix IV" +set_global_assignment -name TIMING_ANALYZER_MULTICORNER_ANALYSIS On -family "Cyclone IV E" +set_global_assignment -name TIMING_ANALYZER_MULTICORNER_ANALYSIS On -family "Arria 10" +set_global_assignment -name TIMING_ANALYZER_MULTICORNER_ANALYSIS Off -family "MAX V" +set_global_assignment -name TIMING_ANALYZER_MULTICORNER_ANALYSIS On -family "Stratix V" +set_global_assignment -name TIMING_ANALYZER_MULTICORNER_ANALYSIS On -family "Arria V GZ" +set_global_assignment -name TIMING_ANALYZER_MULTICORNER_ANALYSIS Off -family "MAX II" +set_global_assignment -name TIMING_ANALYZER_MULTICORNER_ANALYSIS On -family "Arria II GX" +set_global_assignment -name TIMING_ANALYZER_MULTICORNER_ANALYSIS On -family "Arria II GZ" +set_global_assignment -name TIMING_ANALYZER_MULTICORNER_ANALYSIS On -family "Cyclone IV GX" +set_global_assignment -name TIMING_ANALYZER_MULTICORNER_ANALYSIS On -family "Cyclone V" +set_global_assignment -name TIMING_ANALYZER_DO_REPORT_TIMING Off +set_global_assignment -name TIMING_ANALYZER_REPORT_WORST_CASE_TIMING_PATHS Off -family "Arria V" +set_global_assignment -name TIMING_ANALYZER_REPORT_WORST_CASE_TIMING_PATHS On -family "Cyclone 10 LP" +set_global_assignment -name TIMING_ANALYZER_REPORT_WORST_CASE_TIMING_PATHS Off -family "MAX 10" +set_global_assignment -name TIMING_ANALYZER_REPORT_WORST_CASE_TIMING_PATHS Off -family "Stratix IV" +set_global_assignment -name TIMING_ANALYZER_REPORT_WORST_CASE_TIMING_PATHS On -family "Cyclone IV E" +set_global_assignment -name TIMING_ANALYZER_REPORT_WORST_CASE_TIMING_PATHS Off -family "Arria 10" +set_global_assignment -name TIMING_ANALYZER_REPORT_WORST_CASE_TIMING_PATHS On -family "MAX V" +set_global_assignment -name TIMING_ANALYZER_REPORT_WORST_CASE_TIMING_PATHS Off -family "Stratix V" +set_global_assignment -name TIMING_ANALYZER_REPORT_WORST_CASE_TIMING_PATHS Off -family "Arria V GZ" +set_global_assignment -name TIMING_ANALYZER_REPORT_WORST_CASE_TIMING_PATHS On -family "MAX II" +set_global_assignment -name TIMING_ANALYZER_REPORT_WORST_CASE_TIMING_PATHS Off -family "Arria II GX" +set_global_assignment -name TIMING_ANALYZER_REPORT_WORST_CASE_TIMING_PATHS Off -family "Arria II GZ" +set_global_assignment -name TIMING_ANALYZER_REPORT_WORST_CASE_TIMING_PATHS On -family "Cyclone IV GX" +set_global_assignment -name TIMING_ANALYZER_REPORT_WORST_CASE_TIMING_PATHS Off -family "Cyclone V" +set_global_assignment -name TIMING_ANALYZER_REPORT_NUM_WORST_CASE_TIMING_PATHS 100 +set_global_assignment -name TIMING_ANALYZER_DO_CCPP_REMOVAL On -family "Arria V" +set_global_assignment -name TIMING_ANALYZER_DO_CCPP_REMOVAL On -family "Cyclone 10 LP" +set_global_assignment -name TIMING_ANALYZER_DO_CCPP_REMOVAL On -family "MAX 10" +set_global_assignment -name TIMING_ANALYZER_DO_CCPP_REMOVAL On -family "Cyclone IV E" +set_global_assignment -name TIMING_ANALYZER_DO_CCPP_REMOVAL On -family "Stratix IV" +set_global_assignment -name TIMING_ANALYZER_DO_CCPP_REMOVAL On -family "Arria 10" +set_global_assignment -name TIMING_ANALYZER_DO_CCPP_REMOVAL Off -family "MAX V" +set_global_assignment -name TIMING_ANALYZER_DO_CCPP_REMOVAL On -family "Stratix V" +set_global_assignment -name TIMING_ANALYZER_DO_CCPP_REMOVAL On -family "Arria V GZ" +set_global_assignment -name TIMING_ANALYZER_DO_CCPP_REMOVAL Off -family "MAX II" +set_global_assignment -name TIMING_ANALYZER_DO_CCPP_REMOVAL On -family "Arria II GX" +set_global_assignment -name TIMING_ANALYZER_DO_CCPP_REMOVAL On -family "Arria II GZ" +set_global_assignment -name TIMING_ANALYZER_DO_CCPP_REMOVAL On -family "Cyclone IV GX" +set_global_assignment -name TIMING_ANALYZER_DO_CCPP_REMOVAL On -family "Cyclone V" +set_global_assignment -name OPTIMIZATION_MODE Balanced +set_global_assignment -name ALLOW_REGISTER_MERGING On +set_global_assignment -name ALLOW_REGISTER_DUPLICATION On +set_global_assignment -name DISABLE_LEGACY_TIMING_ANALYZER OFF -family "Arria V" +set_global_assignment -name DISABLE_LEGACY_TIMING_ANALYZER ON -family "Cyclone 10 LP" +set_global_assignment -name DISABLE_LEGACY_TIMING_ANALYZER OFF -family "MAX 10" +set_global_assignment -name DISABLE_LEGACY_TIMING_ANALYZER OFF -family "Stratix IV" +set_global_assignment -name DISABLE_LEGACY_TIMING_ANALYZER OFF -family "Cyclone IV E" +set_global_assignment -name DISABLE_LEGACY_TIMING_ANALYZER ON -family "Arria 10" +set_global_assignment -name DISABLE_LEGACY_TIMING_ANALYZER OFF -family "MAX V" +set_global_assignment -name DISABLE_LEGACY_TIMING_ANALYZER OFF -family "Stratix V" +set_global_assignment -name DISABLE_LEGACY_TIMING_ANALYZER OFF -family "Arria V GZ" +set_global_assignment -name DISABLE_LEGACY_TIMING_ANALYZER OFF -family "MAX II" +set_global_assignment -name DISABLE_LEGACY_TIMING_ANALYZER OFF -family "Arria II GX" +set_global_assignment -name DISABLE_LEGACY_TIMING_ANALYZER OFF -family "Arria II GZ" +set_global_assignment -name DISABLE_LEGACY_TIMING_ANALYZER OFF -family "Cyclone IV GX" +set_global_assignment -name DISABLE_LEGACY_TIMING_ANALYZER OFF -family "Cyclone V" +set_global_assignment -name MUX_RESTRUCTURE Auto +set_global_assignment -name MLAB_ADD_TIMING_CONSTRAINTS_FOR_MIXED_PORT_FEED_THROUGH_MODE_SETTING_DONT_CARE Off +set_global_assignment -name ENABLE_IP_DEBUG Off +set_global_assignment -name SAVE_DISK_SPACE On +set_global_assignment -name OCP_HW_EVAL Enable +set_global_assignment -name DEVICE_FILTER_PACKAGE Any +set_global_assignment -name DEVICE_FILTER_PIN_COUNT Any +set_global_assignment -name DEVICE_FILTER_SPEED_GRADE Any +set_global_assignment -name EDA_DESIGN_ENTRY_SYNTHESIS_TOOL "" +set_global_assignment -name VERILOG_INPUT_VERSION Verilog_2001 +set_global_assignment -name VHDL_INPUT_VERSION VHDL_1993 +set_global_assignment -name FAMILY "Cyclone V" +set_global_assignment -name TRUE_WYSIWYG_FLOW Off +set_global_assignment -name SMART_COMPILE_IGNORES_TDC_FOR_STRATIX_PLL_CHANGES Off +set_global_assignment -name STATE_MACHINE_PROCESSING Auto +set_global_assignment -name SAFE_STATE_MACHINE Off +set_global_assignment -name EXTRACT_VERILOG_STATE_MACHINES On +set_global_assignment -name EXTRACT_VHDL_STATE_MACHINES On +set_global_assignment -name IGNORE_VERILOG_INITIAL_CONSTRUCTS Off +set_global_assignment -name VERILOG_CONSTANT_LOOP_LIMIT 5000 +set_global_assignment -name VERILOG_NON_CONSTANT_LOOP_LIMIT 250 +set_global_assignment -name INFER_RAMS_FROM_RAW_LOGIC On +set_global_assignment -name PARALLEL_SYNTHESIS On +set_global_assignment -name DSP_BLOCK_BALANCING Auto +set_global_assignment -name MAX_BALANCING_DSP_BLOCKS "-1 (Unlimited)" +set_global_assignment -name NOT_GATE_PUSH_BACK On +set_global_assignment -name ALLOW_POWER_UP_DONT_CARE On +set_global_assignment -name REMOVE_REDUNDANT_LOGIC_CELLS Off +set_global_assignment -name REMOVE_DUPLICATE_REGISTERS On +set_global_assignment -name IGNORE_CARRY_BUFFERS Off +set_global_assignment -name IGNORE_CASCADE_BUFFERS Off +set_global_assignment -name IGNORE_GLOBAL_BUFFERS Off +set_global_assignment -name IGNORE_ROW_GLOBAL_BUFFERS Off +set_global_assignment -name IGNORE_LCELL_BUFFERS Off +set_global_assignment -name MAX7000_IGNORE_LCELL_BUFFERS AUTO +set_global_assignment -name IGNORE_SOFT_BUFFERS On +set_global_assignment -name MAX7000_IGNORE_SOFT_BUFFERS Off +set_global_assignment -name LIMIT_AHDL_INTEGERS_TO_32_BITS Off +set_global_assignment -name AUTO_GLOBAL_CLOCK_MAX On +set_global_assignment -name AUTO_GLOBAL_OE_MAX On +set_global_assignment -name MAX_AUTO_GLOBAL_REGISTER_CONTROLS On +set_global_assignment -name AUTO_IMPLEMENT_IN_ROM Off +set_global_assignment -name APEX20K_TECHNOLOGY_MAPPER Lut +set_global_assignment -name OPTIMIZATION_TECHNIQUE Balanced +set_global_assignment -name STRATIXII_OPTIMIZATION_TECHNIQUE Balanced +set_global_assignment -name CYCLONE_OPTIMIZATION_TECHNIQUE Balanced +set_global_assignment -name CYCLONEII_OPTIMIZATION_TECHNIQUE Balanced +set_global_assignment -name STRATIX_OPTIMIZATION_TECHNIQUE Balanced +set_global_assignment -name MAXII_OPTIMIZATION_TECHNIQUE Balanced +set_global_assignment -name MAX7000_OPTIMIZATION_TECHNIQUE Speed +set_global_assignment -name APEX20K_OPTIMIZATION_TECHNIQUE Balanced +set_global_assignment -name MERCURY_OPTIMIZATION_TECHNIQUE Area +set_global_assignment -name FLEX6K_OPTIMIZATION_TECHNIQUE Area +set_global_assignment -name FLEX10K_OPTIMIZATION_TECHNIQUE Area +set_global_assignment -name ALLOW_XOR_GATE_USAGE On +set_global_assignment -name AUTO_LCELL_INSERTION On +set_global_assignment -name CARRY_CHAIN_LENGTH 48 +set_global_assignment -name FLEX6K_CARRY_CHAIN_LENGTH 32 +set_global_assignment -name FLEX10K_CARRY_CHAIN_LENGTH 32 +set_global_assignment -name MERCURY_CARRY_CHAIN_LENGTH 48 +set_global_assignment -name STRATIX_CARRY_CHAIN_LENGTH 70 +set_global_assignment -name STRATIXII_CARRY_CHAIN_LENGTH 70 +set_global_assignment -name CASCADE_CHAIN_LENGTH 2 +set_global_assignment -name PARALLEL_EXPANDER_CHAIN_LENGTH 16 +set_global_assignment -name MAX7000_PARALLEL_EXPANDER_CHAIN_LENGTH 4 +set_global_assignment -name AUTO_CARRY_CHAINS On +set_global_assignment -name AUTO_CASCADE_CHAINS On +set_global_assignment -name AUTO_PARALLEL_EXPANDERS On +set_global_assignment -name AUTO_OPEN_DRAIN_PINS On +set_global_assignment -name ADV_NETLIST_OPT_SYNTH_WYSIWYG_REMAP Off +set_global_assignment -name AUTO_ROM_RECOGNITION On +set_global_assignment -name AUTO_RAM_RECOGNITION On +set_global_assignment -name AUTO_DSP_RECOGNITION On +set_global_assignment -name AUTO_SHIFT_REGISTER_RECOGNITION Auto +set_global_assignment -name ALLOW_SHIFT_REGISTER_MERGING_ACROSS_HIERARCHIES Auto +set_global_assignment -name AUTO_CLOCK_ENABLE_RECOGNITION On +set_global_assignment -name STRICT_RAM_RECOGNITION Off +set_global_assignment -name ALLOW_SYNCH_CTRL_USAGE On +set_global_assignment -name FORCE_SYNCH_CLEAR Off +set_global_assignment -name AUTO_RAM_BLOCK_BALANCING On +set_global_assignment -name AUTO_RAM_TO_LCELL_CONVERSION Off +set_global_assignment -name AUTO_RESOURCE_SHARING Off +set_global_assignment -name ALLOW_ANY_SHIFT_REGISTER_SIZE_FOR_RECOGNITION Off +set_global_assignment -name MAX7000_FANIN_PER_CELL 100 +set_global_assignment -name USE_LOGICLOCK_CONSTRAINTS_IN_BALANCING On +set_global_assignment -name MAX_RAM_BLOCKS_M512 "-1 (Unlimited)" +set_global_assignment -name MAX_RAM_BLOCKS_M4K "-1 (Unlimited)" +set_global_assignment -name MAX_RAM_BLOCKS_MRAM "-1 (Unlimited)" +set_global_assignment -name IGNORE_TRANSLATE_OFF_AND_SYNTHESIS_OFF Off +set_global_assignment -name STRATIXGX_BYPASS_REMAPPING_OF_FORCE_SIGNAL_DETECT_SIGNAL_THRESHOLD_SELECT Off +set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS On -family "Arria II GZ" +set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS On -family "Arria V" +set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS On -family "Cyclone 10 LP" +set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS On -family "MAX 10" +set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS On -family "Cyclone IV GX" +set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS On -family "Stratix IV" +set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS On -family "Cyclone IV E" +set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS On -family "Arria 10" +set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS On -family "Stratix V" +set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS On -family "Arria V GZ" +set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS On -family "Cyclone V" +set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS On -family "Arria II GX" +set_global_assignment -name REPORT_PARAMETER_SETTINGS On +set_global_assignment -name REPORT_SOURCE_ASSIGNMENTS On +set_global_assignment -name REPORT_CONNECTIVITY_CHECKS On +set_global_assignment -name IGNORE_MAX_FANOUT_ASSIGNMENTS Off +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 3 -family "Arria V" +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 2 -family "Cyclone 10 LP" +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 2 -family "MAX 10" +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 2 -family "Cyclone IV E" +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 3 -family "Stratix IV" +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 3 -family "Arria 10" +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 2 -family "MAX V" +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 3 -family "Stratix V" +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 2 -family "MAX II" +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 3 -family "Arria V GZ" +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 3 -family "Arria II GX" +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 3 -family "Arria II GZ" +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 2 -family "Cyclone IV GX" +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 3 -family "Cyclone V" +set_global_assignment -name OPTIMIZE_POWER_DURING_SYNTHESIS "Normal compilation" +set_global_assignment -name HDL_MESSAGE_LEVEL Level2 +set_global_assignment -name USE_HIGH_SPEED_ADDER Auto +set_global_assignment -name NUMBER_OF_PROTECTED_REGISTERS_REPORTED 100 +set_global_assignment -name NUMBER_OF_REMOVED_REGISTERS_REPORTED 5000 +set_global_assignment -name NUMBER_OF_SYNTHESIS_MIGRATION_ROWS 5000 +set_global_assignment -name SYNTHESIS_S10_MIGRATION_CHECKS Off +set_global_assignment -name NUMBER_OF_SWEPT_NODES_REPORTED 5000 +set_global_assignment -name NUMBER_OF_INVERTED_REGISTERS_REPORTED 100 +set_global_assignment -name SYNTH_CLOCK_MUX_PROTECTION On +set_global_assignment -name SYNTH_GATED_CLOCK_CONVERSION Off +set_global_assignment -name BLOCK_DESIGN_NAMING Auto +set_global_assignment -name SYNTH_PROTECT_SDC_CONSTRAINT Off +set_global_assignment -name SYNTHESIS_EFFORT Auto +set_global_assignment -name SHIFT_REGISTER_RECOGNITION_ACLR_SIGNAL On +set_global_assignment -name PRE_MAPPING_RESYNTHESIS Off +set_global_assignment -name SYNTH_MESSAGE_LEVEL Medium +set_global_assignment -name DISABLE_REGISTER_MERGING_ACROSS_HIERARCHIES Auto +set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family "Arria II GZ" +set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family "Arria V" +set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family "Cyclone 10 LP" +set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family "MAX 10" +set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family "Cyclone IV GX" +set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family "Stratix IV" +set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family "Cyclone IV E" +set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family "Arria 10" +set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family "Stratix V" +set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family "Arria V GZ" +set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family "Cyclone V" +set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family "Arria II GX" +set_global_assignment -name MAX_LABS "-1 (Unlimited)" +set_global_assignment -name RBCGEN_CRITICAL_WARNING_TO_ERROR On +set_global_assignment -name MAX_NUMBER_OF_REGISTERS_FROM_UNINFERRED_RAMS "-1 (Unlimited)" +set_global_assignment -name AUTO_PARALLEL_SYNTHESIS On +set_global_assignment -name PRPOF_ID Off +set_global_assignment -name DISABLE_DSP_NEGATE_INFERENCING Off +set_global_assignment -name REPORT_PARAMETER_SETTINGS_PRO On +set_global_assignment -name REPORT_SOURCE_ASSIGNMENTS_PRO On +set_global_assignment -name ENABLE_STATE_MACHINE_INFERENCE Off +set_global_assignment -name FLEX10K_ENABLE_LOCK_OUTPUT Off +set_global_assignment -name AUTO_MERGE_PLLS On +set_global_assignment -name IGNORE_MODE_FOR_MERGE Off +set_global_assignment -name TXPMA_SLEW_RATE Low +set_global_assignment -name ADCE_ENABLED Auto +set_global_assignment -name ROUTER_TIMING_OPTIMIZATION_LEVEL Normal +set_global_assignment -name ROUTER_CLOCKING_TOPOLOGY_ANALYSIS Off +set_global_assignment -name PLACEMENT_EFFORT_MULTIPLIER 1.0 +set_global_assignment -name ROUTER_EFFORT_MULTIPLIER 1.0 +set_global_assignment -name FIT_ATTEMPTS_TO_SKIP 0.0 +set_global_assignment -name PHYSICAL_SYNTHESIS Off +set_global_assignment -name ECO_ALLOW_ROUTING_CHANGES Off +set_global_assignment -name DEVICE AUTO +set_global_assignment -name BASE_PIN_OUT_FILE_ON_SAMEFRAME_DEVICE Off +set_global_assignment -name ENABLE_JTAG_BST_SUPPORT Off +set_global_assignment -name MAX7000_ENABLE_JTAG_BST_SUPPORT On +set_global_assignment -name ENABLE_NCEO_OUTPUT Off +set_global_assignment -name RESERVE_NCEO_AFTER_CONFIGURATION "Use as regular IO" +set_global_assignment -name CYCLONEII_RESERVE_NCEO_AFTER_CONFIGURATION "Use as programming pin" +set_global_assignment -name STRATIXIII_UPDATE_MODE Standard +set_global_assignment -name STRATIX_UPDATE_MODE Standard +set_global_assignment -name INTERNAL_FLASH_UPDATE_MODE "Single Image" +set_global_assignment -name CVP_MODE Off +set_global_assignment -name STRATIXV_CONFIGURATION_SCHEME "Passive Serial" -family "Arria V" +set_global_assignment -name STRATIXV_CONFIGURATION_SCHEME "Passive Serial" -family "Arria 10" +set_global_assignment -name STRATIXV_CONFIGURATION_SCHEME "Passive Serial" -family "Stratix V" +set_global_assignment -name STRATIXV_CONFIGURATION_SCHEME "Passive Serial" -family "Arria V GZ" +set_global_assignment -name STRATIXV_CONFIGURATION_SCHEME "Passive Serial" -family "Cyclone V" +set_global_assignment -name VID_OPERATION_MODE "PMBus Slave" +set_global_assignment -name USE_CONF_DONE AUTO +set_global_assignment -name USE_PWRMGT_SCL AUTO +set_global_assignment -name USE_PWRMGT_SDA AUTO +set_global_assignment -name USE_PWRMGT_ALERT AUTO +set_global_assignment -name USE_INIT_DONE AUTO +set_global_assignment -name USE_CVP_CONFDONE AUTO +set_global_assignment -name USE_SEU_ERROR AUTO +set_global_assignment -name RESERVE_AVST_CLK_AFTER_CONFIGURATION "Use as regular IO" +set_global_assignment -name RESERVE_AVST_VALID_AFTER_CONFIGURATION "Use as regular IO" +set_global_assignment -name RESERVE_AVST_DATA15_THROUGH_DATA0_AFTER_CONFIGURATION "Use as regular IO" +set_global_assignment -name RESERVE_AVST_DATA31_THROUGH_DATA16_AFTER_CONFIGURATION "Use as regular IO" +set_global_assignment -name STRATIXIII_CONFIGURATION_SCHEME "Passive Serial" +set_global_assignment -name MAX10FPGA_CONFIGURATION_SCHEME "Internal Configuration" +set_global_assignment -name CYCLONEIII_CONFIGURATION_SCHEME "Active Serial" +set_global_assignment -name STRATIXII_CONFIGURATION_SCHEME "Passive Serial" +set_global_assignment -name CYCLONEII_CONFIGURATION_SCHEME "Active Serial" +set_global_assignment -name APEX20K_CONFIGURATION_SCHEME "Passive Serial" +set_global_assignment -name STRATIX_CONFIGURATION_SCHEME "Passive Serial" +set_global_assignment -name CYCLONE_CONFIGURATION_SCHEME "Active Serial" +set_global_assignment -name MERCURY_CONFIGURATION_SCHEME "Passive Serial" +set_global_assignment -name FLEX6K_CONFIGURATION_SCHEME "Passive Serial" +set_global_assignment -name FLEX10K_CONFIGURATION_SCHEME "Passive Serial" +set_global_assignment -name APEXII_CONFIGURATION_SCHEME "Passive Serial" +set_global_assignment -name USER_START_UP_CLOCK Off +set_global_assignment -name ENABLE_UNUSED_RX_CLOCK_WORKAROUND Off +set_global_assignment -name PRESERVE_UNUSED_XCVR_CHANNEL Off +set_global_assignment -name IGNORE_HSSI_COLUMN_POWER_WHEN_PRESERVING_UNUSED_XCVR_CHANNELS On +set_global_assignment -name AUTO_RESERVE_CLKUSR_FOR_CALIBRATION On +set_global_assignment -name DEVICE_INITIALIZATION_CLOCK INIT_INTOSC +set_global_assignment -name ENABLE_VREFA_PIN Off +set_global_assignment -name ENABLE_VREFB_PIN Off +set_global_assignment -name ALWAYS_ENABLE_INPUT_BUFFERS Off +set_global_assignment -name ENABLE_ASMI_FOR_FLASH_LOADER Off +set_global_assignment -name ENABLE_DEVICE_WIDE_RESET Off +set_global_assignment -name ENABLE_DEVICE_WIDE_OE Off +set_global_assignment -name RESERVE_ALL_UNUSED_PINS "As output driving ground" +set_global_assignment -name ENABLE_INIT_DONE_OUTPUT Off +set_global_assignment -name INIT_DONE_OPEN_DRAIN On +set_global_assignment -name RESERVE_NWS_NRS_NCS_CS_AFTER_CONFIGURATION "Use as regular IO" +set_global_assignment -name RESERVE_RDYNBUSY_AFTER_CONFIGURATION "Use as regular IO" +set_global_assignment -name RESERVE_DATA31_THROUGH_DATA16_AFTER_CONFIGURATION "Use as regular IO" +set_global_assignment -name RESERVE_DATA15_THROUGH_DATA8_AFTER_CONFIGURATION "Use as regular IO" +set_global_assignment -name RESERVE_DATA7_THROUGH_DATA1_AFTER_CONFIGURATION "Use as regular IO" +set_global_assignment -name RESERVE_DATA0_AFTER_CONFIGURATION "As input tri-stated" +set_global_assignment -name RESERVE_DATA1_AFTER_CONFIGURATION "As input tri-stated" +set_global_assignment -name RESERVE_DATA7_THROUGH_DATA2_AFTER_CONFIGURATION "Use as regular IO" +set_global_assignment -name RESERVE_DATA7_THROUGH_DATA5_AFTER_CONFIGURATION "Use as regular IO" +set_global_assignment -name RESERVE_FLASH_NCE_AFTER_CONFIGURATION "As input tri-stated" +set_global_assignment -name RESERVE_OTHER_AP_PINS_AFTER_CONFIGURATION "Use as regular IO" +set_global_assignment -name RESERVE_DCLK_AFTER_CONFIGURATION "Use as programming pin" +set_global_assignment -name ENABLE_CONFIGURATION_PINS On +set_global_assignment -name ENABLE_JTAG_PIN_SHARING Off +set_global_assignment -name ENABLE_NCE_PIN Off +set_global_assignment -name ENABLE_BOOT_SEL_PIN On +set_global_assignment -name CRC_ERROR_CHECKING Off +set_global_assignment -name INTERNAL_SCRUBBING Off +set_global_assignment -name PR_ERROR_OPEN_DRAIN On +set_global_assignment -name PR_READY_OPEN_DRAIN On +set_global_assignment -name ENABLE_CVP_CONFDONE Off +set_global_assignment -name CVP_CONFDONE_OPEN_DRAIN On +set_global_assignment -name ENABLE_NCONFIG_FROM_CORE On +set_global_assignment -name OPTIMIZE_HOLD_TIMING "All Paths" -family "Arria II GZ" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "All Paths" -family "Arria V" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "All Paths" -family "Cyclone 10 LP" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "All Paths" -family "MAX 10" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "All Paths" -family "Cyclone IV GX" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "All Paths" -family "Stratix IV" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "All Paths" -family "Cyclone IV E" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "All Paths" -family "Arria 10" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "IO Paths and Minimum TPD Paths" -family "MAX V" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "All Paths" -family "Stratix V" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "IO Paths and Minimum TPD Paths" -family "MAX II" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "All Paths" -family "Arria V GZ" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "All Paths" -family "Cyclone V" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "All Paths" -family "Arria II GX" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING On -family "Arria V" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING On -family "Cyclone 10 LP" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING On -family "MAX 10" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING On -family "Cyclone IV E" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING On -family "Stratix IV" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING On -family "Arria 10" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING Off -family "MAX V" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING On -family "Stratix V" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING On -family "Arria V GZ" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING Off -family "MAX II" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING On -family "Arria II GX" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING On -family "Arria II GZ" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING On -family "Cyclone IV GX" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING On -family "Cyclone V" +set_global_assignment -name BLOCK_RAM_TO_MLAB_CELL_CONVERSION On +set_global_assignment -name BLOCK_RAM_AND_MLAB_EQUIVALENT_POWER_UP_CONDITIONS Auto +set_global_assignment -name BLOCK_RAM_AND_MLAB_EQUIVALENT_PAUSED_READ_CAPABILITIES Care +set_global_assignment -name PROGRAMMABLE_POWER_TECHNOLOGY_SETTING Automatic -family "Stratix IV" +set_global_assignment -name PROGRAMMABLE_POWER_TECHNOLOGY_SETTING Automatic -family "Arria 10" +set_global_assignment -name PROGRAMMABLE_POWER_TECHNOLOGY_SETTING Automatic -family "Stratix V" +set_global_assignment -name PROGRAMMABLE_POWER_TECHNOLOGY_SETTING Automatic -family "Arria V GZ" +set_global_assignment -name PROGRAMMABLE_POWER_MAXIMUM_HIGH_SPEED_FRACTION_OF_USED_LAB_TILES 1.0 +set_global_assignment -name GUARANTEE_MIN_DELAY_CORNER_IO_ZERO_HOLD_TIME On +set_global_assignment -name OPTIMIZE_POWER_DURING_FITTING "Normal compilation" +set_global_assignment -name OPTIMIZE_SSN Off +set_global_assignment -name OPTIMIZE_TIMING "Normal compilation" +set_global_assignment -name ECO_OPTIMIZE_TIMING Off +set_global_assignment -name ECO_REGENERATE_REPORT Off +set_global_assignment -name OPTIMIZE_IOC_REGISTER_PLACEMENT_FOR_TIMING Normal +set_global_assignment -name FIT_ONLY_ONE_ATTEMPT Off +set_global_assignment -name FINAL_PLACEMENT_OPTIMIZATION Automatically +set_global_assignment -name FITTER_AGGRESSIVE_ROUTABILITY_OPTIMIZATION Automatically +set_global_assignment -name SEED 1 +set_global_assignment -name PERIPHERY_TO_CORE_PLACEMENT_AND_ROUTING_OPTIMIZATION OFF +set_global_assignment -name RESERVE_ROUTING_OUTPUT_FLEXIBILITY Off +set_global_assignment -name SLOW_SLEW_RATE Off +set_global_assignment -name PCI_IO Off +set_global_assignment -name TURBO_BIT On +set_global_assignment -name WEAK_PULL_UP_RESISTOR Off +set_global_assignment -name ENABLE_BUS_HOLD_CIRCUITRY Off +set_global_assignment -name AUTO_GLOBAL_MEMORY_CONTROLS Off +set_global_assignment -name MIGRATION_CONSTRAIN_CORE_RESOURCES On +set_global_assignment -name QII_AUTO_PACKED_REGISTERS Auto +set_global_assignment -name AUTO_PACKED_REGISTERS_MAX Auto +set_global_assignment -name NORMAL_LCELL_INSERT On +set_global_assignment -name CARRY_OUT_PINS_LCELL_INSERT On +set_global_assignment -name AUTO_DELAY_CHAINS On -family "Arria V" +set_global_assignment -name AUTO_DELAY_CHAINS On -family "Cyclone 10 LP" +set_global_assignment -name AUTO_DELAY_CHAINS On -family "MAX 10" +set_global_assignment -name AUTO_DELAY_CHAINS On -family "Stratix IV" +set_global_assignment -name AUTO_DELAY_CHAINS On -family "Cyclone IV E" +set_global_assignment -name AUTO_DELAY_CHAINS On -family "Arria 10" +set_global_assignment -name AUTO_DELAY_CHAINS On -family "MAX V" +set_global_assignment -name AUTO_DELAY_CHAINS On -family "Stratix V" +set_global_assignment -name AUTO_DELAY_CHAINS On -family "MAX II" +set_global_assignment -name AUTO_DELAY_CHAINS On -family "Arria V GZ" +set_global_assignment -name AUTO_DELAY_CHAINS On -family "Arria II GX" +set_global_assignment -name AUTO_DELAY_CHAINS On -family "Arria II GZ" +set_global_assignment -name AUTO_DELAY_CHAINS On -family "Cyclone IV GX" +set_global_assignment -name AUTO_DELAY_CHAINS On -family "Cyclone V" +set_global_assignment -name AUTO_DELAY_CHAINS_FOR_HIGH_FANOUT_INPUT_PINS OFF +set_global_assignment -name XSTL_INPUT_ALLOW_SE_BUFFER Off +set_global_assignment -name TREAT_BIDIR_AS_OUTPUT Off +set_global_assignment -name AUTO_TURBO_BIT ON +set_global_assignment -name PHYSICAL_SYNTHESIS_COMBO_LOGIC_FOR_AREA Off +set_global_assignment -name PHYSICAL_SYNTHESIS_COMBO_LOGIC Off +set_global_assignment -name PHYSICAL_SYNTHESIS_LOG_FILE Off +set_global_assignment -name PHYSICAL_SYNTHESIS_REGISTER_DUPLICATION Off +set_global_assignment -name PHYSICAL_SYNTHESIS_MAP_LOGIC_TO_MEMORY_FOR_AREA Off +set_global_assignment -name PHYSICAL_SYNTHESIS_REGISTER_RETIMING Off +set_global_assignment -name PHYSICAL_SYNTHESIS_ASYNCHRONOUS_SIGNAL_PIPELINING Off +set_global_assignment -name IO_PLACEMENT_OPTIMIZATION On +set_global_assignment -name ALLOW_LVTTL_LVCMOS_INPUT_LEVELS_TO_OVERDRIVE_INPUT_BUFFER Off +set_global_assignment -name OVERRIDE_DEFAULT_ELECTROMIGRATION_PARAMETERS Off +set_global_assignment -name FITTER_EFFORT "Auto Fit" +set_global_assignment -name FITTER_AUTO_EFFORT_DESIRED_SLACK_MARGIN 0ns +set_global_assignment -name PHYSICAL_SYNTHESIS_EFFORT Normal +set_global_assignment -name ROUTER_LCELL_INSERTION_AND_LOGIC_DUPLICATION Auto +set_global_assignment -name ROUTER_REGISTER_DUPLICATION Auto +set_global_assignment -name STRATIXGX_ALLOW_CLOCK_FANOUT_WITH_ANALOG_RESET Off +set_global_assignment -name AUTO_GLOBAL_CLOCK On +set_global_assignment -name AUTO_GLOBAL_OE On +set_global_assignment -name AUTO_GLOBAL_REGISTER_CONTROLS On +set_global_assignment -name FITTER_EARLY_TIMING_ESTIMATE_MODE Realistic +set_global_assignment -name STRATIXGX_ALLOW_GIGE_UNDER_FULL_DATARATE_RANGE Off +set_global_assignment -name STRATIXGX_ALLOW_RX_CORECLK_FROM_NON_RX_CLKOUT_SOURCE_IN_DOUBLE_DATA_WIDTH_MODE Off +set_global_assignment -name STRATIXGX_ALLOW_GIGE_IN_DOUBLE_DATA_WIDTH_MODE Off +set_global_assignment -name STRATIXGX_ALLOW_PARALLEL_LOOPBACK_IN_DOUBLE_DATA_WIDTH_MODE Off +set_global_assignment -name STRATIXGX_ALLOW_XAUI_IN_SINGLE_DATA_WIDTH_MODE Off +set_global_assignment -name STRATIXGX_ALLOW_XAUI_WITH_CORECLK_SELECTED_AT_RATE_MATCHER Off +set_global_assignment -name STRATIXGX_ALLOW_XAUI_WITH_RX_CORECLK_FROM_NON_TXPLL_SOURCE Off +set_global_assignment -name STRATIXGX_ALLOW_GIGE_WITH_CORECLK_SELECTED_AT_RATE_MATCHER Off +set_global_assignment -name STRATIXGX_ALLOW_GIGE_WITHOUT_8B10B Off +set_global_assignment -name STRATIXGX_ALLOW_GIGE_WITH_RX_CORECLK_FROM_NON_TXPLL_SOURCE Off +set_global_assignment -name STRATIXGX_ALLOW_POST8B10B_LOOPBACK Off +set_global_assignment -name STRATIXGX_ALLOW_REVERSE_PARALLEL_LOOPBACK Off +set_global_assignment -name STRATIXGX_ALLOW_USE_OF_GXB_COUPLED_IOS Off +set_global_assignment -name GENERATE_GXB_RECONFIG_MIF Off +set_global_assignment -name GENERATE_GXB_RECONFIG_MIF_WITH_PLL Off +set_global_assignment -name RESERVE_ALL_UNUSED_PINS_WEAK_PULLUP "As input tri-stated with weak pull-up" +set_global_assignment -name ENABLE_HOLD_BACK_OFF On +set_global_assignment -name CONFIGURATION_VCCIO_LEVEL Auto +set_global_assignment -name FORCE_CONFIGURATION_VCCIO Off +set_global_assignment -name SYNCHRONIZER_IDENTIFICATION Auto +set_global_assignment -name ENABLE_BENEFICIAL_SKEW_OPTIMIZATION On +set_global_assignment -name OPTIMIZE_FOR_METASTABILITY On +set_global_assignment -name CRC_ERROR_OPEN_DRAIN On -family "Arria V" +set_global_assignment -name CRC_ERROR_OPEN_DRAIN Off -family "Cyclone 10 LP" +set_global_assignment -name CRC_ERROR_OPEN_DRAIN Off -family "MAX 10" +set_global_assignment -name CRC_ERROR_OPEN_DRAIN Off -family "Cyclone IV E" +set_global_assignment -name CRC_ERROR_OPEN_DRAIN On -family "Arria 10" +set_global_assignment -name CRC_ERROR_OPEN_DRAIN On -family "Stratix V" +set_global_assignment -name CRC_ERROR_OPEN_DRAIN On -family "Arria V GZ" +set_global_assignment -name CRC_ERROR_OPEN_DRAIN On -family "Cyclone V" +set_global_assignment -name MAX_GLOBAL_CLOCKS_ALLOWED "-1 (Unlimited)" +set_global_assignment -name MAX_REGIONAL_CLOCKS_ALLOWED "-1 (Unlimited)" +set_global_assignment -name MAX_PERIPHERY_CLOCKS_ALLOWED "-1 (Unlimited)" +set_global_assignment -name MAX_CLOCKS_ALLOWED "-1 (Unlimited)" +set_global_assignment -name ACTIVE_SERIAL_CLOCK FREQ_100MHz -family "Arria 10" +set_global_assignment -name ACTIVE_SERIAL_CLOCK FREQ_100MHz -family "Arria V" +set_global_assignment -name ACTIVE_SERIAL_CLOCK FREQ_100MHz -family "Stratix V" +set_global_assignment -name ACTIVE_SERIAL_CLOCK FREQ_40MHz -family "Cyclone IV GX" +set_global_assignment -name ACTIVE_SERIAL_CLOCK FREQ_100MHz -family "Arria V GZ" +set_global_assignment -name ACTIVE_SERIAL_CLOCK FREQ_100MHz -family "Cyclone V" +set_global_assignment -name ACTIVE_SERIAL_CLOCK FREQ_40MHz -family "Arria II GX" +set_global_assignment -name M144K_BLOCK_READ_CLOCK_DUTY_CYCLE_DEPENDENCY Off +set_global_assignment -name STRATIXIII_MRAM_COMPATIBILITY On +set_global_assignment -name FORCE_FITTER_TO_AVOID_PERIPHERY_PLACEMENT_WARNINGS Off +set_global_assignment -name AUTO_C3_M9K_BIT_SKIP Off +set_global_assignment -name PR_DONE_OPEN_DRAIN On +set_global_assignment -name NCEO_OPEN_DRAIN On +set_global_assignment -name ENABLE_CRC_ERROR_PIN Off +set_global_assignment -name ENABLE_PR_PINS Off +set_global_assignment -name RESERVE_PR_PINS Off +set_global_assignment -name CONVERT_PR_WARNINGS_TO_ERRORS Off +set_global_assignment -name PR_PINS_OPEN_DRAIN Off +set_global_assignment -name CLAMPING_DIODE Off +set_global_assignment -name TRI_STATE_SPI_PINS Off +set_global_assignment -name UNUSED_TSD_PINS_GND Off +set_global_assignment -name IMPLEMENT_MLAB_IN_16_BIT_DEEP_MODE Off +set_global_assignment -name FORM_DDR_CLUSTERING_CLIQUE Off +set_global_assignment -name ALM_REGISTER_PACKING_EFFORT Medium +set_global_assignment -name ADVANCED_PHYSICAL_OPTIMIZATION On -family "Arria V" +set_global_assignment -name ADVANCED_PHYSICAL_OPTIMIZATION Off -family "Stratix IV" +set_global_assignment -name ADVANCED_PHYSICAL_OPTIMIZATION On -family "Arria 10" +set_global_assignment -name ADVANCED_PHYSICAL_OPTIMIZATION On -family "Stratix V" +set_global_assignment -name ADVANCED_PHYSICAL_OPTIMIZATION On -family "Arria V GZ" +set_global_assignment -name ADVANCED_PHYSICAL_OPTIMIZATION On -family "Cyclone V" +set_global_assignment -name RELATIVE_NEUTRON_FLUX 1.0 +set_global_assignment -name SEU_FIT_REPORT Off +set_global_assignment -name HYPER_RETIMER Off -family "Arria 10" +set_global_assignment -name HYPER_RETIMER_FAST_FORWARD_ADD_PIPELINING_MAX "-1" +set_global_assignment -name HYPER_RETIMER_FAST_FORWARD_ASYNCH_CLEAR Auto +set_global_assignment -name HYPER_RETIMER_FAST_FORWARD_USER_PRESERVE_RESTRICTION Auto +set_global_assignment -name HYPER_RETIMER_FAST_FORWARD_DSP_BLOCKS On +set_global_assignment -name HYPER_RETIMER_FAST_FORWARD_RAM_BLOCKS On +set_global_assignment -name EDA_SIMULATION_TOOL "" +set_global_assignment -name EDA_TIMING_ANALYSIS_TOOL "" +set_global_assignment -name EDA_BOARD_DESIGN_TIMING_TOOL "" +set_global_assignment -name EDA_BOARD_DESIGN_SYMBOL_TOOL "" +set_global_assignment -name EDA_BOARD_DESIGN_SIGNAL_INTEGRITY_TOOL "" +set_global_assignment -name EDA_BOARD_DESIGN_BOUNDARY_SCAN_TOOL "" +set_global_assignment -name EDA_BOARD_DESIGN_TOOL "" +set_global_assignment -name EDA_FORMAL_VERIFICATION_TOOL "" +set_global_assignment -name EDA_RESYNTHESIS_TOOL "" +set_global_assignment -name ON_CHIP_BITSTREAM_DECOMPRESSION On +set_global_assignment -name COMPRESSION_MODE Off +set_global_assignment -name CLOCK_SOURCE Internal +set_global_assignment -name CONFIGURATION_CLOCK_FREQUENCY "10 MHz" +set_global_assignment -name CONFIGURATION_CLOCK_DIVISOR 1 +set_global_assignment -name ENABLE_LOW_VOLTAGE_MODE_ON_CONFIG_DEVICE On +set_global_assignment -name FLEX6K_ENABLE_LOW_VOLTAGE_MODE_ON_CONFIG_DEVICE Off +set_global_assignment -name FLEX10K_ENABLE_LOW_VOLTAGE_MODE_ON_CONFIG_DEVICE On +set_global_assignment -name MAX7000S_JTAG_USER_CODE FFFF +set_global_assignment -name STRATIX_JTAG_USER_CODE FFFFFFFF +set_global_assignment -name APEX20K_JTAG_USER_CODE FFFFFFFF +set_global_assignment -name MERCURY_JTAG_USER_CODE FFFFFFFF +set_global_assignment -name FLEX10K_JTAG_USER_CODE 7F +set_global_assignment -name MAX7000_JTAG_USER_CODE FFFFFFFF +set_global_assignment -name MAX7000_USE_CHECKSUM_AS_USERCODE Off +set_global_assignment -name USE_CHECKSUM_AS_USERCODE On +set_global_assignment -name SECURITY_BIT Off +set_global_assignment -name USE_CONFIGURATION_DEVICE Off -family "Cyclone 10 LP" +set_global_assignment -name USE_CONFIGURATION_DEVICE On -family "MAX 10" +set_global_assignment -name USE_CONFIGURATION_DEVICE Off -family "Cyclone IV E" +set_global_assignment -name USE_CONFIGURATION_DEVICE Off -family "Stratix IV" +set_global_assignment -name USE_CONFIGURATION_DEVICE On -family "MAX V" +set_global_assignment -name USE_CONFIGURATION_DEVICE On -family "MAX II" +set_global_assignment -name USE_CONFIGURATION_DEVICE Off -family "Arria II GX" +set_global_assignment -name USE_CONFIGURATION_DEVICE Off -family "Arria II GZ" +set_global_assignment -name USE_CONFIGURATION_DEVICE Off -family "Cyclone IV GX" +set_global_assignment -name CYCLONEIII_CONFIGURATION_DEVICE Auto +set_global_assignment -name STRATIXII_CONFIGURATION_DEVICE Auto +set_global_assignment -name PWRMGT_SLAVE_DEVICE_TYPE "PV3102 or EM1130" +set_global_assignment -name PWRMGT_SLAVE_DEVICE0_ADDRESS 0000000 +set_global_assignment -name PWRMGT_SLAVE_DEVICE1_ADDRESS 0000000 +set_global_assignment -name PWRMGT_SLAVE_DEVICE2_ADDRESS 0000000 +set_global_assignment -name PWRMGT_SLAVE_DEVICE3_ADDRESS 0000000 +set_global_assignment -name PWRMGT_SLAVE_DEVICE4_ADDRESS 0000000 +set_global_assignment -name PWRMGT_SLAVE_DEVICE5_ADDRESS 0000000 +set_global_assignment -name PWRMGT_SLAVE_DEVICE6_ADDRESS 0000000 +set_global_assignment -name PWRMGT_SLAVE_DEVICE7_ADDRESS 0000000 +set_global_assignment -name PWRMGT_VOLTAGE_OUTPUT_FORMAT "Auto discovery" +set_global_assignment -name PWRMGT_DIRECT_FORMAT_COEFFICIENT_M 0 +set_global_assignment -name PWRMGT_DIRECT_FORMAT_COEFFICIENT_B 0 +set_global_assignment -name PWRMGT_DIRECT_FORMAT_COEFFICIENT_R 0 +set_global_assignment -name APEX20K_CONFIGURATION_DEVICE Auto +set_global_assignment -name MERCURY_CONFIGURATION_DEVICE Auto +set_global_assignment -name FLEX6K_CONFIGURATION_DEVICE Auto +set_global_assignment -name FLEX10K_CONFIGURATION_DEVICE Auto +set_global_assignment -name CYCLONE_CONFIGURATION_DEVICE Auto +set_global_assignment -name STRATIX_CONFIGURATION_DEVICE Auto +set_global_assignment -name APEX20K_CONFIG_DEVICE_JTAG_USER_CODE FFFFFFFF +set_global_assignment -name STRATIX_CONFIG_DEVICE_JTAG_USER_CODE FFFFFFFF +set_global_assignment -name MERCURY_CONFIG_DEVICE_JTAG_USER_CODE FFFFFFFF +set_global_assignment -name FLEX10K_CONFIG_DEVICE_JTAG_USER_CODE FFFFFFFF +set_global_assignment -name EPROM_USE_CHECKSUM_AS_USERCODE Off +set_global_assignment -name AUTO_INCREMENT_CONFIG_DEVICE_JTAG_USER_CODE On +set_global_assignment -name DISABLE_NCS_AND_OE_PULLUPS_ON_CONFIG_DEVICE Off +set_global_assignment -name GENERATE_TTF_FILE Off +set_global_assignment -name GENERATE_RBF_FILE Off +set_global_assignment -name GENERATE_HEX_FILE Off +set_global_assignment -name HEXOUT_FILE_START_ADDRESS 0 +set_global_assignment -name HEXOUT_FILE_COUNT_DIRECTION Up +set_global_assignment -name RESERVE_ALL_UNUSED_PINS_NO_OUTPUT_GND "As output driving an unspecified signal" +set_global_assignment -name RELEASE_CLEARS_BEFORE_TRI_STATES Off +set_global_assignment -name AUTO_RESTART_CONFIGURATION On +set_global_assignment -name HARDCOPYII_POWER_ON_EXTRA_DELAY Off +set_global_assignment -name STRATIXII_MRAM_COMPATIBILITY Off +set_global_assignment -name CYCLONEII_M4K_COMPATIBILITY On +set_global_assignment -name ENABLE_OCT_DONE Off -family "Arria V" +set_global_assignment -name ENABLE_OCT_DONE Off -family "Cyclone 10 LP" +set_global_assignment -name ENABLE_OCT_DONE On -family "MAX 10" +set_global_assignment -name ENABLE_OCT_DONE Off -family "Cyclone IV E" +set_global_assignment -name ENABLE_OCT_DONE Off -family "Arria 10" +set_global_assignment -name ENABLE_OCT_DONE Off -family "Stratix V" +set_global_assignment -name ENABLE_OCT_DONE Off -family "Arria V GZ" +set_global_assignment -name ENABLE_OCT_DONE Off -family "Arria II GX" +set_global_assignment -name ENABLE_OCT_DONE Off -family "Cyclone IV GX" +set_global_assignment -name ENABLE_OCT_DONE Off -family "Cyclone V" +set_global_assignment -name USE_CHECKERED_PATTERN_AS_UNINITIALIZED_RAM_CONTENT OFF +set_global_assignment -name ARRIAIIGX_RX_CDR_LOCKUP_FIX_OVERRIDE Off +set_global_assignment -name ENABLE_AUTONOMOUS_PCIE_HIP Off +set_global_assignment -name ENABLE_ADV_SEU_DETECTION Off +set_global_assignment -name POR_SCHEME "Instant ON" +set_global_assignment -name EN_USER_IO_WEAK_PULLUP On +set_global_assignment -name EN_SPI_IO_WEAK_PULLUP On +set_global_assignment -name POF_VERIFY_PROTECT Off +set_global_assignment -name ENABLE_SPI_MODE_CHECK Off +set_global_assignment -name FORCE_SSMCLK_TO_ISMCLK On +set_global_assignment -name FALLBACK_TO_EXTERNAL_FLASH Off +set_global_assignment -name EXTERNAL_FLASH_FALLBACK_ADDRESS 0 +set_global_assignment -name GENERATE_PMSF_FILES On +set_global_assignment -name START_TIME 0ns +set_global_assignment -name SIMULATION_MODE TIMING +set_global_assignment -name AUTO_USE_SIMULATION_PDB_NETLIST Off +set_global_assignment -name ADD_DEFAULT_PINS_TO_SIMULATION_OUTPUT_WAVEFORMS On +set_global_assignment -name SETUP_HOLD_DETECTION Off +set_global_assignment -name SETUP_HOLD_DETECTION_INPUT_REGISTERS_BIDIR_PINS_DISABLED Off +set_global_assignment -name CHECK_OUTPUTS Off +set_global_assignment -name SIMULATION_COVERAGE On +set_global_assignment -name SIMULATION_COMPLETE_COVERAGE_REPORT_PANEL On +set_global_assignment -name SIMULATION_MISSING_1_VALUE_COVERAGE_REPORT_PANEL On +set_global_assignment -name SIMULATION_MISSING_0_VALUE_COVERAGE_REPORT_PANEL On +set_global_assignment -name GLITCH_DETECTION Off +set_global_assignment -name GLITCH_INTERVAL 1ns +set_global_assignment -name SIMULATOR_GENERATE_SIGNAL_ACTIVITY_FILE Off +set_global_assignment -name SIMULATION_WITH_GLITCH_FILTERING_WHEN_GENERATING_SAF On +set_global_assignment -name SIMULATION_BUS_CHANNEL_GROUPING Off +set_global_assignment -name SIMULATION_VDB_RESULT_FLUSH On +set_global_assignment -name VECTOR_COMPARE_TRIGGER_MODE INPUT_EDGE +set_global_assignment -name SIMULATION_NETLIST_VIEWER Off +set_global_assignment -name SIMULATION_INTERCONNECT_DELAY_MODEL_TYPE TRANSPORT +set_global_assignment -name SIMULATION_CELL_DELAY_MODEL_TYPE TRANSPORT +set_global_assignment -name SIMULATOR_GENERATE_POWERPLAY_VCD_FILE Off +set_global_assignment -name SIMULATOR_PVT_TIMING_MODEL_TYPE AUTO +set_global_assignment -name SIMULATION_WITH_AUTO_GLITCH_FILTERING AUTO +set_global_assignment -name DRC_TOP_FANOUT 50 +set_global_assignment -name DRC_FANOUT_EXCEEDING 30 +set_global_assignment -name DRC_GATED_CLOCK_FEED 30 +set_global_assignment -name HARDCOPY_FLOW_AUTOMATION MIGRATION_ONLY +set_global_assignment -name ENABLE_DRC_SETTINGS Off +set_global_assignment -name CLK_RULE_CLKNET_CLKSPINES_THRESHOLD 25 +set_global_assignment -name DRC_DETAIL_MESSAGE_LIMIT 10 +set_global_assignment -name DRC_VIOLATION_MESSAGE_LIMIT 30 +set_global_assignment -name DRC_DEADLOCK_STATE_LIMIT 2 +set_global_assignment -name MERGE_HEX_FILE Off +set_global_assignment -name GENERATE_SVF_FILE Off +set_global_assignment -name GENERATE_ISC_FILE Off +set_global_assignment -name GENERATE_JAM_FILE Off +set_global_assignment -name GENERATE_JBC_FILE Off +set_global_assignment -name GENERATE_JBC_FILE_COMPRESSED On +set_global_assignment -name GENERATE_CONFIG_SVF_FILE Off +set_global_assignment -name GENERATE_CONFIG_ISC_FILE Off +set_global_assignment -name GENERATE_CONFIG_JAM_FILE Off +set_global_assignment -name GENERATE_CONFIG_JBC_FILE Off +set_global_assignment -name GENERATE_CONFIG_JBC_FILE_COMPRESSED On +set_global_assignment -name GENERATE_CONFIG_HEXOUT_FILE Off +set_global_assignment -name ISP_CLAMP_STATE_DEFAULT "Tri-state" +set_global_assignment -name HPS_EARLY_IO_RELEASE Off +set_global_assignment -name SIGNALPROBE_ALLOW_OVERUSE Off +set_global_assignment -name SIGNALPROBE_DURING_NORMAL_COMPILATION Off +set_global_assignment -name POWER_DEFAULT_TOGGLE_RATE 12.5% +set_global_assignment -name POWER_DEFAULT_INPUT_IO_TOGGLE_RATE 12.5% +set_global_assignment -name POWER_USE_PVA On +set_global_assignment -name POWER_USE_INPUT_FILE "No File" +set_global_assignment -name POWER_USE_INPUT_FILES Off +set_global_assignment -name POWER_VCD_FILTER_GLITCHES On +set_global_assignment -name POWER_REPORT_SIGNAL_ACTIVITY Off +set_global_assignment -name POWER_REPORT_POWER_DISSIPATION Off +set_global_assignment -name POWER_USE_DEVICE_CHARACTERISTICS TYPICAL +set_global_assignment -name POWER_AUTO_COMPUTE_TJ On +set_global_assignment -name POWER_TJ_VALUE 25 +set_global_assignment -name POWER_USE_TA_VALUE 25 +set_global_assignment -name POWER_USE_CUSTOM_COOLING_SOLUTION Off +set_global_assignment -name POWER_BOARD_TEMPERATURE 25 +set_global_assignment -name POWER_HPS_ENABLE Off +set_global_assignment -name POWER_HPS_PROC_FREQ 0.0 +set_global_assignment -name ENABLE_SMART_VOLTAGE_ID Off +set_global_assignment -name IGNORE_PARTITIONS Off +set_global_assignment -name AUTO_EXPORT_INCREMENTAL_COMPILATION Off +set_global_assignment -name RAPID_RECOMPILE_ASSIGNMENT_CHECKING On +set_global_assignment -name OUTPUT_IO_TIMING_ENDPOINT "Near End" +set_global_assignment -name RTLV_REMOVE_FANOUT_FREE_REGISTERS On +set_global_assignment -name RTLV_SIMPLIFIED_LOGIC On +set_global_assignment -name RTLV_GROUP_RELATED_NODES On +set_global_assignment -name RTLV_GROUP_COMB_LOGIC_IN_CLOUD Off +set_global_assignment -name RTLV_GROUP_COMB_LOGIC_IN_CLOUD_TMV Off +set_global_assignment -name RTLV_GROUP_RELATED_NODES_TMV On +set_global_assignment -name EQC_CONSTANT_DFF_DETECTION On +set_global_assignment -name EQC_DUPLICATE_DFF_DETECTION On +set_global_assignment -name EQC_BBOX_MERGE On +set_global_assignment -name EQC_LVDS_MERGE On +set_global_assignment -name EQC_RAM_UNMERGING On +set_global_assignment -name EQC_DFF_SS_EMULATION On +set_global_assignment -name EQC_RAM_REGISTER_UNPACK On +set_global_assignment -name EQC_MAC_REGISTER_UNPACK On +set_global_assignment -name EQC_SET_PARTITION_BB_TO_VCC_GND On +set_global_assignment -name EQC_STRUCTURE_MATCHING On +set_global_assignment -name EQC_AUTO_BREAK_CONE On +set_global_assignment -name EQC_POWER_UP_COMPARE Off +set_global_assignment -name EQC_AUTO_COMP_LOOP_CUT On +set_global_assignment -name EQC_AUTO_INVERSION On +set_global_assignment -name EQC_AUTO_TERMINATE On +set_global_assignment -name EQC_SUB_CONE_REPORT Off +set_global_assignment -name EQC_RENAMING_RULES On +set_global_assignment -name EQC_PARAMETER_CHECK On +set_global_assignment -name EQC_AUTO_PORTSWAP On +set_global_assignment -name EQC_DETECT_DONT_CARES On +set_global_assignment -name EQC_SHOW_ALL_MAPPED_POINTS Off +set_global_assignment -name EDA_INPUT_GND_NAME GND -section_id ? +set_global_assignment -name EDA_INPUT_VCC_NAME VCC -section_id ? +set_global_assignment -name EDA_INPUT_DATA_FORMAT NONE -section_id ? +set_global_assignment -name EDA_SHOW_LMF_MAPPING_MESSAGES Off -section_id ? +set_global_assignment -name EDA_RUN_TOOL_AUTOMATICALLY Off -section_id ? +set_global_assignment -name RESYNTHESIS_RETIMING FULL -section_id ? +set_global_assignment -name RESYNTHESIS_OPTIMIZATION_EFFORT Normal -section_id ? +set_global_assignment -name RESYNTHESIS_PHYSICAL_SYNTHESIS Normal -section_id ? +set_global_assignment -name USE_GENERATED_PHYSICAL_CONSTRAINTS On -section_id ? +set_global_assignment -name VCCPD_VOLTAGE 3.3V -section_id ? +set_global_assignment -name EDA_USER_COMPILED_SIMULATION_LIBRARY_DIRECTORY "" -section_id ? +set_global_assignment -name EDA_LAUNCH_CMD_LINE_TOOL Off -section_id ? +set_global_assignment -name EDA_ENABLE_IPUTF_MODE On -section_id ? +set_global_assignment -name EDA_NATIVELINK_PORTABLE_FILE_PATHS Off -section_id ? +set_global_assignment -name EDA_NATIVELINK_GENERATE_SCRIPT_ONLY Off -section_id ? +set_global_assignment -name EDA_WAIT_FOR_GUI_TOOL_COMPLETION Off -section_id ? +set_global_assignment -name EDA_TRUNCATE_LONG_HIERARCHY_PATHS Off -section_id ? +set_global_assignment -name EDA_FLATTEN_BUSES Off -section_id ? +set_global_assignment -name EDA_MAP_ILLEGAL_CHARACTERS Off -section_id ? +set_global_assignment -name EDA_GENERATE_TIMING_CLOSURE_DATA Off -section_id ? +set_global_assignment -name EDA_GENERATE_POWER_INPUT_FILE Off -section_id ? +set_global_assignment -name EDA_TEST_BENCH_ENABLE_STATUS NOT_USED -section_id ? +set_global_assignment -name EDA_RTL_SIM_MODE NOT_USED -section_id ? +set_global_assignment -name EDA_MAINTAIN_DESIGN_HIERARCHY OFF -section_id ? +set_global_assignment -name EDA_GENERATE_FUNCTIONAL_NETLIST On -section_id ? +set_global_assignment -name EDA_WRITE_DEVICE_CONTROL_PORTS Off -section_id ? +set_global_assignment -name EDA_SIMULATION_VCD_OUTPUT_TCL_FILE Off -section_id ? +set_global_assignment -name EDA_SIMULATION_VCD_OUTPUT_SIGNALS_TO_TCL_FILE "All Except Combinational Logic Element Outputs" -section_id ? +set_global_assignment -name EDA_ENABLE_GLITCH_FILTERING Off -section_id ? +set_global_assignment -name EDA_WRITE_NODES_FOR_POWER_ESTIMATION OFF -section_id ? +set_global_assignment -name EDA_SETUP_HOLD_DETECTION_INPUT_REGISTERS_BIDIR_PINS_DISABLED Off -section_id ? +set_global_assignment -name EDA_WRITER_DONT_WRITE_TOP_ENTITY Off -section_id ? +set_global_assignment -name EDA_VHDL_ARCH_NAME structure -section_id ? +set_global_assignment -name EDA_IBIS_MODEL_SELECTOR Off -section_id ? +set_global_assignment -name EDA_IBIS_EXTENDED_MODEL_SELECTOR Off -section_id ? +set_global_assignment -name EDA_IBIS_MUTUAL_COUPLING Off -section_id ? +set_global_assignment -name EDA_FORMAL_VERIFICATION_ALLOW_RETIMING Off -section_id ? +set_global_assignment -name EDA_BOARD_BOUNDARY_SCAN_OPERATION PRE_CONFIG -section_id ? +set_global_assignment -name EDA_GENERATE_RTL_SIMULATION_COMMAND_SCRIPT Off -section_id ? +set_global_assignment -name EDA_GENERATE_GATE_LEVEL_SIMULATION_COMMAND_SCRIPT Off -section_id ? +set_global_assignment -name EDA_IBIS_SPECIFICATION_VERSION 4p2 -section_id ? +set_global_assignment -name SIM_VECTOR_COMPARED_CLOCK_OFFSET 0ns -section_id ? +set_global_assignment -name SIM_VECTOR_COMPARED_CLOCK_DUTY_CYCLE 50 -section_id ? +set_global_assignment -name APEX20K_CLIQUE_TYPE LAB -section_id ? -entity ? +set_global_assignment -name MAX7K_CLIQUE_TYPE LAB -section_id ? -entity ? +set_global_assignment -name MERCURY_CLIQUE_TYPE LAB -section_id ? -entity ? +set_global_assignment -name FLEX6K_CLIQUE_TYPE LAB -section_id ? -entity ? +set_global_assignment -name FLEX10K_CLIQUE_TYPE LAB -section_id ? -entity ? +set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES On -section_id ? -entity ? +set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES Off -section_id ? -entity ? +set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST Off -section_id ? -entity ? +set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS On -section_id ? -entity ? +set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -section_id ? -entity ? +set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -section_id ? -entity ? +set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS On -section_id ? -entity ? +set_global_assignment -name ALLOW_MULTIPLE_PERSONAS Off -section_id ? -entity ? +set_global_assignment -name PARTITION_ASD_REGION_ID 1 -section_id ? -entity ? +set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS Off -section_id ? -entity ? +set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS On -section_id ? -entity ? +set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS On -section_id ? -entity ? +set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS On -section_id ? -entity ? +set_global_assignment -name MERGE_EQUIVALENT_INPUTS On -section_id ? -entity ? +set_global_assignment -name MERGE_EQUIVALENT_BIDIRS On -section_id ? -entity ? +set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS On -section_id ? -entity ? +set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION Off -section_id ? -entity ? diff --git a/peripherals/morse/tb.do b/peripherals/morse/tb.do new file mode 100644 index 00000000..caad4d00 --- /dev/null +++ b/peripherals/morse/tb.do @@ -0,0 +1,47 @@ +#------------------------------------------------------ +#-- testbench do Somador de n-bits +#-- atualmente configurado para 16bits +#-- Matheus Sandim Gon�alves +#-- Departamento de Eletr�nica, Florian�polis, IFSC +#------------------------------------------------------- + +#Cria biblioteca do projeto +vlib work + +#compila projeto: todos os aquivo. Ordem é importante +vcom MorseCode_Package.vhd MorseCodeBuzzer.vhd testbench.vhd + +#Simula (work é o diretorio, testbench é o nome da entity) +vsim -voptargs="+acc" -t ns work.testbench + +#Mosta forma de onda +view wave + +#Adiciona ondas específicas +# -radix: binary, hex, dec +# -label: nome da forma de onda +# Sem labels +add wave -radix uns /clk_tb +add wave -radix uns /rst_tb +add wave -radix uns /entrada_tb +add wave -radix uns /buzzer_tb +add wave -radix uns /ledt_tb +add wave -radix uns /led3t_tb +add wave -radix uns /ledf_tb +add wave -label estado -radix binary /final/STATE + + +#SINAIS INTERMEDIARIOS CASO NECESSITE +#add wave -label cod -radix binary /final/morse_code +#add wave -label TC -radix binary /final/count_T_TC +#add wave -label T -radix dec /final/count_T +#add wave -label morse_code -radix uns /final/morse_code +#add wave -label temp -radix dec /final/temp +#add wave -label counter -radix dec /final/counter +#add wave -label tone -radix dec /final/tone + +#Simula até um 50000ns +run 50000ns + +wave zoomfull +write wave wave.ps diff --git a/peripherals/morse/testbench.vhd b/peripherals/morse/testbench.vhd new file mode 100644 index 00000000..b093d6b5 --- /dev/null +++ b/peripherals/morse/testbench.vhd @@ -0,0 +1,67 @@ +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; + +entity testbench is +end entity testbench; + +architecture RTL of testbench is -- sinais do testbench + signal clk_tb: std_logic; + signal rst_tb: std_logic; + signal entrada_tb:integer; + signal buzzer_tb: std_logic; + signal ledt_tb : std_logic; + signal led3t_tb : std_logic; + signal ledf_tb : std_logic; +begin + final: entity work.MorseCodeBuzzer -- relaciona os sinais do testbench com as entradas e saídas do arq. principal + port map( + clk => clk_tb, + rst => rst_tb, + entrada => entrada_tb, + ledt => ledt_tb, + led3t => led3t_tb, + ledf => ledf_tb, + buzzer => buzzer_tb + ); + process + begin-- faz o processo do clock 1 nseg em cada nivel logico + clk_tb <= '0'; + wait for 1 ns; + clk_tb <= '1'; + wait for 1 ns; + end process; + + process + begin + rst_tb<= '1';-- reset + wait for 1 ns; + rst_tb<= '0'; + wait for 20000 ns; + end process; + + process + begin + entrada_tb<= 4; --entra valor de 4 + wait for 500 ns; + entrada_tb<= 1; --entra valor de 1 + wait for 500 ns; + entrada_tb<= 2; --entra valor de 2 + wait for 500 ns; + entrada_tb<= 3; --entra valor de 3 + wait for 500 ns; + entrada_tb<= 4; --entra valor de 4 + wait for 500 ns; + entrada_tb<= 5; --entra valor de 5 + wait for 500 ns; + entrada_tb<= 6; --entra valor de 6 + wait for 500 ns; + entrada_tb<= 7; --entra valor de 7 + wait for 500 ns; + entrada_tb<= 8; --entra valor de 8 + wait for 500 ns; + entrada_tb<= 9; --entra valor de 9 + wait for 500 ns; + + end process; +end architecture RTL;