From 925ea7ee00e728338d583b3561d4f96fde42365c Mon Sep 17 00:00:00 2001 From: EmmanuelRG <88853327+EmmanuelRGuesser@users.noreply.github.com> Date: Tue, 10 Sep 2024 19:04:54 -0300 Subject: [PATCH] Added i2s peripheral (#77) * added i2s peripheral * Update README.md --- peripherals/I2S/README.md | 70 ++ peripherals/I2S/i2s.vhd | 134 +++ peripherals/I2S/i2s_testbench.vhd | 92 ++ peripherals/I2S/imgs/1Khz_test.png | Bin 0 -> 231566 bytes peripherals/I2S/imgs/audio_test.png | Bin 0 -> 240201 bytes peripherals/I2S/imgs/interface_timing.png | Bin 0 -> 22592 bytes peripherals/I2S/imgs/memoria.png | Bin 0 -> 61398 bytes peripherals/I2S/men_cycle.vhd | 49 ++ peripherals/I2S/sint/de10_lite.ipregen.rpt | 56 ++ peripherals/I2S/sint/de10_lite.qpf | 30 + peripherals/I2S/sint/de10_lite.qsf | 362 ++++++++ peripherals/I2S/sint/de10_lite.qws | Bin 0 -> 2379 bytes peripherals/I2S/sint/de10_lite.sdc | 86 ++ peripherals/I2S/sint/de10_lite.vhd | 134 +++ .../sint/de10_lite_assignment_defaults.qdf | 806 ++++++++++++++++++ peripherals/I2S/sint/pll.ppf | 9 + peripherals/I2S/sint/pll.qip | 6 + peripherals/I2S/sint/pll.vhd | 355 ++++++++ peripherals/I2S/sint/probe.qsys | 69 ++ peripherals/I2S/sint/probe.sopcinfo | 256 ++++++ peripherals/I2S/sint/probe/probe.bsf | 49 ++ peripherals/I2S/sint/probe/probe.cmp | 6 + peripherals/I2S/sint/probe/probe.html | 177 ++++ peripherals/I2S/sint/probe/probe.ppf | 10 + peripherals/I2S/sint/probe/probe.xml | 128 +++ peripherals/I2S/sint/probe/probe_bb.v | 6 + .../I2S/sint/probe/probe_generation.rpt | 28 + .../sint/probe/probe_generation_previous.rpt | 28 + peripherals/I2S/sint/probe/probe_inst.v | 4 + peripherals/I2S/sint/probe/probe_inst.vhd | 11 + .../I2S/sint/probe/synthesis/probe.debuginfo | 338 ++++++++ .../I2S/sint/probe/synthesis/probe.qip | 42 + .../I2S/sint/probe/synthesis/probe.vhd | 45 + .../submodules/altsource_probe_top.v | 57 ++ peripherals/I2S/sint/ram.qip | 8 + peripherals/I2S/sint/ram.vhd | 157 ++++ peripherals/I2S/tb.do | 35 + 37 files changed, 3643 insertions(+) create mode 100644 peripherals/I2S/README.md create mode 100644 peripherals/I2S/i2s.vhd create mode 100644 peripherals/I2S/i2s_testbench.vhd create mode 100644 peripherals/I2S/imgs/1Khz_test.png create mode 100644 peripherals/I2S/imgs/audio_test.png create mode 100644 peripherals/I2S/imgs/interface_timing.png create mode 100644 peripherals/I2S/imgs/memoria.png create mode 100644 peripherals/I2S/men_cycle.vhd create mode 100644 peripherals/I2S/sint/de10_lite.ipregen.rpt create mode 100644 peripherals/I2S/sint/de10_lite.qpf create mode 100644 peripherals/I2S/sint/de10_lite.qsf create mode 100644 peripherals/I2S/sint/de10_lite.qws create mode 100644 peripherals/I2S/sint/de10_lite.sdc create mode 100644 peripherals/I2S/sint/de10_lite.vhd create mode 100644 peripherals/I2S/sint/de10_lite_assignment_defaults.qdf create mode 100644 peripherals/I2S/sint/pll.ppf create mode 100644 peripherals/I2S/sint/pll.qip create mode 100644 peripherals/I2S/sint/pll.vhd create mode 100644 peripherals/I2S/sint/probe.qsys create mode 100644 peripherals/I2S/sint/probe.sopcinfo create mode 100644 peripherals/I2S/sint/probe/probe.bsf create mode 100644 peripherals/I2S/sint/probe/probe.cmp create mode 100644 peripherals/I2S/sint/probe/probe.html create mode 100644 peripherals/I2S/sint/probe/probe.ppf create mode 100644 peripherals/I2S/sint/probe/probe.xml create mode 100644 peripherals/I2S/sint/probe/probe_bb.v create mode 100644 peripherals/I2S/sint/probe/probe_generation.rpt create mode 100644 peripherals/I2S/sint/probe/probe_generation_previous.rpt create mode 100644 peripherals/I2S/sint/probe/probe_inst.v create mode 100644 peripherals/I2S/sint/probe/probe_inst.vhd create mode 100644 peripherals/I2S/sint/probe/synthesis/probe.debuginfo create mode 100644 peripherals/I2S/sint/probe/synthesis/probe.qip create mode 100644 peripherals/I2S/sint/probe/synthesis/probe.vhd create mode 100644 peripherals/I2S/sint/probe/synthesis/submodules/altsource_probe_top.v create mode 100644 peripherals/I2S/sint/ram.qip create mode 100644 peripherals/I2S/sint/ram.vhd create mode 100644 peripherals/I2S/tb.do diff --git a/peripherals/I2S/README.md b/peripherals/I2S/README.md new file mode 100644 index 00000000..91a41392 --- /dev/null +++ b/peripherals/I2S/README.md @@ -0,0 +1,70 @@ +# Protocolo de comunicação I2S + +O protocolo I²S (ou I2S, como também é conhecido) é uma interface serial utilizada para transmitir áudio digital de dois canais em formato de modulação por código de pulso (PCM) entre componentes de circuitos integrados em dispositivos eletrônicos. + +### O que é o I²S? + +- O I²S (Inter-Integrated Circuit Sound) é um protocolo de comunicação serial síncrono. Ele foi introduzido em 1986 pela Philips Semiconductor (agora conhecida como NXP Semiconductors) e tem sido amplamente utilizado em dispositivos de áudio e sistemas embarcados. +- A principal finalidade do I²S é transmitir dados de áudio digital entre componentes, como microcontroladores, processadores de sinal digital (DSPs), conversores analógico-digital (ADCs) e conversores digital-analógico (DACs). + +### Como funciona o I²S? +O barramento I²S utiliza três linhas principais: +- Serial Clock (SCK): Também conhecido como bit clock (BCLK), é responsável por sincronizar a transmissão dos dados. +- Word Select (WS): Também chamado de left-right clock (LRCLK) ou frame sync (FS), indica qual canal (esquerdo ou direito) está sendo transmitido. O WS tem uma frequência igual à taxa de amostragem. +- Serial Data (SD): Transmite os dados de áudio. + +![alt text](imgs/interface_timing.png) + +### Funcionamento + +Para o funcionamento do periférico deve se atentar que: +- O I2S desenvolvido possui uma resolução de 32 bits e como o microfone usado nos testes era de 24 bits foi necessário ajuste na leitura (processo ready em i2s.vhd), então ele deve ser ajustado conforme o mic usado para garantir a leitura correta. + +- A taxa de amostragem é definida por $ clk/64 $, nos testes foi usado um clk de 1Mhz resultando em uma taxa de amostragem de 15625Hz. Caso for utilizar um clk menor, o áudio captado poderá ser prejudicado, visto que devemos ter uma taxa de amostragem de pelo menos o dobro da maior frequência que será captada para garantir a integridade do sinal. + +- Para o funcionamento também é necessário ter nível lógico alto no pino de enable. + +- O áudio captado é escrito na memória desenvolvidada, ela escreve de maneira circular, ou seja, sempre o dado será escrito sob o antigo, ela suporta 16384 palavras de 32 bits, logo é salvo aproximamente 1 segundo. Também deve ser resaltado que é gravado somente o dado do microfone direito, pois somente ele esta transmitindo nos testes. + +### Gravando um áudio + +Foi utilizado kit DE10-Lite, baseado na FPGA MAX10 para gravar um áudio, deve-se conectar o mic do seguinte modo: + + ARDUINO_IO(0) <= sck; + ARDUINO_IO(2) <= ws; + ARDUINO_IO(4) <= sd; + +Com a hardware já em funcionamento use o In-System Memory Contet Editor para ler a memória e exportar o áudio, verá algo semelhate a isso: + +![alt text](imgs/memoria.png) + +Como o áudio é exportado em .hex e a parte significativa do áudio são os 24 bits menos significativos, iremos converter à inteiro sinalizado com um ganho, dessa forma podemos ouvir o áudio gravado. Para isso foi usado um script python para fazer a conversão. + + # Lê o arquivo original + with open('audio.hex', 'r') as arquivo_original: + linhas = arquivo_original.readlines() + + # Extrai os caracteres de cada linha + ultimos_caracteres = [linha.strip()[-10:-2] for linha in linhas] + + # Cria um novo arquivo para salvar os caracteres + with open('audio.txt', 'w') as novo_arquivo: + for caracteres in ultimos_caracteres: + inteiro = int(caracteres,16) + if inteiro &(1 << (31)): + inteiro -= 1 << 32 + novo_arquivo.write(str(inteiro*256) + '\n') + +Para a análise da gravação foi utilizado o Ocenaudio. +Abaixo tem o espectro do áudio gravado quando é emitido um som na frequência de 1KHz. + +![alt text](imgs/1Khz_test.png) + +Testando o microfone com voz se tem o seguinte resultado: + +![alt text](imgs/audio_test.png) + +### Pendências + +- Integrar com o softcore; +- Ajustar os timings do sinal de WS e gravação na memória. diff --git a/peripherals/I2S/i2s.vhd b/peripherals/I2S/i2s.vhd new file mode 100644 index 00000000..277269dc --- /dev/null +++ b/peripherals/I2S/i2s.vhd @@ -0,0 +1,134 @@ +------------------------------------------------------------------- +-- Name : i2s.vhd +-- Author : Emmanuel Reitz Guesser +-- Version : 0.1 +-- Copyright : Departamento de Eletrônica, Florianópolis, IFSC +-- Description : Este projeto descreve o funcionamento da comunicação i2s entre a FPGA e um microfone. +------------------------------------------------------------------- +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; + +entity I2S is + + Port ( + clk : in std_logic; + sck : out std_logic; + rst : in std_logic; + ws : out std_logic; + sd : in std_logic; + enable : in std_logic; + left_channel : out std_logic_vector(31 downto 0) := (others => '0'); + right_channel : out std_logic_vector(31 downto 0) := (others => '0') + ); +end I2S; + +architecture RTL of I2S is + type state_type is (IDLE, LEFT, RIGHT); + signal state : state_type := IDLE; + signal bit_count : integer range 0 to 31 := 0; + signal right_data : std_logic_vector(31 downto 0); + signal left_data : std_logic_vector(31 downto 0); + +begin + + -- Processo que realiza a transição de estados da maquina e um contador que sera usado + -- para direcionar os bits de entrada no bufer, ele é sincronizado por borda + -- de descida porque envio do dado do mic é em borda de descida. + state_transition : process(clk, rst) + begin + if rst = '1' then + state <= IDLE; + bit_count <= 31; + + elsif falling_edge(clk) then + case state is + when IDLE => + if enable = '1' then + state <= LEFT; + end if; + + when LEFT => + if enable = '0' then + state <= IDLE; + elsif bit_count = 0 then + bit_count <= 31; + state <= RIGHT; + else + bit_count <= bit_count - 1; + end if; + + when RIGHT => + if enable = '0' then + state <= IDLE; + elsif bit_count = 0 then + bit_count <= 31; + state <= LEFT; + else + bit_count <= bit_count - 1; + end if; + end case; + end if; + end process state_transition; + + -- Processo que envia a sinal de "word select" e o clock que sincroniza o envio de dados do mic. + outs : process(state, clk) is + begin + case state is + when IDLE => + ws <= '0'; + sck <= '1'; + when LEFT => + ws <= '0'; + sck <= clk; + when RIGHT => + ws <= '1'; + sck <= clk; + end case; + end process outs; + + -- Processo que armazena o dado enviado pelo mic em um bufer. Rescebendo os 32 bits, ele armazena os dados do bufer, como a resolução + -- do mic usado é 24 bits os ultimos 8 bits enviados são lixo, então ele descarta e completa o registrador de 32 bits com o MSB enviado + -- para manter a sinalização do dado. Devido ao atraso do envio de 1 ciclo apos o sinal de ws ignorasse o primeiro bit do buffer. + -- A leitura é sincroniza por borda de subida, para que haja menos interferencia da transição de bit. + ready : process (clk, rst) is + begin + if rst = '1' then + right_data <= (others => '0'); + left_data <= (others => '0'); + left_channel <= (others => '0'); + right_channel <= (others => '0'); + + elsif rising_edge(clk) then + case state is + when IDLE => + left_data <= (others => '0'); + right_data <= (others => '0'); + + when LEFT => + left_data(bit_count) <= sd; + if bit_count = 0 then + left_channel(23 downto 0) <= left_data(30 downto 7); + if left_data(30) = '1' then + left_channel(31 downto 24) <= (others => '1'); + else + left_channel(31 downto 24) <= (others => '0'); + end if; + right_data <= (others => '0'); + end if; + + when RIGHT => + right_data(bit_count) <= sd; + if bit_count = 0 then + right_channel(23 downto 0) <= right_data(30 downto 7); + if right_data(30) = '1' then + right_channel(31 downto 24) <= (others => '1'); + else + right_channel(31 downto 24) <= (others => '0'); + end if; + left_data <= (others => '0'); + end if; + end case; + end if; + end process ready; + +end RTL; \ No newline at end of file diff --git a/peripherals/I2S/i2s_testbench.vhd b/peripherals/I2S/i2s_testbench.vhd new file mode 100644 index 00000000..5ba49afe --- /dev/null +++ b/peripherals/I2S/i2s_testbench.vhd @@ -0,0 +1,92 @@ +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; + +entity test_bench is +end test_bench; + +architecture Test of test_bench is + signal clk_tb : std_logic; + signal sck_tb : std_logic; + signal rst_tb : std_logic; + signal ws_tb : std_logic; + signal sd_tb : std_logic; + signal enable_tb : std_logic; + signal left_channel_tb : std_logic_vector(31 downto 0); + signal right_channel_tb : std_logic_vector(31 downto 0); + signal q_tb: std_logic_vector(31 downto 0); + + + begin + I2S_inst : entity work.I2S + port map( + clk => clk_tb, + sck => sck_tb, + rst => rst_tb, + ws => ws_tb, + sd => sd_tb, + enable => enable_tb, + left_channel => left_channel_tb, + right_channel => right_channel_tb + ); + + men_cycle_inst : entity work.men_cycle + port map( + clk => clk_tb, + rst => rst_tb, + data => right_channel_tb, + wren => ws_tb, + q => q_tb + ); + + clock_driver : process + constant period : time := 10 ns; + begin + clk_tb <= '1'; + wait for period / 2; + clk_tb <= '0'; + wait for period / 2; + end process clock_driver; + + rst : process is + begin + rst_tb <= '1'; + wait for 2 ns; + rst_tb <= '0'; + wait; + end process rst; + + sign : process is ------- + constant data_1 : std_logic_vector(31 downto 0) := "00110001010010101100100110011010"; + constant data_2 : std_logic_vector(31 downto 0) := "01000100011100001111001000101101"; + variable i : integer; + + begin + enable_tb <= '1'; + sd_tb <= '0'; + + i := 31; + while i /= -1 loop + wait until sck_tb = '0'; + sd_tb <= data_1(i); + i := i - 1; + end loop; + + i := 31; + while i /= -1 loop + wait until sck_tb = '0'; + sd_tb <= data_2(i); + i := i - 1; + end loop; + + i := 31; + while i /= -1 loop + wait until sck_tb = '0'; + sd_tb <= data_1(i); + i := i - 1; + end loop; + + + end process sign; + +end architecture Test; diff --git a/peripherals/I2S/imgs/1Khz_test.png b/peripherals/I2S/imgs/1Khz_test.png new file mode 100644 index 0000000000000000000000000000000000000000..77430d92fe73de192e0adcb4c9b644d227c1e55b GIT binary patch literal 231566 zcmb@t2UJsCw=S$GT|hvZ^d=(Bg7l)GRHZj*0qG^6(hMO)q>1z{N|mOxP!k|P02Kt3 z4uJ%S2uKN`1PCPoF7Nri_dREfd+t5||Bru-k&&^>T6^y~pEdVh&wOT_iIFZ7!&QcJ z=gu+d>pd_#caBQz+`01)=xEONaBYes&i>8^nCWVrs~O~5J=?hGasToCbLZ-k87a=x zXWR78^qvHqJIDOxuiyDz|4**x&YhO(Ke%u4(sBKm_MIC#NZ`%M@*ln%%M~?iGb=jY zBDW*hKG%t3uPogncrXryGcVi=bAfP{@O;0sU@V};DVbO$ED3g(M)CCD@mGR7*+ewz z83@t8)=T75v9cZv3P@T$_Q8Su@>(78&V59lUl(k4*e~IqbOcvJ2@U%lJzu{@(Z7b8 zD)3&<9(eImdw%E8&!B@UZ$B|QYdIV5@1&lz8AE(1B%iT=4)AaKwbkg&Q79} z0Avr;Tj*}`i79zyQad(f2C_f9LfF)97_s2;6XP@LecWeVxYJQLOzhYm20~o6o&E68 zeK0Ea(X~iV`M0R;9Zg)v(VP}yugTfXg$Zx~JLw`vrck~bQ!e)O_KtYUk4N*;mydzW zi5x3!{?d(Y{%V1CIGeC{*dxX81;rGja@P27Tk)uactYi-OrRZrcd$S+xd4&+)h_kj zdZ?TE^(%gB&u~9q4U&7b2FN|yh`q#bu`Sg@`RbJvy_?)$i?m}g);PNrct>#9sv)4Y zR9BCWfV%qcrpWX@#q(cnCeTysW6^)K=VL|maWVhVdLGAK6Z`vQ{{QCWZQ(9-2kGu! z3&-DmdSWluv-DNBa>f1MdlvnVmkHA=%s%%1`}S7c{<&@ISO3${z;__JWXK-9+ICb} z$J<^3?}E*4?c^u>jhX-m_Z@;ywxKpV8`HlUuO4^)%Y@Tl7aEq+Zc(L{BQXKUu4&lP zIGvG3JsJYcJ$l<}3<<~M-&sn?6~z2=Y3p9?f?f|@tL;TaJy~~;?QzBYuQ!Z-pBQrY zUFy-b#VvMBmIsBE$|uCd(VK6~1IF<|7B@Kdek4Yr@$Hg_41sfsoVIg{0tJYV9Te%h9Cr{BM$@u|we}!asie_*FE7aQvnwwdp7j$_;@0j+DNDX2yFss^a7|2oty`1(0jcWX%w%9EUs+nt`g5hQq% zG(D*f2w!aI{4BA(!d2yl$~M+E_MQOYOgurjmuJ(a?%*b(DSte4Vatfvfhn2%z@+}a z&yzFE1IQTk__9^hzu>XSwhg89krbH{?Rbg(f2<(D;{TXZJ;+z{AzyADq6xkQBg4Zl zC5EFOXlrXPICS3EqKX9MVsgDb+jv(5O3v)LE$ehJlT!LN#_)qVQ)0-4*~q^vHb*@! z#d}x@nD+E+ld``f+>)hl7y2|iCZ;ErX)QCcQ?l{m*6A^M9FQ22#PUIIq@y8PijB=s zFDb?P;W;8&3vn(l(wSe}v(1H~q_T*7tj$ZE81kA^_*Mo1Kh^)n*kPSGcPmtuyfMORFhRiF=@uir;@H^+*kaD9I9c%f(Ec>Pf| z^P%4*6GH&5MbtC1tJ#gOuX-x~eXRqHhOn4?xU6okjtZ_+0P@Za_|o3nDCy3If1c1f zv6;2LC6yTR^}-sgR24jLur!UwWNttK8`^9~jEK*u81#g*-z4B}T>MoRYwlBR+LsZh z2wlB7V5B1~1?h~k?f?ul%nnhMwjIknWK`P(V#DvmWXefgSWTIw`NTUr6f9_WisaLQ z?41C7nYb#_yPSF#uVu*|2B+mOX8k|)4e7y2vhBJjVMc<)X;+~dBuZS26N8jtrjZ7y8 z#FehlxlpSYwJKgAwxoqgx}-Y1vgMrA^>;x9CAeE16#|_al(Qy0V>m52 zW7-vhl1+@2;j)Kw9ZCgJ#nTEFI%tJ@K+( zwiin3>>uzOhQ(x=daBE%_xLtLv;EQQ_Wn_Q zlnly`XSyKuh_UK6=0e8C2}n6m^>cf_YwU6JL<|M?_|--0RzSyQXgFY~<|qh&y4s4L z8l}ybc+N3Rzup57s`Qn%Tcz71*vrzAgDPj4pi)oa#O7QLyW=l60t`Ju*0n?I3_{Vi z`5P#3kt&2S%YN}mrQ9^oIOKiaLa+%Q{H)-pGBBC7_CSPV>$Wo(Hzn0vUk;q6Mat64 zW}`TA12J8p9Y99XYc-$M$i38~%`hCO!wwO~VzdLG(N@rUiqJG;HdP+zKpg29b{Ju# z4yDipC^cssyB^OR%hW@?D+}IGgiv1RRf9Cs%dBalqingQHwVupW7{n*3iZ+?M_P!1 z7wAZ9SJM3P`FS)cM>X8}zf)udlbFp!1|EH{EAanOqkpE9w8?^4qZSx5E_o#HD7-<)rg*`m|}Mi&qPrpV;!h&@H%_N4)dc zFx(1cd05)8jSMR>x4o=8d`k=%DO-!yb>bfY+!C|mk=A{RK3}PRu^JkZn@SA^?W@28 z?F)~t4EQ${h->1*OHsbYch{z3)WA&?=_&dTD)37cl-^bwqB$CKyT0G4RAMjNMRLLD z*v_bHPE(Gy@>pEGa{YyG=I5Z98_9)x=sypt6@1h0;9CZRGQ*J?gnI1Q4rToQp7k2S z{3LIA|8-_;r=zZyPkf5}TVKI7)K^+e1{N#te-44ecZ) zDw2M!028e~iGAH@+A9(*4#xKHSQYs8C7f=*H$WUG>{&bYS)Oe47cAB3NUMOp2eeJs zYtDMABa2xkb)Alz*5qpOS(eNZrK)N9vnP!d*&T#V0)`;%No}IqQIlR!`1rR9`~Xrb z4Y8)*ugLgf82fz4mHg(i@IzbXdmH9d9HLIjfr^=BkgBNR6S_rMJL`k<($VJoQ&;ct z!!?7IzOz;DSkcyAH7gZm@HST?@1)h33C#IJ=llw*chCGM@Iv;t`Eu26NI$LuRXR*X zzKajl)vzjW-0)J>EdA8xKI^ZUmHh3E>K;(F$_P?QiCP-2CNfCB4(i+aSVcI}4+pdA z`Di@U?I55?Dz?NcHc;xU^P7I=dj?U<(n^>w#Z;LRWAIU~PjK&np&KwuD5F*Rl{ca* zD=dleofXL?;<)Nv2T{{K>$^;vRG^M@sH$$(B6A`vxxNkpM8x3qP6(K!c1DXuk|;0w)He7gOFVt-(irpN=j8heU zY?OoTO-q72(Cu^l=o_DKo6t`8)=lo>B%n)t-|z{xcda!Hxb1Sgm-3Gtbh zjTjksd?M|>G3wV(t5V8)rh0*v5E^U${nmhwzg>&rNd+rieuG}JNNU>+P>Abf7GC_Q zZ?~G2F*A0&&cR*Wy4yheUm@*~2KhS&k%B*r05y7-8zKXz);VPs+-ip+S1wC;ht7%Iv}&oMEVk~k z+N|0Tn@%wJ>-)CE^%)7!C;y9MO&E)c5*@T}kon@IH&kl^m(@|yVm?R$RGt8*R7)+x zGr2Y!=4cuDj;mkT94&jF0v&)|;SNHeU_@+Zs5^{UL8_&z$-o6WxO&T>`0QS=e_H0b zXtQDjP{(B!xMfuTg&xQf;ZSD41TWL(r#u#3<)fL3VQc z4=ON3+6HGC>HvGXZc^_9Q6#Q3xG?zW(4UCo+aeZ2M*=R2DOrvr?XWi#W-(GO>7~Mzt;AqixreP-5a`{jEA8+P`_&?H>Tydj| zyI22WZ~E$!42+plxXP;Gbcw(NkJq-U5W^hmEG@%UV$x9f<61inVwmCQiTz;5Sz@Cg zK@hfKs5NeWQX4# z8tBulwFVKQ?q&WLoHXX+MsORu6Fal}h{XB{$1Dx0H#_ySuw58rHZ8UDhIR;uT4s6V zHMf$g#o_dvncYbH1|0F{PoU6J;~vNmJ_%5k>6vT2A2?f)O&zbtu&9irSR9|hOl!9nEfwQEDsOno>O%;tw&5u%;P>|jT~%&!2A8)2sy2H!GeYk z7+Q);yC0VxCfFgf9z!-%lx-<+YZ62ViO*h0Qyg%c7AU`AT}dG=msXGSbq1?sC0k@IrM2>Cm^tjSj$T_V%>nFjALeyap-O5S))yzz@2WY+ zNYbg5nsGeyzG14&CnIO6FZ3AS^Eci_*W?&1m=(F8vYi0LhGifCH|5Poo1f; z)`><_HD@8C*Q}qUZsiJFlvL6FLf9U+od~L4@ z4U^Jj%nMv>CBWK~(qhGp@vVy^_pLuv5aBy!OIU43%xRj6Yd0b6Q>2_fyAN1YJNL`9=Tz=vU?Z|x|o$9&VQ>?7W)T{`fMEBQa-0&=lQm;B^kj?a$N?rXJFP+d%zVCHPa_P^dFjpVf(VOH$!OvRSsX~N>z+%l*r||N3=iL5 z_y1}B{339v0n?x!`+(o@5DP31iKQsT>Vi8wwS4cJ+WIz9Pp1fmFjShddvQ%^o8 zJf+(3>PIl`wPEQ`XBXh$B|p3;E$PlAznP;K0DQdErcN!j$=?X;FSvGE_fV+mP;4e$ zJukN8gh~nmeou5*8agsKlo{t7duIF#pockRz zByx}*tI|tSBb`O+Wm|Lz5i51Pv218Obb~*w^~T|Fkrc$Q+*A`ujV=n`b66GJG$*D< zVQ#a1FWA_%tF571$A#cf=!Wdv1$uBnHt`KJmi+2CXS88w%M^_qn~g}g1pnULIfts+ z6~7OL))yngvNO=kEkcU+G<&62>=(94BTHlTg9Erx^JP}gA^O@hc>Z+I4`_+(&!?7s z{rS&AG)N2mt3fZ58{-t^#yLG%%AzhcI+|jGEQj;5_-FSw_b36U2O+7(?w8l%es@3* z5m*nq+HxQSmOnkUlo8TY@Onl(#N4XU!M!@-92uoD_r;1~{qXyJ;H`(e?7G0DARM|r zdQu&^A%4T(+)+1#ilfD`jQMSQ<|c{M>KM2zK84TapG8+LD}?C1wO^?(pZ#Rg;g~At zKX5W9sdi#`3LzW#9Bx*}RI;VDJ8_N5Mr<1{RnIcDas@L!=^)Sr?l&2ZK-$SRl7a2# zQNS#6rU@8C9hA;rNcdHgg|ctr?X1l7fSo}B)U}j^95FDifp27_H9AhNpKqy-?<8b~ zOa1iu;y4sitg24luJIw3R%$!Q92?ebrp81&fLJG=?;rZvZ?v=vp)Zo~OK2-@>f~)Z z{MufLL@fY!=1x3vuSg1*?f7+SP98c%@Euw~Nd zrqq(htQSglrDHiXiO{@$R~c05BJ~>cMbctOz6fB|Xeg2w;=%!?hjT=qJ^iJXPz_d5wzzydU|{uxL?y$qP5! zir8yAR>qSvM=^}n$kX0DM_}q6kNG(=>&lFhTIraBtc%-`aHwSZO{4u6&t~sprl<6tHBktz51us<*Mnw`DVa$~VRZ1o zI>X+gxYSWot@V+0Ka3Zwj!Tp(Md9Dyz-tFAzP~_Y*s*}+Ysn=3+fTonUDYNui7aw{ zcaO)8=fA{PHXZM+>FghGS|R3uCz^h3=vAEO6n>|OPxy@S`>QoKIjPA1v(Yy!`kxHD zV88O;8wk!S)-)J|;IkGWrS)&JI+5kJYu)1yDc?235NnQNfAjHSDQBMoe29~)B7f7W z8oyp@QnC{N=Bfpst>{<(L*r}0-B5Q}=IdY@5fb?+L)P0<+Jv3i=21v?OWD7P{~lU? z^j$5j?yavb6a?>`mj_$|U40X06lrntZ>e&fXT(%|`z8_n_fs6a`ZB`h3k7q%T-iBT z|9&H%(u2OGsv2M3u`OoxoNYhkPMXwgNVLyCH~dOiQwdC&Njvf*XcLV{qB%T*^QcOj z_dtG^;5gmR?+1_Y&537SO8-k2XKortribGpK7wiOXFWg%l>`uf@-MMd@B;{x3IKVj zIR6q>_|F6IxmS;z1cQErA2S}zENk=*SwDMw3V8@QZTy@VL zAK(a_8~%~7LuW&1gL=&y>^rQ!|L3g0@ec&KO)6vcc=HmLf@6yIyPTXZ?SfP3WTW}% z@|T37*zF04d{3GA_ECs+^M6{B?@thGTKU$&vcNKG_P?Q_9XBD_DECK?9(^C$cm;Jn zp*%mV_*Wig_T`4)sVuSYl9syZ%)Z=dFuAIy`V~bG6@9wBsS!GGQk#D-`QHhguukX1 zl(zJx4n>B4tUNrDKi-OdfwQCjXIJM4nS*1uiZTv}*lJ2ecc zdVYNHd|jL9xbU97FWF9b&WD;@E4fQ93K!f}I3uoMTMO%|h%in0$MOiqSI=`6_UQy) zl6NC`go{Mv6?X`coO6~7`l0w1Qdd)vDynh`H!~#(o3R5uuM67J=n9(1CRPpkAy{gd z&{9K{XOWn&ya+yi`Y~v@2l;a+GKy`_Tm$_UpE*muKe_3~gVV<#(tccx|4c%J#27iO z%ZvchYobBZ+~V!PU>`E&bZFX5hDYuyuXpD;ZdYYkjezgO>N@v(^8Jh zakkx)fVlS2m6+rF7>;vq12*C9qo+WKxf4nnM*xlir7r%lsynVugu7%zkp+lR_{m1J zd$e0)5qT=K3qt5NzIAINL3+tb?E zXY@WXa~9%io%1AngMthy4A4Zq2_r0V1r8LdLME0mwF73W#@SscwpP6N#6W(513=De zAUJ$d`4C$TMsD~Q7cW$tm}O_RWymze52g*dxuPn8FZ~;)(gtKfLAtmXse&Vi8*t0| zMReKYnC$VQ(Yv@aSH=9X3P(2(XJ!4nYU<&2hJX^aZ~WwO$f@Iy-INq}!-h5Vp)p61 z_XNPn*tj3bb=ZWxjtnE+h_7f^k^^anfNeEQwj!ot&sOA|UxlvvNucCILM8_aQza3o zri%==x0(3Y09|9?-X-au#-ghZQaw{)*hQH+NLgRe@Kei%YIn&+22DJpJV# zzLU0?=4nmj*@5$Zb%@d*#b;S3txk_lWom44fr8h@I)+rTTtg_~&n*aAeJXAl=dFoygg%J(AL2RYCCO7{)lMr}cZ9EQU?CiLlA zekg2Qz5=TGU@|qz87R2)JE;V z{Ai`9FDNuH7RtwTH+hw)nkw<0s zn#xQ1df)d8^xv1N_LGlKbHukgWv^SFt@%W~+_3QOvVvLCV88X^b$HuO8%3^O{t2yW zUkVX{7fQyE1J011!C$v1ej2ixOambZPM3O4Qz&j@T8jE z);@o`AytCJ<>^{SGkYWf;q)>WNwE(BV_*;=lCam*6{8AChEG?z=BLcNkRwxb&Z#2( zI$2a$8S{73X$CVd?6ba@?U#e9nSy@wtZ^r(4_}VO7@-yp-WcirxeJ!ypq_j9O0UpD z{m@H{5qarVtLz~n(~%!bm)`kei}QVsnFLc1R24!k8UOQ~?f#SA?D6bVdCx1hl1(-7 z-G%}$bo!-gRh7iC3ghN*=E8jE&=-}GFaB^L+m>EtUl3d50q4n3*%*Hx23FeV8M&+b zGa-rfjn88Xzdz3oCm0~{2W)yrK+(@ay=GR}<6tdmPt2llZMNO89zkPn>D-|y^LrwE zn9#X6=RhnEQ$b^Ei6;66(6u$)ib0?rBzUP8tlDb^=)+BFtmV$q>w|!YA!zH4pukas zp{#<6WOXP>5`5e$y!sBVkh0KIc2Smc?bHxdwG65nMXbImOqH%!mbP)r3NXudAZ7+s zoTwVx0A)R{I3uzP3XDLQTAbXfVS#zyjv!K+dY0zYzyyevtu#P7z>H4PI>e<82f_HZ z^7Ib1-dEkDC_~Ka{Y`JO!d0&_VJ|i_!HAMiue(T~`}o{(f7;;bN+K4c;~S~wcjMSs z!UFUBCBtvm!`^Wu%%1|oDDhVvT`QW8jOMbs!s5^CPt$1lB8qu#71D5mY19a^SQhjuZ}%c13vgX9^XY*dDaHJIqKB)n_^^-jKQJGFG>I#^1x zMR$Y1$39CCt=+W~I*S_qFxb70=^(Sw2DI@}Zw=*>Kj|n)d(>2AGKLR5E>&d3EP@)` zQ7c_^8)Lbj2z1ZXb;^7)sPC|TIMC8s9Y$68bLstev}3rkhg@>!Ul9a@WI{@3s$tM1lV#s|C4Dz_^0zb0GQeR|)YgM)0qlW-Sm9P+ zHd=O;O)p1Mu2SxaXC{g%^%n4*K@s_6K<(`HB0;5J?4m1aUF2SNHE}wEL#P6LJ-PkJ zz5JL?Tu@wlhYc%t6Q7t=kS;Y#@Y;_++?F-eTi>j@C?k$xeSKSxV12>6(S^l^>H6yU z^x?YKdyB%+cqFbxN=MJ8-$z+`kD@^T7|GsOm(go>QL2DHT3EF7OWi0!wIj*&sTs+r zg70 zMQF)&v*&~RaakeDp-9NZ7chsD{+rV^AMy`nH38_^NIJLp#=<*UT-bM(VL?iZ5BNnw#r2R=1KvMrR~O}05qp*zFZ?St z;r(T8fznv{JpKHzrh>R&}c4(dMX?Ke;p3ZpRgYGq!e zUikeQtPm{qC9E_cZ}|`K|&NU^*WLOUae_XlmDb?PJ zdi>7Yzv?qsnige!buGM1j-8*QMEbWAW-pa|aq{`{A*n9IW^FvRQf;iJI0)9c@oPK? z42IJesZ}OT=M$?YZY@5MIyO3Nb*ccH!KZI`%ghQ0?? zLKbOS&}pdLbTn5UDFcid3e2}QolQ!ryxo-d`e<&F-Vk0P9Tl1n3PdEUphq?*!}!4` zvwN8VS%Y?~^wRt*DM5Ax^=lF)t8g+vf+fxtySKR_UXaa++Y7UEG$;#nojm3TvE67- z^4nI0O)pgrHWj-b$^wDZ_g_yAw}_+ih=ECmb+nJuItoPrAF3}0@`lwpbFPP$jXrH5 zxLNLbFZ-AJuU7U~%77~&w(MG<&(%;QCf}sh!yl}L0ZJIFV8zHEQ!cjjgnRmfBi^>| zr?gw1m+6{+zrfVpr9uPW(japxbam*rVXov(X6 z`f7BMXnptgP{=(tVK2HW6z8I2#NJ@-V3?bwzV3ZY&yWgSB)pP!ef5b~9{}IBCjY3| zGF&Q;zp)=Pq3;&e<=sg7o}3Afo(zzQX18GwrO^&DD|-^!Ag(>owV9(swkI5BQJtkP z5`Q@EW1T}TTR~(ZCzvW9$>f;DVF{9;V7~e=4B<@X5u=7Q%!d=7+@8`e_zVx~eBC;? z@oRFY;s>niQvk8u<=h)TDv#1xh;*n(@0l24m(ct3LHx5P`-~6f_(lCfOeTX`5Dqk{ zkq(Y8d=+1qg-7fqxV^MI`pDjfFpmvBqLR|Y=M0{GxZ9x@VH2FBW$H6Y&tpNZM>$DqGSfGfzrnE+B+7T6Ilt+F5d2FRyl%bW zXfC)jc)b-Jn!i-%>IIMMldTs9b=(8#g0S_OMwM zmp;2`Q#dXO<({V+pl5rrY%HHL7h0Byt7i6)zk2LJjD~h`Q`a1~SFlZt@m2uC5Xt(G zOta>mr_YjgCN9lmo-)Lssc~_v8Gt8cTik@cb*J(RvUBDjVCmhlcfDzoj?cD0nb?TThjh@qvl;M$oZy=DFo$a(Z<+zuXzBY(c*~luVCLgaM$3y&*kKX=3 z=7-R>8T6J=`akcqu4M4V|7acS5QC`?L*`UAG~|diej`qLAh|IOV!~c-siufrDGPxF z@Ao;*MW_oJ>Q?Vo`=lYn{UeTf$RS;sHH7H)eCy?suyL>HfU?`jiH=s@JTS0UFa#eE zQji9A3wpm&7z8U#&I-pmtNZUg&qNCl>z(>`UZ`~7Pl6#!j>xvNhjIM0jP)A0{x+;) z*#<_cTR}|7WtxZjTxbf*Irh7k`K?|&TPill@gx}6fN!pb2G0^YaCN5lW_^QwOO9KQ zWQT?%RC_0TRXW&6t!H{Ldu5FP*=pkK%LNeu^3o}1vgV1>(a{kmq-nUXQpT{3=P54! zBjZO^;3u%_Zrf}Y$t4w0%9!Q%&d`?~zUSClnhzX!1VI!#BqeEj)$YB7EjrKoZw|@W zsdN(SHyhesIP@V+{SRf19wYN@4-bvabcO~cTkMvl0p_ZR7QChN8BH!tlx^75Kdypv zLhW4m9}Jc7WwobygiJ#8y}unb}@^xb9&spoY||+9RqID zg=7cF6q%iWAdF>wH{r<{x5DYExOj*$l=|d0we?Ym&|~c>Xg%%8S(BB2+-iBEp6A9p z`iZ|-KN`+n=+ou6)MCpcbEdcRxsQl!wsp*7e#m1(Tv3>^?rs`iGT09U1pN8Tex^TJ zLQE{TM_DfWd`|C_m19Jk&9#q0C4k2ZuQDF;)P$rW+BLv?lH?%re*4-CXzm)SebKFV zq6X_cOLqKjHwxDvxkOCJMORrF%M?3aN5o;9uxUOKnYbmPy^U)T!Dq1%uZ1m&N7WJ^ zi?O|2M?rjzwVuY_h;_rsu{#B4TPE$Rcr=|ETznuio%OFS60Qt*mp$t^O+ekF>oY#xHLe`0)_WwI zqdh8Pp$s2viphC&0;C_l`n#Tm_K9}Hq)(PqSEz?)!M!HylT8}*PTPa^YT<8{*~jj` zL*1UDPG|dfdLuS&&oH>P@{w*}tL^7_BSP#>St=+cj!%bN`^xY35v>s7o1C*iuf(g4 zQsFbLTaNh2rBRew2iO6B@Lnn*X+3(QF+)z_5S5V63SOFkY+R^giR%pAbh{P)#7z|T zqH02cvu0drwmBc{f6=drc&2V|gE}DuFlmo*iS8BTMoAOZ1+|%i;q|V_A~ojmW?AFWvuhpI?!S>97xfR|K?aZa2Xo zk0E{!|E>%;6Z_M;3;wN}Pu!>an^yMxKU5FQ{SPvH^!xu5YJ<;Ienp2#ixMdFn? zz;yrfkUxaKVQsOg{BPn|Z~8M(`Va5A&SVQ8X3$5CNicP$ z&Uf$Lr6hWEp+2GUpDb>yb^m{o;9J{LsqJi8Z!Zni{FW*J^BI5sD~TfUe;3~qyaN9g z-^!{W>*HTq@V{Ev%Jvn3)0yS17Si%I zC%8G-2IifAq|&jKX|8tb_4Z)Zq)+7E*^H~%PQhN8rKpC>%(pcUt^y*LS5!v$+=<+ zFV7FrEjOE8b@*op7nU>Wy�GTt}cQ8%1$byZwT}Si}1#^>kw05Paj1C|W>mq#mb> za*`ppljL_xtn&7m{%cJRUcXwAc~fjt%GkU8@V{os{)Szc-q!zy(1^DB7E1v3Z^-`7 zRVM#EcFz?3q0_K`ieMZ`|DJC}&#C`k4b9EY&R!OFI9{$1y}kWh{wh<@yiWIO;9N!+ zwaO+hqs%5R%j~2gr{Ev>$xj^Ramkq1*Qd8A=}@Bazsq(May6j>ESi)p8DzK4u&|hf zasC5;sG!u;UlmBX|Az{sJ(y^EpU~CB-~Pjgvvg-w3<_0#Rr7+!?I@gvx6En##MwZU z`1IRW|4u;4`~8;`=juP0`0r2F5Iex2JIXW=BL5^5Q|^(3TP*iQM*gEd;{UJ9 z)RKf(Pi7cyUb}Yf(P1~r#@^mS;_iRcq112<3=CYq!azq8$<52_Q!vqN`K*$B=n%EI znC#c9sc?FEJC1EMUV{SNI!DxDIvv>)mFMkLo=GCR8x_^gf5m-27CWh4klYolrYeiO zb&tGfWLsw+*qHv49{odMJQ>LfS-1bwoZ-$%ii%cYVGi-M{l-{ECm$ zIapPfz07$HW%=5@x9qF7e6-l z@m)UJ7hDn0*6n};H;RNS>le_%&G0{@a;IEAnz^~2KRYzC^2yHqYLWA*@8G#G#nhwY zk{foop@&W-1~wFSi4)NiKAn^p2Ksy{dT%qYSG2WXm;%k!FCv8KSMWKH)v zN1h&FflVwcv2ZJt75C)mqPgM1*I&GJi4DHeQ0Ixxo)?#dt(lJzmZk$dFBg70&+I8{ zgxrco9rPFUp58qIDmh$xQPs=xq2L~au=m2woA;7`!mltFCxMgNXmp1=NtLqWK1P?I zqfuuwe(lS(@Xch62vqPQ%RB{)FsG%l4Xv+@TVL=ms`YZ(+HvM?5C8SevhCnE>m%|| z(Q>h@#h~T(S1SD8s%GSK99=>ff1+kiZ$bO8E+Mz=V)p>EC> z))=akCYU!3!4@R8<(?V~g~xW0c12FT>7mU;9?E*hX@YlGgk1G0$C@^S@ec}p8+1n~ z;Z1g^#l?<0$$}c-*Ks_)P4Y$yLTl^hy3aW;{ItxcwjaD|uR$V+kmbb>uefgkOz~t= z_B?YP|FQHBJ-RpM3NU}C?Pwvw7yf4Ko*K;wqg;Y9oP4tXr|z&|z^2CdWADr>+&7J( zG2T6AicG+zQ+_p@n@2a3#Qgj_y4QH#DKh`!SpS0CIA(dnuV`ZTc|K(DH2Wa_PY5e< zX+H5i9ckiMVI146ufla0`S&x**Ym-nH#wTAcF0^?zfo}uTfdZ}PVpCRy2rfo=Km8i z^qcmy_afG4CZeECx< zg(u1K9O_?&uGk;w7M;>=7ciJ9Xy2r2#8xU>oQ#Q_OsoUS+#J3D6s_yj?y}$jc^1dc zE`z6z*f2zU!F)*y~lUQUA@xxDKT_mWRMapHJzH;_0ju!AC9kzU-d+ z`Tf_qyz!gZ$ogA~Z{K|%rsWp+gNQaow|XaQ^~IKq3{ma`((fq!a*W0Sx=daH<6u@<8d@nnk>kz5r3y(|Z7w!w}B!bKuS_>FQ>N#&!yWB6sJ~whBNej?!6$O^wjUtS3T%V;2(O< ztQ?-4+drB_^ldI7c)Z@ffBdL{BSs(ploBbuXH+G+Z&g0myGW! zd-qA^(g&Y6AM|H7f6U(`CrRmX^(p9fywzA%_}!(p^dQaT?!45}^Q!#L)R$C6&*Fdv z7wiU|#(#`PE8cQ?Ii18BH4S@kt>wjK#=v$-@15tPufAW&bQw-IaTv_dUuSX~ULF|R zTDn8Gt2puqeN)p|J5Op1L{u37^rZ|>YL(Dca>sd0YfddQ-c_3Y(I6MUb&tvKE$C}q z9QlhOH!}T&i^jXh=RFXs=ReTN-=?#=^9jx5y+L=QES_lRO6J&7NE%U7xLlIZ&_oAI z-o{)Vvx_y?JJ)9vo|tI$*<2$-^OTeGgY-|OIF2AWn+WYA1~QG+&Xmf6`w)N8c)<5} z?VhzP%|96=b@_{Z3j|b%0&Hw@L#Z*sZo9R#OE)7WhZB>MZvL=%okBl+91;RfPY-7#~ZV*G)D3wkp$%NTa)WQmUb%W$1X7|=lTd*H|0>_zvvxVEiL&|6h3$srB$fLBopK51h`EIds zGy8RFw{w}2CfVMojy=2U<6EpYahDpEA^a#$WV=%A(Qd++RSZ*AesW>H&vkO@qbOE^ z^5aW4Hv{xD2CY&Ik39K3Sv;j^K5er5F0fw$^nexSw@o`5jjxXynQB}kcN7F|nTl$x z+V_q`Hj0x!-h5xBTC8m>_-my!Z7j>>RwUov^JsymKek-npZpdYn_GToSt1jxx<{a+ zk4x7{hA5{@>olioHNP{1w8IJ@5htF%o-q{_3rq}tl$e;fs&M;4#`-ydm6mgI$8X=j z>$*CO*wh}82_7k4t^=Q>(fUdHi&ElW@m^}b9J+T+F-fA3OYf(x%^FvRq@9C-xs&xV z&wJS@?dzK3_dUv@9PdP&rl0JULyyWiwh#U&qCkDiR-Lk1#IXdO56_%lMlrk)iAmMx z>Up|o#jNsOSdJ3AdXt9gnQJ^!lH`Y0-_brFm7{IJu2i(2bU>0UkvHrD-Ps{X%=bN|p;p@3{U3VXe z>XgdnNfuiKiU$8UzhI-uCoJ)_l2^|ad^y>jHJ-yVaKcH#J1v)k&96r}-IM!#)*XGe zPI-l?O#P2)7hJp7FC_-4Fb8*siN8KujyylhSc-X!=wt;7CB2DcmbJY<^C70#?2DF* zV1L4Q-ZJ(sTh2aPO}0{jubw7g9s);>hjY5L=Ht>i*H@2pJ!-K6&#yht)sehX-cWkq zd-PVkOM+O}OSc%Y>yJgXig^poi*M$Nf!XVr`1r*7o?drq}&o+d+1X7%3SKq z8wwc<-d7$?MAUJ_m2}@{4T7afBTtnZtBBFt8YcW4;l~^9+_D; zd;UJ`rdRo208T)$zjG1^8oQ(s$ACC^l3f?WzJQzL=OHqShVH!r>?Jo6n-M zP(>l>g`-5HyuoX0DLA*%*m{BGsN~_HepFT)I6Xg(a@q|~jRucp7Ts_L4TP!l&CMz{ zXM>7x3wdrX72#Im zjHsu8Fm+t0pg6^08fTw}B!GUexK1LFX~JJAD)Lk-7}(78BTff0MM4=FA{ihV5qW2=VqnSJn+K#Spw zM)PzoK3YGMI>P(d_N$d~l4+K&?8K@uj{VLh6@Zktr96FzMOlXkovNq=%hMAqU}TU^ zb&8If=~Pc8Q9MU`;b&cZ{9CV}l=a|wbB2aIi+%2kB&=1KKK5VgX24HfXI?_8CTQ+G zbf(E>AKorer}IIC%5+W)#*F|SYodyLIe=AjfNe;!P7oucWu!r(8(-kP<}0sC$}bX#Ieo3!~R@xV1xa! z)(k1a=^Ajs%RosiZSg`K>1+`35J|n44w}AlJITJ`$-_NEqgrbqyXZv4AYo^Jbdkil z>1fAdo9JhdC?lebtYFZ|N z%f8pdN@IdS&z7f@_*^h)3S@s24P6luel1Qn)ktm}0S_%JVbpk^* zhAT#hggi_#D#7Iz^==gbI^ufP#M3KB5nW>&l*BPG@Y`w(P zi8?MUkHJg%8`O!W>BjzG0^S(wU!~H}W+F-Xzq*OV?J+hHb&>zaNpwB?eDE{ajf^z# zuQClqNNyGKu@`m`lAk4ObOan2JVv8)=MWtIWVnn5QuF*v1LT~cV)XF42qDR6pkiXH z+>a0qvwOq?_b3~Nj!PQPf7A!xN66j|4)B1{1BGO)q>(?v$e_&Vfr@U>FvS?TNMzHf z8d%E=W1dD)jCMHEdR>yJ^s%$lFyKv)s1%T{$5Czu5HlHxG3qa@JFr<8!Xk}Lt`Wg- zX+;_DJfp>p?HH=-4Xjir;H8lfC+!W9@zMar{23C4JR&4epb-ihRZNB#5FTRr7;QIL zy&#pzL;cCs!wh}`B%u)+D$0g3!crc&b4_wlHZ~(fV}GwwO2T8B6L;L$F!Xob1l(8(TUWXBq(tV zMic0pCh-fFP)Qk>Z606=>XaFc7%ho7r80?B4ov*kJ&eu= za)=GFE;Iz0IR{A)JGp}-g3-rY<{%wrjcNNZL7DkSXjB-{^&Y4qGHobBTcP+XjCaFy z#I$2D$?DH#Ci&bt9Vgo|+s}3_p>BBKtXGk(M{uDsg@x)M?OOzgg69#tmvTGEP$XBy z_N)(HM(qZjO=G2u{6*lRNn=lEOWH_76U3Bv0kQEaJUvdh$Gz};e3(&9Ka8=6BDl>r zH!=S*Be?ZEQkf{+`6BX+FnuXT$0Va--2Glgv~1G?+wSG<6C|=E*kv#34L8nHJ_96v zhR2|>s9~As!$zTrWG+qukVANs z&yqJ3w_WO0N}(P^l!P!8r*_onARBaUCZjuso7IMwvI=3y;B#!}@Bqn_M1AaMjfFf; zXOF0eZLl|i-~`okBm_r<h(;)jFMzaKXu^E ztx-g)SsF$MDvlsrF6N&j=~Q*)5z&vB$VPUa2Rwb5eyh~tn~a5lD(cG_AA;mgHdp0wa#d@UlIP8 z1UoW7k@T<+>?g6=gq!xmpbjL@x{zNmdCiT@Y#-JugBUH$!a>JhJDbPGToBLa2XL2b zLyg)=C+w}}kZLkmawe1=tyi6@Pm~$;=19EU^yEU;Jv2I*W-lURbYREWZfuh(1Fn39 zb)qeBlT3}8tEye)wUXG!3d!P1b`Wkl{4>sR95hxGu~|*hCX(hwsLxIZ1CD?XJtWcw zO_w9&f-CA&{aqq@@m}dl981N11j%|$dHuQ-B>^eGN8)R4m5_OXWn}+pX6eY+3T%%I znkkklK*viR4aX}aZf<<7n8q*t3JJ;MU&Jp218i0X33UVcVvyerT6*eHeVYy%Exj@# z=!svWw6?ttcwz?pbjFP&3DvneiVIDA zt2|B}qaO2Pl1xld4np9nhMRqXBs*{5<>WyUm?lD{jMB|=-c79>#QqrX4U6Mvnipx; z7aVZ0&zczqj0@CH>VoKJt?I?FYa3IZW%Rg`$h+fkF(?+2CL@iQODaF+dVt{u10-)E zfwRd`L>MS0s=cgmlO%#FL0i7D7)F%DEIwGm;6d7?yBTy3&@feK8B$rKWfX6qr~-W< zM5~*92vjI@_IHM2$~Fi&X)F|JA4p8L3#eW!A(e{I21Mv%q8M?lz;{;^-jluXjQQ}} zB+KtBLh=s^7csm)2iJomFyek?#44xKSeP3`sF;9+6*;ub9DQ}M&7Ic!~7Pon=P*cN}C4N6&JBT%d zj;vb6K$r}0$WSbFuqTc95Xpg~rXu+Uot&p!U}yGYD<8)u4XK}X2pNo0$zbI@++Jv>b#|d6KASNF&SA=BTQ~aBo`Y87@-a#OD8r!f;BU|2G7YJ)|EQ-+$v80 zQ3U3m5izmel+5HA<`(8B7hW!awbVcb^}gqqHD{Q9$ZIvW09BjXzt$ z59jW}NPQVW5&|IsGIZ}If$|PI5SipLqc(a{RMr{{u6yxKc3uzDZ&ZEwesL6c6)(cY zNLWV4`i|4l-Zw^L7>2u6M6i^gag~74M;p|NCPO4-0Y9VAw1MU9sG8ptpnl8isg3ji zPT{yR%5FN|M12rt63w8ysD_ZejtVxKW4K_>&``&)$moBt#>l$CGSf-l5?4BpbSkWf zO3x@eNYsl8iM@N+L*p5sg9DxQsv7ky(NI^IUMxU^7-l)cCPF?sA5jqSS$J7G*LW6yW|CLDghMGnA%mO0Ol8P3oWokr^?vP}L#cLF> zQ5#|37(>boUH)8G#0|&~= z-$b~UMycY%qGJ>T&J51GCNb*X!eD3W5BRQ0+OjjvB|$OYA2(%-bxvcT#1g6M1TR+CtRoD^2;bE z?IAPsF`(+HZ>c_8PgD2itMEr_>NSLyZJpipkSrd>AW6)~VMetdqjMUeezR}*x3?~%cFyvXI-B@AZl}Cc)b<-HYKz&W=+%Wsr1!F&YDhc@fY)_^at<#Q$M3@a^ z&$E3f!ygV~sacYJ_X} zHunF!dsN*Wi7bi<_8St}rs;ym#lUc|29q)?W?YnL0W}hntwcn<5??3DN|KmQH|G$% zjfDFE`%ut>-+m^c2#NK%6^Y3%Lh|>AQ*eIv05BXQLtEiwH2XF}8}x zK3#xwBd6ZCOY}5qE~{apOu~=;F*?G#gorStrhr9JkS25cU*E*`_bSR!EthB@4zN+^ zpkI9E0F0Fk+-@@6Ee~G72}XA*y6pw@4ATJaW8{0J2hQ8sFcT3rAW6Wy8(Rh$D5IaZ zn#b{~c?ds5A4Uwab+XX6+0fu zVx(sS?kSocl9%#2u$CV}*h{D8H4zaa&`e+-nRIZNjv(MhjS8Yhb6<4jvAv18u)@Z4#P`z*6d|K14YOBu(#a9rHR>xm;IVNIOH6#vqRkdVBIJJ^$e zh?!QCcxORl=Ul_|(G4m&Jx|1o`kN#jOYC?gJV8dQW}%@X1|dM=jJHW1eg-d~cRwBP zT?6c34AV(o@WUlW!bLS-qRF6Ob3TGM^CvLkSygppc!D51%S`_=++rLV{Wj>3{lz?6 zCWU((ujBCOBK&tzKV-t}kuITUdlep5F+NFy7il29<%d~f9S_ln9c9Ot$<)abOh$UK z>?USR4{GqSO}6oq&Qq<)*z6Cd7xrU#ANBN}Ng9g~`$Pz?Y7vR&Oq?kkLomCIT*^xl z*Hp47B^+4AKJ^MRTaP(3yMkiC~J5og;PGV6?VY4(y%_!m? zJcmetWh3dS(J1=@EDIgG%BYK08KO-$)m5#rOBh=M;fkcuKubae7M*9BK)=%M%=-adlE*MpbDoy1q->lq8 zN9v#QonL0?)mq)Ob?nN!dLk1rX7Q9&TQ$q6g+eJCXaEJ3v1Z9qZI3t$>j(Qa{ z^$X|?B;b-)`|QV*BqPBvBKf2uh$i(+Mm7U80bymSbSE^bMhZ>!#_v@MB#3y zVhD!{>NVZw8(u6V#?TYa&<>>4YlqELA6!N1WVnja$};9K!#Xf%V1N*IW=Y6R_P2vr zWEA_BIYj5|L%6_l(f&w45E`K^V&8vm`yNC~TL?thj?y(~b0ko3Q8zqCL$q&ExRN=n zZS^v$jbl*e$K1|-ahMK7Mva!(7@LglQwKGdhp^%z{)0(|k-ysj0J>4Ug{fj$x! z_QQNOfY+LLVLH610x)O3gbVc<22u=C*`6T=BL%8Xeu?BQPpTxZ4}NkQU;6$se({$d z!*Bfhui>B2Da*)*yq@uAQ;0g!7;>$n&|uw56-38t@b=0a7XxRP_oFYIg!{G#yaxja zu#So6Nf6GGIF2z78I}Lf7qIXI>*h?*w~$08DbPHFTLz8K-~sG6FCaEng)c_=({79H z9WmC_E2xe7D1v4Vla2+1`zlICqPG|8hcOh~P_Kv*X$H3LGGf!L59KMrXmE@+@&tX* zfd~SFP3lEajXGzlQ4Fx>MFtp%OJ8T8>-_9~;O;3fiY9@gQ3yJ5d+G)C`npsKsJ=Pi zWsqX7#oL#X#IH)zFT@o^|d5hX`Y1SNPP+MLHec1*4&uielDemh&6}gR>Uqs z@;7^_nfuup=&{YyTPU9{QCS@-(r@G_GAu%YVW{!-F=VYiW;wSI*k|kzaGw0>~ zEuNY~`kN_uJv4xRC;T27zACw7U zc`+dl0LK{_UGWeH_m5!VWi5~e%8cXiP2w%gpfKd z#!SeAOumwl5>K&!*Z|2E4TeD?Qh$radNGUKES*8dfm+3ZS2jvg4g+w7OMBVU^KnHHhe73G$jr zBEx{pk)T1SvU6seF-4kWva;w_Jt@Y-Ng0#u&X$mVi;*O+8*v}1+cKelnI zwY#s9Maak?R}9io9e}sY_M;b*$!H?Oc@o13{|bDA4kbrtnth|`r`}dDXe=R0IrwL& z%O^U-x&r?-c+Ypwjqa=4Z%-8lK-DKH&N%#+X z;hLhXJS3ykc_B*9s0)!e+khlNO(3MRa#g5{jO3-iiet(&df{QTBCn!kUQv%bsph%K zNN%O!W^ZD?{IA!@GkD4{xJcnVbzy*IA3VdO z1?^9(XkA{JZf(RcTRMQ1{4gd5*WrF}2!TU>%=E0N5n*{XCiAl-(s#K?kjgA40|uE- z>Vkv$k?_idWyw=Uf+awdl}D)3S*KX0Qc1mrbVX@j*)S5A z$Y{5WqSO{kYK4i+!w5zhc#xc|z8OY1T!R13AOd3!Ou84_+QcnXzGYsjOnA;SXw4r8BCnE^BnXq}E)dhi5gBCq+J+ccuo|KDDGpUolpe;2oaQAUGQ z*7~#SczW@KnoLN}CeZSI}6gApbfWg97#5 z#?HHs&LQlCW1ErVAdT@sc1A{J9tVj>f##%__Z{&g%!pBx)IGw+r}3z)(LhwmQ04vX z5{<@IRjthM(ulg<>`;vgBfttxX9?5BMf7>L5mPG)sO*fGhMcPiPtxE-#5vSyh^W9m z=9w_T4~p|9(rbPikTP=XBqvSgOM4-mtwtjh>o1bDxKUYY;N_*m z=yy_9WSuf$tX_AcPz%6Y$)nzIVu9*VHT`h2d|S<7Wq2oy1%!fSIM~s|fS#q}m@qdH zim*JagV#$^LI!ToD6P!L)r3sxyu$Q7by)#HQfC&?GtLlDCPqZv$LyOVaXA`>XC?r5 znC-~OI+>5Da)@zA%=uJ(WbTi9)Ta!G$5BOWronQPpfXzdz04iBo1LKd80&E`rU;%4 zXZjp0bFHaHq~tY(%<(BNn>e#HiLkU+pUmF(Qg>>|EjsXe`8Z+L|Fhn?-ts&N{+Q&3?PS6ve5{ zLnP8}_-Gh<2S^eRxDaGSeKs+J;rN!CC)HRjs|YgEPaS4w-~N6@jp8&`^E6&;7uH)y z*+mlKO4AE3!}1DAhv-bin^UjF+&y%HjF22OV!2YB`q+A%xIvQ8;y5?yT>Yg2dWIP((a6>3iYTXPj0YIi#Ypai@GUrLKLct~bfYfy znqewlrn90(7v25rKh%9^%*8;Yj`Vs!y*?eEV8FpB&3TZK8tsD2AIrT!fJV!k{7Cev85fbbH_It*PGTI^&W&<%2Px`iGMr=%!#4w>I2Cvj6@pP5tD8|rR z+(0SeLb@Do{LIfUFs3_~6B& zF^EIW^B9iM=EyuNcZtM24c|xEcc-cQ62YI&VYWPj{y-Am0G*MG?5ETq86wdcZA}E0 z6&%%VmZ!nCp=3q^t8ne34R%v^s#OwIMm;hSon;P$7>$q7*7}^Ntv67}dr91DYTm+X zc0}o*MEkvW@!1oDa1I9%4AD+3r18YuM=(KRDg;Xc59xz0+LqR;x7O?4T-J~I>Lg-j z62+nqnR;B!?=TsluB;Cr=FPx&Z;V8pgi7Wg#oZ+Pv`-B9{W7NoO?>IqZT#YY`z!eJ z|M*4xH=iJRj?r;4|E0NpB&)sXi?Lk}`qhMLXR(Csg&<~&)3n=3HEL1Mk)W(Lky~@A zQ4+@t!fn%7yF~9)-^fvNyO%<$oWX{YGZNqDuIRAq%VhlJ9uLEHR^-|{de z1Emb3QW}{6BMn(zxy`6+5hF;j`>f{&QJZI{lBI8q%z`sc^cb5YN9+`CS$0f9FwN)1 z$c(dt_cQFG(U-_Vw8Rys5ugEA_cA*29tvUf9uk2&=_Diy>T_T?mc(e^1|2b-lf16; zx-dk8?V>Ty7yL+PBWiw*Of*hy_)yHz5YU1627vGZgNA`5qv@ayIB$*;04nZ1uja5%n!xGzPz;KN2~0NEv%S`8#~t4il8SWXVI z8&M8!5^f*KG@t2@rCO_17)|vXjCg&_I8#RT^oCMu z;;Aefl_*?Xy&9dUI&dJk0Pl#%nB}6uQx=>=*VtqOiQI*39f~1*!h?Z*B?OPrJ{+Op z=K1-;sCvZ^W3(?0pvFF*FNerk>0oF=+(DLuq`*hg<8?8@3rJ*7`Gnc;SVotuN~1h_ zqiGEGB{1B(h4J{BT1^q9wliE&dMn1ewp_*9Vib)$^?>@^EHu^1lDX|slu0UOL`q1q zX*ls(a|XFajO|GKL%XJ4X9n47Bu?(2n)#wWA$LyA@fwJ3qd!WrPZBz6tRg6VW{kE@ zUT?Aw%czTt(n(|=A0{b`=UT72*2-v}Nuv5Db%JGx86#quR(&vde5&8 zA)N|gwz^N1FT3T#RwAnGj4TnB3DUC4MMgwq<&D(CEVC|bBYFJ`Co`iXH2Q;TWL1bl zte5?h_Uh&5smBbc6y!OQL0MwU&Vh2ljk(fbud$AmuI+<`Ya$(mXl{{|VYK>f~Z_7`Zvhj^)2SKBoei z>>O2ku0#@8#|DF^Nb4VfWwSlJ;x&m57VI!GM*cD!s8}^;`0$Y`(SSa!9z5PpPW=1 z)~MO^GC?Zlfv=p!SaL%dd|4JHOUi^y1t;lr2gsTk;mN)#bw>SbxqhsfgBYY-f`c>y zbYMflEwXI!hJ4;bgIOvgS?@#MAfu(S#%UB~Zxz{RL6)cXgwlxL!SWr6sv*{BJ%LI8 zriysPsXEUoXP>njB=-w#xMwY4u@c*EBMiwgP5sZPz=1n zC|8y!mgwNaG>Wn+!$=pAWMrLT{8%-DD3yZQ|)>8dyNv7XlRSpsXSu()Cr5Zxz94PuxfvjzG|5? zWQYWDiV;T0qX?wzi!(6EC_?61$cm0p$|_!_b1h(HAx?wLD*CAN)QNB(+os>E>L4qI zqAb&xe_cf}GCV31Rb?YNwbG33E2|KKjvSnfP)ZdN7xpKa92X{uHHsDF&o!}98dJ;7 zCnB;x1EYF6#|onvnK&0KB{1sURIk#SMLN^jCceFV7{|-AN;lSWG@x|DZli&u(M$U4 zRx4>Fkf<6iOtY^2M`*b27*O?f&^VdPd1TopGNK`y1pWygz%Z%lD4m%Qs2<9^LOVGz zoPfLE$;guil>N%%tRj^n*(=k4?x)VOFEnR!sGZI$11^L_CasFIY7|)l(F>ByDaRuF z7wv%bb2{5M&P-s$Nkt=ZZKj$?uldx-gUk)^d1-vfe0u^U?j&Vn(`@}wCnN9%{0@m$ zOa!YWb8be^GTKQ(AsZ&jBx31HRg%Fi3}L{tsa_A&sywa@GGbgpe4wbvX>Q$%i_HTV zk^OY0NWf#vFPp)e^%3;5-)`4>;i7E%da{@t+*BhzPTD>P32LaBMPEFH$=C+#T30r) zQ1Gf1c;OiB*)$!kk9}e`h2pHNC?eTlIn=~r>K=Qt8l8|)fR(u*5~%>AZ${P4C;|p; zg`aKLY&m{e`DCudvSU zU>T{DSJ<+;QC_#m2s!Gk({n2*UF5O5pa*i8qpDQ;o3*t z3wlB3p#lY2<}Z5IoXukUq7SRpNz^E}8TTyH+eXw`RFnC|F8JaMT9UGQhXho}$9|?2 zVHqSnKWzY=c;)pR{%qqT=t(CKAVHA8C71T2TJ<16Vk-KzTpCA&PJeVN4MX;5VUXH5 zmqGFeWvnj8)N=XP7^K8VT_=Le2;Sz$@cyEDb>*Th2&A`BSf^d3(K1O^Hy8W}l+tv- z42)!D70c3mW)($t57|0G^t!Z2y(uwxJw_We?m~p^QYz8+X6bj?pT`4hDp)LKUFtRG z7VXmDa2l>7)XAX+e5S0(bl_h*UGJ|sB!`zLFx*&HdxkiKAkZPMJE!P?*Ra&w4`+?a zo~4ss?^n?QdmHNWRMZm5$v!sjxfIf`))Bm)OaU83{|54XI37cVD70BO`vkcsFLzgTu9XwI2k=$Q+2`xf;^TUXm{!(12vCQ8nZm zm(9ya-jc?UnxhaKVOgeWFlE{uTdN<$F!{nY6-mlax$HRT&Qxh$86hxo zcQXRZli0;m%j&h8Omy<`Z(lsAMu%ilWATMN=FSd~Yi!W617}xe(Bq|(xQ(5c2Bfi2 z!1Bs~%G02%Ww^OMmxr;HM{R?hh8u%)gF}#lbxX1H~a;y?* zZRCe2qdt`Bcsfp8U5o+XvHyyp1>$3r+7IF0D2tS&Gxzc7q}$QF#d z2jS~uQ5P!M$PF;RDqNdcRNl-X_gWdDA(C50+oe=1;vMKuV`^YcMJvv@7sa+4QPL0yA}O28kPy9*;O}I zH~MIFyo|>gUCFz3M#8cxEtmG;o+zWU5arE#n~XwbGN|krSu}hyHlSY7l^h|QcO1g8 zu{pTzk;%L+)RIlMTNe4Z>R8H*l1woGV0CMaINT(_QzL8e?f0tJivKS28GL-~G#t10 z!$(5wiMN8Xw`LDwD87l%CuuyxbS7^VkzHgUvPt8ZtH61H{lh`>_a_^0uB1p#$c`7Y z>YTBk2ApJN(z~Fx6UZ&Q)ob5WCC0KxF&bG_(dvlRMj|=rh+L?p{ zMar>C<9e}j06oSQb&iqoVpNTGG|I9(IYde15Dv>+3zmD(Nn1r?<)gevVq^qH>e1xA zqcbTu?;BD}G^2%0>@O^!MiTh4d7S#-!Dx_#Ws-LfhE*W8zS*PZmGn-P;dYxy=e-P$ zoJeHjc$>B=o>^DR;APc`OaRZFWl%K8d}%hy>{5Obd*8T61#q)-qxfF&Ue;p*Q|5}A z1TXVcgg7VWNc7k9s-MZ~4~eMXG!LR+#7K@^813CuuSA_PY}h1O67y*k7=70$PXm<; z73x|+Eyb6h!t)Sq@tuQev&b?35@O*zX2MH~{Q7)Vgggb*@&^8>@sqg2e+K@$LU0_2 z!?B&m?3p2zmdNeG)(o&fN?l8^JxQGB^J>nK?4u;}y(Y^`_-)!PwGYYTU&Jp39Y{Sx zBF;V$8LVJB)>;Kr%QCnX8#T^gH;}=2?IPS^lYtjyJ6u34Qegk0jXK88O>%{2mazOM zG|?X=iKd@0)-ou(N^+SOLQf(=yRlrLt!E#;E&i68xE&1392@E#9l1Db+5K1oO~1^2 z39y&YZdK3A5`Xpy+HjF~_JuP3xN!mp94X2(uSPo8@}qRKUQANu&+DR2)vA(iof&I2RKgj)A9Mxd_9TTm*Qxy71drpw7RH~ z)2z%1L26>KKMDU4>d-`#_JM&6149{^`&W(@A$i}-A^H4r64i>EF|};W6F}gIA47vF zHe!=Ti2QkorjJoyG{T6FTwlGLIv9cXGvmM{nXQMcy+McDOJPW~Nny&Cw=(oCuL&A2 zZz1`@#IzlEWZ6yot5_Inl)cIH&{ z9v^QRKG|I~^_>FN&M~4bvon*02ct9=_tF60F|LLLaefncdLEhY7g`M%XQWSsk)^Rd ze+9{l98Xeu~YYQ=)Zr+Ce z%9`5svrrD;G-hxta8`9JUn8%U8Ho#LoES^l07)X?W6^m;Nit>C zlDzws*HOWv)UhM%pka55{A||oZ9IhG{JNTBLrPx zA+N@Aj5L|POD5gXAZ@));zrUf#6aeb$Z|`01tWP2AryjsX8C}cn`BH;PxsSHAET}v z9%v2KpV`Lh_5emE(rN|T!ulAta{VM-Zv5NYM{&|zz`&qvrc_a{OqNy#v63H0xGEzW zbheb?N@5sG<+6&i6 z0LLd}4+E0lX*z{z8q{(2&He!TLJ2jhV8}=SjjWJjPdQa=J$*&=$7GKV5;B?!*-s~Wg#G0K8gs7;=64ow z>Ww4V%J$(@`8Xz;EwUPjv42s=mP(UYH%3u3qe!wgTZ~Y9WrHww1=*W~zEbV9`O3-( zj1O+WeTa^5e+|w_>Vc3?ae5)@QJKWJ#&VNDX4ogELQ851Y;{dW1q?(!5-!y}a5^Q`WSxYTi(R4w|u1Dj#RC z5WoSO^kKthbZQwBVi|yMaF*r4U2gZBW=|pJ@SE>w*XfqodtvOQ+ zp28pT!@<6;=G}z6@Qm~Od6OD8e-_3nOZ3ubDaT@VZ21DUZ4ATZU9~f@EI(~zVG*8BGA&uGdL+TZD zY??CN7sB+w3f!{MCS_}^kU+6|&d~tvXlrYN3riD-hqG|s%{Cck`z6Y1XYyxC_v7sb z>&_sco~V=LkyNow!+lkRA7p(`3@HDY{QV?0S0f1ctN7RNH$w9NT3T1T^{Ju92L|96 z3&T|@qq$gsljoh&WX>~DHP4_jSHQ_8tqtJLVyIAweMv|I_6C7|N}vcegV9w}w$nMV9F3 z=;b=b@Hid|T57QWjW$7jLQr_pgO9kc!yj?nqSZNy$ zSye#-Q{IyPPj^C5(k7`$RtT6xeC4@1R#y5kI8EKB-ebF>CPvDpfIYF6!AidXUGUFI!^gn2>W74sXK^i%=R|C1c%;A!E#X|UGFOJpf7~L8BBz1NkK2q5{;?yUK zS#9LjEYQ)>tQtOtA}1kv^+wCOc|L$rk%rw<#e88O27_Dh+$XO#*;h%%T#F16q~BJl zC#x*G7)v@S8FqGT=GADK+RK7EWH9oRJ&De;Z}#Tb5a=WE8*2~C%UqH;3oFiKyNLSh z)WwSh5<>Pll0TC?U;2(j_p&AUzb`z9lY?{c{v?gLknVB~<|~`XukyYoiHmbfjYi2& zN67D)$g*o!qlR21tX7^3IMxt%B#~+k;;rfd6!Reja%r^%cVvo@Ci|4MovgO1Z;)tY zbIS47=cprFLJMU=d{IsGj>lyi|JJ+%pZv7LN7(*!=xPPrdImuTC&gyJnxsC$K06pm zs2ym_D-G1wD{#}4G4@e%bQ~5P@u2o*4u8D%0Eyv6$PUcGdI9Hg2m{RxHD9MzaI*DA z@VYsUQQF2>e+i!c);y|QfqksOAVvDZzNng5>YDJwMOz}Tchqa?C<%Wek7w3SVxYPK zFCCi-4(Y6nUOoh>Sv5&lMk~*6(LR(iiqNbUNAbIj&DIFXzjz$Kd@q9yI?(Uu?!&v+neRms`H&Sr9Y9xH} z`jPFDO=Bb7k1+dv=wp=0!5%pLE)u2^d?&+d+X$H)p8j?oJ|FW;G6;Q5nmmR|-H!u4 z>YAT+hIM^2aRd{gHIhU2FWPu{P6$TNkvd#5H!WRN1WxAg$dY#ntUNMm;w@mLmw^Du zoR_-%-1gnr-?s$EM}{HW5oG=(!@#Ue(jaqG##w*r?+ks-@qTz@$8wUDv#*cfwWWQC zEiA!5NImpBA-i_xk__Z2XL((%0*eHF(NYbW5(5PGdfC_LxyB?4)b}yR3S!3{tsP(K zQ>D+!&uVyO^`5(d-)XuPA+bKUA~D%TNdDT|mf9*?CipmSW8~+j(|mmkXU_~HRfwvg zJ=f7#YiTOU#nRfKQpUg#D^K=q_{e7SWi=Xcc6$O7aWY;SrSb(aT1C~F9pfZ9QFi-8 z1-VimqRpflQ7|TB2%I2`jY)@RRQ~2F>SUi12?`SzwkHkK@VZG>3Rp=Fqm<@%SzR*8 zMjefy(icbnB#p1kYmkkZXfT?mW&R7Jh})=~?5y%5d(z>WJSh{FJQFm^jM~Mh7S^5c zgiW>dQ+|WT$tchfaG_2Ey0AV%DK#+U-&T`RrCh#99lb%>^^bxiF$y#bYSN?APp8R< zN@iLw2V*c9VOHEh z^p2Gf8K+U9VKL98P<}0g<<}S$FK#Hgh!d5$9ILqz#AuL1chV8x7RQjRU}D%=%h5@P zD60Y1yF}U4*_r5k9LxieM!kiVim+Z z8I+m<23wm5OuOJ7qhVwxmYp1BB*oF^L(}U~6IP>)285uzxPA=1b(wuC=|mB1mN3zu zz~E3ut&;JNQdaEXr43*+KY$F0PnG47S0SM(8cO-GHHnT62Gs0&^_pcPuT~6+oCo76 zC5KYphv+Dc+Od9s@^h^wu}odf)T4+MH`TCzA|1y7t!NP(oK+bGI^P+kSWJ# zcp_@2RvAKVl*hHz z8XT0B>^j>d!HrEZ9f??}zj$*Kl@)16lD6|zym@96=jP)WNUxI+u^%px=r6E-B&D*G zigk+WKuyjydP7qnb^N%&l<`_=C;YwS_x{xp1gVm8TJ(w zK`k_>ll2CA#qr8Hc-(}B7A z=!mD|a2+K1m5IUB1M@;k1vLXMwnIp^PA{R~yTyJe6W*zJ43=c4PuY|JV?dn0F8_v1 zRAzrT#-L3mnl5CK$^wC?|uX*K*jn5JYH%y2|!-&<3YhNK)twD|ssFChgLCo_VKH zJyTGmR$dRtW@Kt|EJ*q)LZWF9N#@HNCKBsGyq%gtNcvtsb*0}=T`Q}0oHM6r&bHND zHQAk2ma-PB0p#ite4VA2-?I6QUn3#eCllrklzXBW9+sVS>)2WdFb`R#FC?~xwR2%K z(scan7xF`yGBP8tOFbU;!2)%VeaI_60$FdO{z{U86v;!%1rKeS(I+eO7%0&(2kC=6 z67-Sy%0yAw`Pw0)m;GTfbLuREYOk!`WWTE{kvRD47#J#`XCH$vSv9&qEM&MO8xV%l2p@F7b$~vG`4?Rw1~SwGS#9NH zZ*1^dAAJ<{wUH-*VW90h$i7G)1qP3@!Y94#QJYK-x@8_0WkB+$cEv5r4&Pz58@lYZ zBloA5s3)}nhQrJ1=N9D0PrPi!eW3*e53`Q)dj0J*HqX!wPSc->y`fCLTJtC(a=AGq zGY@|izxzM@9en=tpWpTQnD-RE`5Gg-nTPP$KmVWb3x7w>e+)C5-;|dR?T6ptwJz); zBtN@KL4_IZAEL0vqKu?k&To0WU%gxHb0^_CM8_%*GD2vOFqraN9`f4&Vl?G_F%?Rd zWG#iKv2S8qEp4nmpMWDq(x^r0OyU^&7CD%3^NHUEh z!wYabYb3p4L?@c)9W1F0#a_>h!$+kr$&b*HxXC2QLV*e_qea;Pcxwy;Nfzp>t)-*# zV}JFCmYX@&k8_Lf>4Pq#<5c6H`l0cCug@eWN*eWpgHjk!#QeCy9*2 zsfs9>K@!fY+Q`V;2Q-}?^%}-aQY;-d|5imsxSon^;ZD-SbY&80jg~SPVMixHme+_f zsahsu#)Db)BVsZ)qhy9q2-3Ox3s^G-AX`&q=5wd@SY|d2NBq1ymkzDYhzEnhE*@27cK{VJFPWl6xcB|xlnfUdbUG2XYtI2jm1B|C7T1LeJoy}w)fjqdkb4fXz5Cs2 z!k=t`E>T!LWvVh2E;MjqV-(4}AN!kgjB;sIw`8cF(P^NLs;n^b51?nJhF%wma>+&K zz-LKL~5u|%DrEMl#ts?AhQO<0uOM8#gn+&lTz*z-PGqA0@M#D14fxh zEyOOH^eel%Rv$pTk|J59%^YGdutnmr;lOrq7?YF9*7Jol78wLN*p6Oj75|%=#G{YN zE1Sph_stl5{Y{J##4mf~P%qR-HHmYe zmvxd?6|!Q7`4?yd*EUHc)0?f=?+h5jL6ZD&wyReNY!T%%MN|tUt@4VDLA8uhsNdw8 zqTOKN>~fiEw6Hfwe@5Mry18WXYl3z#->Y`FmDf<)jzPqSSat>^vcRFST~(_EWx;{G z8j%sLY=$HzEFtZX+R zBewwt`}8$tn)bw`-ME|Nn)bJTK8LT>TZH6tBO|HT&f?Um@8CP%X@816_zZpPpZ@Ou ziPusyc=(_HQ+#UmNj&;nzl*ayKZl?F*%@qp<7v#}onE^Xy9mjr7M-lLtF@|ztXz~+ zCX0?V7gYq{kslH24WKzs!m!?&3?-AIvKJZ6uVvsl$*46(MejGUZ=59L?h*Cs#FMA; zQ9!b6QT;k$UqJ1Z;XAjAmz#IuNZ&l8*&emRqWC5|$2t|ILI=zCl+_b|kogqT0Ah@; zWvEQ{ei(|%radK`YaGSoVMdYnO|g-wly9!%?LX~BbYmI$*#;`h4ZM*$is>k;KS7cu z^9RUGYx1K>H7d&xBOp2#lY~S@NL0kYWMl-V{#u$*AR`<)zyX>6Fcna*E#|i;FnFAX zol!&iYzbdq{}d)lX|)mAdXpZQQR@mFQh0k4&u^Z<(82{&=gP>wT0-$m32QIW_*3Bm zUYWQ`E|eHU|6m&Khel~Q*@@P&D9_VK-9eHzBvC8t@vRliy&8jSBa4O16w-}24c45R z>?q3sQd_6Ey;QwiK-~eQ_Ev3 z(W5p;nmV)%_x&f(K4blvh!#i6%tbURZsbiBNrnu=Usj9 z90*Ydw@E&;7#Jf-K0;k&v{ZPl47aeoaaydmwz2sKC4>!nCn8DWpdzncAUMggR46kV zCYKC}?u)7=us!=~@Y5LhoE7x+<}rOBf!KZ%`}@{t;LD7px79Lgub*X^qyyV3VeZ8k z;__P2MT6pH8Q1-}{cs-cQF8)gM~6!? zSt&TM$pB@gFs6P~&$X3B@pJ{bSyL_Xl%K2j+}98HAc-BLV%ZNz=H|!`)yeA+nVX*FaZ#g@LTs?|0-x4Em)@3<|XBY3lofBu^xreqj#QwNOviV{ie`eke zm(z;|No=Cli%}2D8>17aF6UBi24@W5A|%ZuOD1*0;UZaKIf|aBB8;QHO*L}mznkQW z4z%`mUXiXN`|7QjWY9Ep>ei45qJB4^41!IIZ%|%DK zLMKa7maawNDArJ~v%QQOLLs&bNkVcdfJ}LfaE7v(LBdX0#&IGjg%;Uob! zWp0P;K0QOfMLlh-lyEkC5WaGrq^^NiOSfY`{f4}{DZE@pl>~U50jB)WXneY+M#p4} z{U#Haz*&g8Fc}%)X`ag>{R)GZG#wXhQEiDjMq=suB=z$E^@&0I;-7icZ-SYIL+NT% zeum@$neP)|&{~7(B)LECQ);6azQw(}Tb8cmF01pZ(wQ*dNe$KJ*XpKl~){ z-PhKznIm zWO$TBLN@Hle>;KIi!t;~6j)g{fI;IlNEUrNJE4bK{qib3L7^+ZZgYs2T9;r}tUlXP%tGgfUAci7+xHX{gf46imE=gE&~fpeBD_ zs2st}^a{KW4Pl&>HSQ-F8DtpGD1xC42G`D_lBEMpA13SE!l8XjtzQ>jA#-I!Ar91( zoo0oQ$ooh)E4Nlsdt*rSQJ3Eh$WhT#%v*lX=BEwMYVJ?;>lrv`O0XEY`rXN?-x^Jc)NB~t+}7a1pIUfQHSg`!JsXahUXYN z{cQtu;_NgeOm0~NJV9A`C@2yXSrUD*bWr^?wyZ`N?cG2iTvwA~OKCE2XA`p<(-nRb9XCEU48X)7$ zHcC%zskuY)LvZ3q|M&I3h#z0plZM zEH54J1W6qUrt6LYfO)8W2u`rR2Yb|O0NHI<{fg!Y^Yu6puhVD=*E-9{a5bzpvHHX9 z&){J0G@VbFWGRT)pzPLKMyQljqc1sl12athxtC;p zf`%!=iWkc${vd_Z<-^nr+1X?jk)DcreHhH887Viz(WG9ySAu=J?d2#>~_p{H+CWS#puQXZ` zAU9y(;w-kqa7&pzHy^0J@g0dv}ye3+|6CyvIaIha<`K^z;B`>z!-Ggw-(&m2FlcXtln-{SO_H70Y zkQEfK){%d+ir`5GRa0#9Q3gOVU#&k#Vje^IL6HgTb9_X->hvG>VE8zRz%lBe*sD?n zm`x)~C;1IL$daB`KP&G%LT46jy=F#?_tD|0iHvL$6=nz6e`O-(Y?4749dADa1D+c4 zthOS2nOia{Hd161Rj(doUc{O0Lux1LXA8%1D0c>as;a!E3uF^&!?vO0#g@Hb|C5zo zDj1ZX{E+eMH`diC(BaA)4)rZ!s&5(7{4^_c=JS1Uq)Pb1`G+vM{1)7_^D^;Q$g=Nl zeooSnJs5!RzBmH>`0r(!vid_tRyHzflSB7|3|L6QWTLEl+=GMDv_%g@;iI$t(w{A= zomzkM*B{4!KiDL(AaPI8{>aEMO@#bNay^0Ss1_)xJ_;1_iPwle{@v zUg*V(rIQ%SEUIP7nbWjyThj=cX>3wQ@?fCQ*iZAmY@SWx^bZE$IJQiC>7ey=Jpw~141-I>?|j!rMY zah&~w?N>O*zQX<%kJ0%LmEbrOhl9bj*Hy;y8xf=!AV{F0yKutMGh+`r6Ar!nDE{FJdt{hE%BsuN97AD7S>bN7;_k49ZSW9}ZCNvZoA# zdYK+DKr$j_U_?%z#s0`Pk-j54FO%6pc2(b4kE_x25W9+++a`URdgxl*#&2g^lUln! zdlHlm0r?%Mw>2OCJU(-H72o;S?U4-* z`ZZAbeSiYlmb~|p&3$Ii43oLiP#o=1KcXi?@h&Hs;{xz(`W{Tjms{H*Q!w(*QkLrB zFqJmoRnf8f^-uYgTgMG&O0%H|hhpaUvg7v!5w9|ODzUSXLCemsGCv{~&XL3} zqi?i`;ItF{Q;ZuXNnm8coV*hcQ0VeLj%*dLuHyU89KnH^4fNdOLg*w7&J2}st$^>n zatFLCt>vi6WEgYBY4p0b;9@i+!`1Rr{fv#U)fj@eoKwrp93LBFbizEylI7j7!H8c* zEY#*jWWLVzTR}-MwneueybxgN`^iYRJ;ELdl)?q zIn*yedSzSweKaDBc&bla#LA0g-hYV(L}uEHp}fF!U!pVPSJ}HomWIhIG>QC|PX!QS z`tk!&G9SojuuL)+M<&q*8A&ZB)ax$i-As>!M{SdwFR@KYC=)p>UyLK2kEsdCjm-*H z-wNVlY9AwNwwGHBH0`x(6Fm_USs@-IxZ=zMw*}OmGR9^OXP+BF-+nrR81t0huUO0g z=Mp$~W(*N$8j%C7j%dhM;FOaQ^1^A>5v``MRUSmNCO_HDmS9BQ$Qf)~MkaT%yi$kF zEb#mii6q-khNgs^%J$5%EwuV+0&*&Yb!K@R+Z8n&tn^E^+TRFa);vVIFhb{l79$fG zI2hT=>LWEn-of@Bb}RXLD>;lzr4hZ$qka|m<%`EK;#dZ)IU`yc#UAzvah%c@D$GwN zcrGUp$fVSA?+hu3Oa%5E4yx4&y`H4{F)-(08mk%hlku?nF}ID^d}_n5rP{RGo29p} zHMvj-nrDn{J4J^(pT~vQ2GFyg`N-=EnGYkQ1hOZOEQ6<`R2yBm7tSLQ}@{GgtW^%F=HX2RxOD2ZTG?(DYHyAOy5WbyxQw+s_ zzk<>!l3}(Jl^(PIcN4*j^Kde1#R_$p_RJwGvzSNvM^z-YBWhDJZ%TIUW!|z~Ix8U) zj={S5iW&4fHgSlKv420?KI%qsj<$kuxBO!8IPZb9UY^OU!&Je2t*1Jnohv0wk@Y-{WIFMbjKuol1tl5COTQhF4# z`F(KGo{TvcNi4D?7Q=WGV;CjL2>S|Ze<}GPN>7iWUjI1x+|V!sswvuG_7O*c{fy)w zN;@#rx2<;jtz{jUH>c54CJ_kNFzQ%`uSW7n$o35~L0&cqtQ4F$y>$qKiSx9L)En9W z(E*uCP+6ia4Y2Mccd}>9uv>QcrcJ(`!P)_mMG{;!4=7WhPjX@-Gk};UttO?)Ye0G3 z6brJwhO-Eb$$nAvTS`59G47+iVUuPnGLI$+=f@}MPbgo?+VRp7Ufn!`bH*qlEaRb} zIk@E)%%xpP$Q$39L-PXdg-k;B(>}?*PqY^cZ!k~wYuUiYLImk*FU}YDqe(&{HquL< zBAe1R=h&BJ#y;(iY)1OqYo;O~)*KS+b17!<@Gsg%M~I%=|2&CB4li_Gwd}*kNG!r< zzJup*|2w*NRYLNU%PvegFCsQZC6CY;3$v1mMzU)q4UK<6~6J31{fRzF&VNynXdjgEDJ&WRDb{C;x0)y>%Os<+@+aniYsjzO!`IiUxNvqD!Ndlfn<*53n1qW?PrbHb zhw44Zh_9FIH%5aYQ5~a`%3=-GtP@+60nFB?Fz#I?jWW#Q~`!}oDUtFr8mov-?Bc7oacNY&$r zHxndF%ufulQ^=fmz@8IsMDbUw9&r58z1w`7OZGUm?oVf%syePxmbsn1)CxL#+Gx2oy~BV*o_ z+Sp5G=F6*`bh8I}BZNcla|j=yoLFwitEA^h1d5C@Khg*H6ph+GAABe107%}Q)K`N# z7!J{(GfJF&qaX5vdNM(+^lTFK)BHX{QWbW==c_3vA@fv1LnOO0nUOM=-@`e*$w-n0 zPev(ZnW~T&bGe`#x6Dxphe_7M6}2&8Vao$wltxTOMPvkv<@8Uo9wZ-cy)ue{z_uC{ zY`naQ)XQ}QC_5o5vO25uN?uKRl>Kgk6HYY|Q04;3khZZ=P?NXCsmsv&Yi~>;va*Ei z>or__oBikfGTgF-_X{c1Uf*U=O+8_>UO1IgqgHAu>00acZN$xU-n#l}__!yF!*obN zXO2FV#9^=u&!|`Jpc?5Zsd-OoMaEVhji;AUd@hCUmz$V79YggrquR~&mXo1_lu4tY z;}?f1zsHq%Muy=Js3oM~(YpG{`qb+td_lHHzKq(_d{&$;`&8qVZJhm43_aTmlre4I z5{U$LQtiCDO%hbEtDm8Wcv?SSAX+aeiwOJ*5rx8%Z*XETi1@SGKVHN&tQaXwugT+iv)K#Xc3W^1KJ`Ob*TM zvYNNy8g$}I-&?{j{x^@Qt>b?oO55aR07KHWRG*|-^QvDf?vJM6_p`q;PzyIR81LOy z+cn4!p9-<^QCD4uD91xy_>YtPk^rgQdUHATql~dYhUAj{jXp^Bhml|B?u%y-IOs-< zI+RY(9+F&V^Fb^X#?WK1pE0nNRcGN+0?E|?%thKQ+N8#I4XH)ynv-N}l;s^~kjH)~ zJNydSmx-zp^f^|t;X5iw;U|(zvz4tPo{j^oIMdqt($UIFO%%EIWur00( zBV6Am`D2^0ulf#Bm&Pd@>Yl73RKF|7I?Ha*@(b0KydNuxUi2}*cS^9kQN(Yju5s)5 znZJO)`*VkJ_V<6i^IGMm5R#uyY^l)^-$aXytR`tZo$Lg30k@ z7+Ev!sL~(?3w)-|<1&_(dJzf8tZ8;sMn|ddu`_Q_q1P$2YDEpt)f`^9_tQDtJ4~m_ zhLw>NSsjy=9RdAnXGXPg6#Zq<460?h#e!dLcPs`|HcFB;-O~A@#SJu;3UE)ek&X_b zXPVB{Wg`CqS@(LC%0gpEMGvfQ(D^g6kWf8j;PBuAJi|^!1~N&%`gwHO+Skc4%1?v4 z=;&qjP4%S&R$uUtX)O`CEMJt#U$S1kT6N+~ zaUY|77yR`chW%;mj}*`wPO%)oGuizJldb#6kj3DvZ1<^sS;S$9qRYsS7;xG9KonP) zt}Oo)C#3dKpd&X^EMt-#A9bwHMc`so+N85u-X6s8Orf=3hd5leMd9fbUd^0fr=o1> zL_IVp5+TY?i=ip9_CY_&Zx&FOO@o$msBcvmoiI;Eg!0-;hVzqe(P*$P@@`Uoh14hS zu6x*-Nw$)~9!y0y;pua+BamD$RLD7EihRNEK{_m3FK<%DG)M>N&`DTi_+G94@H-JG zCe=PKm9qsby&j-}4`5&a8bS|-;U>wDC@t}#khmd4vRi87r(lF6gbpkeWdxrm@#~|Z zy1O6F5q4O4wMIcVU)x0KM;Rp7NHCLS8YMs8*xrxO#*4*Ri(RqbAN~>pc?Js9(R9l~|%T&GizRGzN|lk_XwiC`__mq%#tomPnJ1Tz*4I z?R-mTzqZtaXl;v5prmwGoSbutWg-c6)#&JG3?+)ql-Q1H^#h|Fw!i#-#=tNgnPDnY zFUxpkyJ+_q>m9GcU(2aIT*T?iCUEM<2LmkE1EUDY#&#qi67jFT;YYu7T}^hDW#`Lh zTPtK7^7|>&iRL2PoJ3l7Fm@j5Q6qgIAu~cQ>Z3>Pd^x)~j1X-_A`?Mxb4wXR*}*rs zzQ!h|6I-PtCV6mH`29T7OOQ z=t;vxBJOw7#*oY>Q&CjbNWhnqC_YOva?XS7vJ;CNgKD*qe{+)oN2xWrUM9>_=FJNk zluxCRS#+r({t@3A0;8<6;Xq}psdjypm2S;V>No$^*D6?h#eP!P z69#hS0HVn?ytz6I|5{ot{S}gx&-oB?Ge4Q@P$vm@E|MW;+6<0{iV@w>~9 z9v_1<`i){UOx4JtR;FBP42*|JtScsU#G}YcC?+Fi5+$>qv$Ts0Zk)pmvV}a%r;(m_ zg5iQ1p>a29b4ap*K?c#%@7Z6Su7=tMLKZ2=()Y?4_6;#h zUI$`}^9&4A=-F3ge`Bygn+*C&`7NARXZOKhDXV(sGJf=Wg^y~4rF$MN~k9LDN*{x$v}`_8WIHHU;D><-4FD{3RIrrS-!UQ^q9Gw@eY zV0p2D@>^6;3aawd2LEoVt)GMYT^I~*AYTd~bC$QhQNe{XgJ{UEm+OpHE+nxv=cU2& zAU0G&c$}=1u2Wt`$R_2wx;P-Ah@>o-W>1mx!&KKzOuYZDnKBHnXU<@1Jq3S#Pkn{Ap%e!W~L)xB#;Cx zkOUI+FhCEkkSnRAQdVVEW>tD~^Llgc@phlHz4zvuwccGNNTHU9`Y{rxbd~p>z4y1j z?|mP$*7H8biS`;DcbkGj!AmBnkghaF9uNF#6R*&-N{`hJnD427tBTw=iS)NB#!;x; zQW2BI@GhQ--!~24R0gP~R}trUh?JaHr%rB{C5RE5Bhx$BjUa|BaY)>Z+*SnS#i@Jf zkmw7XU^xc&r=}5pnMQqNC(?JAj;SjB?KWL_TwcajZOIIZQ_qvhxhld69}`7CcFkfo zmO)~!jV?d8yB7wk2n68n7&4wv^)y#wb6RtJE zg8GCA-FJ>h*vn7Dq9e5WG>k;}y*IL^!J7IXOuGpbhh+Rm1Wp@GwC{1F74~)Vp6}Ms zCG%7nZu~3_>v{{lcga3C%cg9)xaTvWL?xF-|64MKOEQ0^XC4AwB_TgKh6(;;<4 z$2@#Wechsi_1Vq2y<^%(VCRB&QqbJOPHPrN%}I0Yr0>&I=l#7X*6*cp@`i=Z{RY}) zCmM7tV%O^0Ea!7jn#94~039%OmMqtnqj7%Y1eG=6UG+4g^9jp&5G)etMCQqg>0Ih- zv^QDs>1gibw*K7 z?pw1TfPaZVO~%620~T^35(>eYco}% zs*3yG&mTO?W(6-jI}O}=7q5T!H|FQ{cX5lr{zty_8LX_V;4@$P5&r8I-qoAF-`9Sh zpN~gKS_N~2&?Q?I6PTSX8UzNSHW__2-_am5mD;(;mhESg#!0$L6+GBKPelsB-=)CV zI%JnLctnKi12KABL9G`uojMh+lA&lm9U!1?zhr9tU)@IUK9TeVYE_GDJVRkzFPVN6 zDo+ji=o~5Vs)X<-X+$RJaOMI?&UY}gS~rbgKsF8bS-{sua+JeX{R|SW99#-jQ%HsG4P&EG*XaCTYk!eAD22JwI=o^=1O}cJKWuau z6BlTPTs>1V>8iC6g~qM#!s6r+V!=A5qItv?dT=LcwCAW8a^OKa2r8(@ zMxZ71ukt`XgPBi6CFphdUQg4=gEHjDPM?NV&X~?k$4UL)+BK6r)h$pnH4+`7bj{%T z%nEE5reQmyWL4gi*ldzULbELhO!4+UZoj#RH=EZG8#YZohGv?0m-(8yEGj{Z&Mc76 zQeOG`X@@DlQ{tQ&ow;ZEzVr0*6G|H6^Xw2nJtdH$v)@^tz+1x?$Sz!_qi%>Y`i%X3 z#59gPOyk72H!EJG&vD&eo-~d~Ns4OzmFn!9Sr`+sBGOYu)52M-*hVlY`>W$1i^c^> zu2-3Cd%Fp{8VY`tN9JoiIFD(#3Fkigts0 zpvj&jOHWGYQCGD=y9V!|MH|h1Ad@tq+aA|CD`wn=mG79(`?#z&vUM}%`X_|0(>C@7oB@Q#UDV6%w4U)IU$H@nFVwXy%K^E-7hT3E0U&4ugRk zdsx5$9r4^?kL;cMK>e3KH`)P`3_Hq5Vk&e3xB6Ld`5Je+cys?5borf;4uN39GD+~A zVSr0($9`uHEB?*#^AG8i3DCb;zm69s9vl02ydA|m&F8u9z@z*MrjDM%dzm^g$@izZ zPJZr9f}mn0V0wFuRNCpGpbTjr-pby$TuWY`$u z-*5jQR+^ipF<+(VL#Y)y;J8ScQ+Hj0<*2(n zwn3Ef{s}*$eoVCO!-r+l{4YG+hn);v1ZdKKViM#9037NoL-`jl^YmK;FZ35(JAVDa zCvh$Kkk^cxvfTF05FRzJTbrQ{_eiSwd2VH+;pZ9+O$5I6w1=a@B;Lj|cw|dq5?wQP zKm$id!d3D!r}>=fqRs!)P*43>8wBWgN2W=l$d2UUKO;t z8|RVs=S=dN&a>Kw7HS!{spU2!@wsNU5DnIplC-E&T-YiFGfY&b`uKpKz~L%|QI#nv z8dEN|<_oC4y0bsP0hzn%sg=ki=ImGs!>N);mHqzOAr9X4nDFavb{3P9bl@+i#!Z{Z zhDVvU8A#@FQTP-hS8oBzbMKWyy#4wqEEevY^IMg^xvdT+(u0n@qe$UQEO) zqtY*o5V%MqMPuwJG@v%`y@v7>XoPicOGNFWvY&EnBN*(!?V>@T-1u}pPb97ea;AHp z5_C_9T8UomnjU+T!g7j=7$a+=qLks<H$e4L7eVf{X02Fv*9?Ihjzd5U;Ni zrV_!glY2&B(Z1C%vmkUt(0-$c#-kp*fg!AF9}k9SaaMgXZR@5@wL-M@V;5Y!5A|&c zd?o?A*7|M^)*1~R_g2$f`iAL=qO)t|T*;tWwVp}XGl|kN-h7VmAa64D zCvK8dXsBhQhtm7QaR@j_P)CRG+QxI3^JUULLy@-2Tl; zOh>aOM@vcAMBMy4Uni+(e5Znw_9Ujbmu-X*9E{uP+a~C&DU;335#B$(28~5=(;);% z0L~|N5tY$R(9mW(C?5InuL6U&CiaC(`IV&Lh1nOq&q|KqQQJ} z;)>MRC&}=q4hWRUnt2UhmpWJN5+-$UI&vZDYa&f*zNmgG0bToUkjR$yy!fn zL!+Idd?@lLS@ze9&@7z5%lw`f7fmsO`f7v+WZ0B<*<}q0^{E5zd=O_y@Ph6ZDp?=* zHh{Rdguq$uo7aV(`XD!MjP_GMi68sn6@sA}2DkFqf8U4lo}0FB+&oes%C2PMI@g%b zUHeuQ9~@jZxjy9~!ELKW8&CNqfekeZ=+vCh)N*f?;Fe@cM>{6+{XIMLVFv&1TQA~b z^&`_5uC-57U-u&z?!cjHJyle3Nji@js2!0=(w>{acpEi5T3<0aA?_<-oW9sQ%{??jkOpR@cqPguV*Q$&Ut>-b(IifwZ@W1%}3tGpQ@8N4- z{_^je-=Wvu{MwiCWxoF9ue~`wg8AOh_xsxK`S}6j$Nlq(df~b^;K0`E6N;V@?Y?5tg21#hyg6E|K(K;6`Nrz3gqUs5ab2qF~ z$&xt=S%LyV$*gZ$aMQ}0_Qo`N$~93WLW}PY58$1mK+NzuyvM<#s$o8@s@o)$sr9)M zyHru4p-;WT9!4b^<5CCrcUKV~72x{>1!9uQe$qhkZN4U!(y^ONY0VWe?XssMrW>NG z)kOV(9GXl{6-0(rl*qjMU8r-P+OKCDX(|Mn@iZNh0K-uo{|x*pf+ce+P0!x8Yi4PdDJ#-$Q&n4(wN&v zrgPZB(Pjj{)4NVSSV0;&99grN9v#wg(V$Ur&HBn1aj|SovfZ6FZa1!B(X(el`;I9( za{7|ddc~aAdNn>X^ax@kxi%u<^ zN8}3cb&}5L&Iu~-Q5}_(yUg`euNOM;;rr^;>wz=nHl>xeMeYUH-`Xpp_fC!mi6BMQ z7pg4MJ=iS-du2>GPpFF>bX&YW8D_H^z=QHxr0O)Lb{es22aOMD+$#iibi^uq)ic}a z$kcLKqXz7!2@KMHxKdPV&08Uoj5{l)!(@E21>Y$b+;M_}UeC1Hx4$qA#|+n>pktt> zd<3h?tREh<@SAJTW7WB1N(6I9A=BpH%5h(d9RqY^v}FXmv=wTOBv!ZfXwF1B#Kx*t z617nj32%`MpYl$Y?;>bZ`CedFkvf7gCtZlDeF%I){9W{lm-|J)ld0fg={#m!M@W)2 zJ5&Ls0g-D(Y(J!YbUR2m3El{#H)^Z+t>#%I>U~tJK@Kd7MnMjK7DcrW9zBQsVB>3#{-U)g<{=s;z z4M~e4BFY(3PLqCYWY}sk?(VeE8L9hh-SiqMloKYxp>D;>nNf4I5J9r{q7Obg0=s%v zY?ZOLz5qW_+&lro`MDf|pP-Iv&dy@c90{qE*uD@pP5Cr*QL{$;o*w+OcGF+S&NZ?V z_})9YfZ5QoDFap>!tq)Vet!>>sR|}$>!y#A^ib1fBTIayWsk|@>1Bx}_O2nF-#2ro zd@0IGo}?wuJ(%+%wCYB5)n-N%D6*uyDqEh;mNrbz!z2k4l(z3TO*db^vxSp`D1l-h z5wdIt1w+l;W)=yaKOtL58|Bh8*rJ&PJ*17=$;`o#ubUhI&CrtbR(_lE6MPzrmv*w*M8ZS)q-<7c$GCCsXahWyFS~pib;EQP*DY!M z?c#F?5BZ%#0y|g;*y^;4c}&>}CP5NK(Bf803Hm1aJnOh^Isz*yu4uiwkE^+;Cr(0; z=J&Pea|qJZRL?=%3;LD*5ea&RZ*9^R^PamUI_w?+7Hu*pYqeKO*gcqnze9Q|JF=v9 z@-#X33TUgSFElWx3yDb0*qvXr{g>sC{89dV43PY#2n|J=4unQd8Nw=CRfjw!)F_d3 zDqVr*5%elHbjmiv5LB+JE`mB*QNtD?2iG)}{}ipW5;8x?VDo;$w3s)Q4>Z`$YSTCy z&7T=o$#gtjsFZe#>^MSa=Ut+}6Zz}7B3Dl#raH6j7vxgSlKo zOka`W0JUBeo*r59$b;lO75EAjtU;%-R>8X`gqz*Of1RgDm&!9O10 zz1(F`1#!-`jp+y-KvW$sX|Vb|>}@0vu@}b~|78NjV+!}_h%G$u>Je7$^ zUI5B;P<%=`Xm{a!jz&_UYdUs|_h4Iz!>Kxdf=AGZ=$K6T75g8C;4IfsDn$_LQwd)<=}wMv^_9u)FflnD+8HXuQBO=njfYavE3NYK@)I4~199(OP$fHO&rnYzjUiMz}2 zo9Y@G|Kle2?;;WRShh zs_C|@>nr!kMzW)p@}U5~JK{jVNkBOw;CBzlqZSl~<}+ivg3`7JetQ$A=IMy8dCiOx zm4a)SpKFq!TWdq7t9~eohN&5!Cqko6fXq8JN0gGMwmXQ4Gt@st4+#2HCK@>9FiqRk zNKO4tln^eE;vxA_L_&6_y5qoC%QtbY_ZYqll&6r}90LfXc~^b#T#v)EKvKdz>)dVN z!NDmq^8un^l9f5G3BPOjh)#D$dRa0_=mWl4RZCt1D%Y%+z+{Mk+W8a23y`a=K!7$! zRVnq13m;W3!QLFg*Q{Zqw17~%L}uSLU{g7B5FiOqjyha#=_)~Hx6bEFQGTfB!zUGF zN|R7C1GNh1b-8Zbe`lEBgM>=5H!AcD!R&N=@cXEv${T9Yky1xXcPWq34X(3vQB!Y+ z76Bh^uljkogVf1NjSiA)E;*?6@Y5gU3?TWLpZE#d-BefR9(z&F1qj$J zGonLPKt0N?j=P*R<0`i3K53ec;Ibzg+hM9{2*zj(OY+ zzV0CCpv6 zlLT>)=^%K~0q>0%Jl7(o>6bm^ME5bB>4!D`w+?GEi13SK)lnNx>T$G7b~LLVH0xeE z9o~n|9w{`6lqiI)UK>3J1>(~&xIaAu&u69(|Gc6(G`x2UsBF2jV<+@Pk}}M z9?qt=34RF1_&j_P(|uH9yn9a@TuIWJXZ&@=umq{#APGc8tXa!2BQxT(D-%%O?A9=S(vx)n={JZJpv$w+`|-pvTRGoG%6jG;yf*w zqckPgs$H>|gu?l~&6YVw?e5Y^H@tXcHIV1c1VH9X=ctfp|F8p#Ak%lsjZsM97bPI@ zo+in5KQTX!im0I;SuZ|1gq0=b(zWeeQ?6s}eix(n4>8&+nSE9Xp^XZvrfBh(=uju9 zsNB~T-;NpU-_P@Yxt^YRAsgU?pj3O`v{OsPYzWAD#Szz%>qw_PJZz%%vaw2h&2FcIw>-%ZM zU8c0KKX9S$ity2SeVtMBKWUwj6F%;9H&ebm7A7)q(_ywpT%&-?$e{o8DcI*D7}RJO z$)HT$6M?h2hCp(Nj(9GJ(-XVqxTAdRGpyAra33iTG3R&`y7Ih~hcpo_;4`78hzH6D z(^#sfMLF+B?Y)*E1{N8awOK^#jUw`I4p3O5ljU7X$GZdWpOb8n*HW%n*%n9EHi29% zj^knquTk<{4OAQu7}_!sdHzOCZgcK#?KQ_Si9Vp?IOAlswfpg=r#yuxU_aU z@hzn?3h|AV=|gUp%hj0 z9p(222Bca_#-{T#Z5{~Xv^T%|!~4?ut5PlEs~VjsA#Cm^g)AL-3=PYT02#dLlM(co zJeE2lq+Bh~b(`KH*~2hScDW|Bj}8)K=UJ|wDq)fVGfpB~jG2h|NMtY_B8c~xDw?j% zi>tmhv|IsLa?%7=Mz$z(vg3BytV>>m&bdtVK*#rr^jjiMxdu85*$~Pa6Oa4GuIChi}L;SQ}iNSE9xLYlR%7X)@>#}YZOA}FoNCV8SLk#&}jS2 zZRohByH1^{MJX4wH_CY-(91XD$Zh(}wE0%eh0Z`>#Iem%y@hhHRMy|$;5yU)s2xMn znKf&+aX5*}`+bx6t%|t7Rr)dBr~A1S9Q3D^x&(RrqZ|_b`Q!NW@gtjgYJvLwt0x2< zNc91cX^1Y9#@R7NA>gJfw5w@@xw?A(li_&mSU+4wI*^BRA;=9SqP27N#MBS#bT|VP z`$?QWcWf$XOlXqqJ5bI-LxkK*+$0}8j)Q_9pb<~=?^G03kmMUdOh$@uPf@u71Vf#+ zDdkeewEfzInXagI%U?P86i#=yOiqRpl#Z=A#Jm-FpNX0L5V1+k9C4~GyDC@c@N5%g zJ&%hhyiNo3l=sW$^_^A3fGn)mzaftGj0k8JO?wbkI?NM3Xro>bY!<6hQ#!U8)#|2mfA}0Bl z#y#acF(JyZi^f>iiua*1Vy8y#(qQW-Nlm=ml?o#DykXd(cn1MGHT$_J*Mknb)WpN` z1(k%7`!a&5RQzmugbADaGWyl6KNa(ov_mBx)MS`{~mux+45L8K@loaEp$H z#$M~Qdpw6wzX&G{s3xK|w<(|UOBVxXUPgSBrGIN10H;|jg~5~=G8XpLdGFi@qmwnX z4uIYEGLEYgh_rI>aQ~uneX?#k)D%HbQ&9sq2vN8*G^(~4{7nkce?!Bm66Tm33@@eU zR5gIfC)vM*#o(UlkXzo>XL-*QEwOg*1ex^NkU4j+wOEMDK|PDYlS*>O?F}K=P!7SMOZIY<2?&n-Mg3 zfl#+-jsYGO&LM4O%(QIx3}t1N0G#q@5kRO^yZ5k)&UOzCGU?)Ig5a22(c{{6y2u}f zFmSr@+{6|_XX(UdXtU_-m4LgKA*i84b*fZbRUmT4v@a9AW79xRrP%$wuDKTnZHA7W zwmPTHz)RmvGJ8e72;wS=tR9syeD4I-MiI731NGNx*xa6lqeb!*>tV)mLQ|#a$`Et@ zV+0oYm1hzN-yj1&KLwlYCUv0y)g3gsr@rfS)(c}`s0t4Iag`t>Y$n30gK^hJrfrdI zCi!~MWm>JDYy|NwJc}f4d8C;$Ytq^pn7luKiY$A94tphJqMzHP6@nrgZBz*9WN92R z%+UwvxSeaE5f%TiS~oc)|KRWa1pc2lLZ+df%EC|TDLk~DLCDcV+>uAln!!Vy!K$?d z|1{-<#62zVeUy2E z%}}p|_I4lE7D3`Wv=^BkJX~7GTxgu{6DHueU&cu(X(mihd$Z^Te27jB5Wem;eSh4x z4sB_k5R5=jM5H-HY?L?6?5d9}tmjW7F*r8*tvPD%7H*(Q@H*)}K_Xp4JX|%$J}UiJ z{*O00LZA3gP1n52<#dnGEV;1Oxq$nfW%zj=$MJa3pX~)2dv#A%bGxG|b{;12@BNMc zx{hpq)JYagXJHbCG~kY8P1~e%)80NGwm?TUQ1-js4DMMvpEvy&rs9Qh66or960mv? z2nE`xSgKr!_VWm6Z2nmq1tr+2O=yyyL3smPCDiWG3DJ1#Tva_WG)YsFLH~K{PvUt$ z1yc!jyr*v7!f`f%#Hk)^SEo!ela3ZH4m_i*p{l9>fDKa)e~GQUruy*w=q(Yi}V z7W|IUTSunK1M)93KBIo(s(>;?gX(P+_%zAKrVu!|=;Huk4{)rEzP8{(R z4NAkptG3V4fsXJqjcfP;$A*bi>1?$0I~t2Vg71ZxsX=c&>L8bmAx?wb+@Qiz&{A;% zit-5mbn{1W+4&TS`8GnA2;j&jyc0ACSv7mbOkDvX^f-aop5 zKT&VuFJ}6t6QCz#Aw*}UCD9r97h4Uyh&^7vXU?s44mzMcmeDaro5s2LOEjE=0-ZTO z^pMK-I7{Qm?;$X;BuCqA!zgr=qab`qw^8Vk`jwX6eF(W?30QL%4wYt$gnL>Mm-(NLZnx7%daTr>WC zuQZP-?$ykx8Uib1)+7n4Y>_VL8s%opgqizf@ap~IdnpdvbMssul8;gi2ir;TZU{h2 z*v0~WgvR$*R&W!r^Gc%M(390>t4ml8$!y*?6w=nd+S zy4KEyak}{c%{?2P>Zia}HNZTW8ewiK%RQic(t*0w)tURQ<1gGjM(Nkec(T82%3WLi z02ZRVW}>RI(?V!!WR46qmDvCYwGy~RIaZW}GM@H)x6zck{E|)g-zi{sBZ;X~b+}dO z*6yRS?J#pk;*lx|8@HoI;M4J&(taIv;FT@>!Z$vL^O<|*NJEa$;~nzObcPJ%}g;#u_Kr|%cWeMo-h@8QS(yNkR>C;B9p+1ezo`|p`KM(P_B z?37R(l2rDJh@PXw(if#%^`}s13sAvzoJG5|&9g?E54KB*~!(5{ID;zmoqU+=xB_G!{cm z2^YiWq+z1Ny(|?epE@G7jLlROEzqf;TX&*GP;oq%$2&NU73686_)G+YrYyf{;cnpy z68#fXx-L?zh`Vowa@8K<>A?y$G>S`jLc2ONW$PhTeoBvyX!NU|gU)&fNBJqThbA2WozHcm)zd*lPxJ3*0fxv| zgL*%LRhq&?uw@b<>tr0I62ZVF3YrX+n>Rz_qNX{T@1gVYXpC@y_vQU`nvRPMg38eP z%|kQT$Vo(OBLFEs! znob-2!YNFirF`C;BhaS&Zxl?|Qstz?=DJ8<-=0s1pRxsG43D zGC(_JIOv4+`=Gah2pzubxuls@)OpfI{m>2{4W0URJgA?i@#20F9)w3+$4iuvEJ{~I1*ecS$;Z^NARYXj)2a9y6Zd_A2<1XT)=O=b_J)3_v!3-KXSMN`Xnuq zV>MRG9fS}*L!*3#HXz7rwA6^L3wNi7Sr5TqtRr(~q7(M8!zA(AR;i10_Vs^#i0(!k z!AXLeSluMGCQo+}yzE2h%($nLn*W*ZqMEHGHcZ`A7g9|WR^^U3 z&Ee4$;&>~A2nmdvAX$yuJd`Pot7x3K@Sb%Y9vdASCPB$UJWg3ZYd1~00`mmc&(dkH z@Q;rmvC=^6UJKO|H{7%{_8J}hTGI@#bL4C2?X~egpEQ0qsr7FN)CXNAe=Tx};PkB1 z*i>huZT4p}T0rsw_3tXd1bv6A-$A@a`-cn(5bXnbjG5mP8yIls5A;bOZ8&buz}v24 zud{*>Su@QI2FD#}I<_MFHr|6dn%O2fIclRx68FxNi-;c|Ky#%$B(LQYzo}4EZiz|o zrv5~2MKdf^1kw?v4iE_0<$Icb{2al8$C|+@?+)z%AA&ZZ{!SCYS>EF_DY()u6U7Qz zO$;fIN~l)4fOJYps-8yOL^o=!5V`u4$ve9O;?K>9GxzpY?{GED!;XR7UR zy#hm|&QjNwsB?`jj*3&5T&cnS3_-q!{-;(#WKbj#A6IV1X?NTtzba_Be|HH?LDL8|0f(9x1>}Wx2jya0}~>Du)YY- z3E3tWM8HIem5z2BhsP6!S$oxD+0#ei5gjs_xRM<;j$D%*q4G(K0HHEOu0KUG5kzM| zBTglmv1L#l#Y}d-n~u&KBV+#*Sr{EH$SRLtr!tmWM8aNEiR1N>(-E=SH3d_Nl~h)X z4%kixpl)~S&?!fv1lps+6pF1lf)okwDSkfT#qek`935@Os90q(vmUyA-V+(7!pt}#kl0Hj5uzae_82)1ZP84fDH9 z6r)haUSSra<_Lj!Z+tvO$6(7f;N%)8<`w|{_k(P{p!v-Lrh>vk$&y4za3@2{?3!HRWc zviDuK9%MgNKd-qy>T9B-iS^#^FW`(P$H%=MyG7U&jwxOhu9$tLj}tWAE=7aBXr_oOH-^Le5$023ce9 z8V%YEfuEvI`(^Y9Xf-W3_-qjV8&MPDRfK4?RT+<4;Aa)_Djf%r>rIeh4GmCK)rd;~ zpWtd(8z50EV6QYsAXqZ}NQ&7o2{ErTQsX@vb_a_Hwt24vP#Oq)L6cm!4(+ zIFVVA2}N5dF>+i=N*Cx;hf0mwkNRt-SB)!0_FZTZNYY3X{OGvQM_G4|IvA0S3OK9W zUo%*OvveZYC@JU0Q=d(KhL3vC>|*#~*7TRvl4(TS)6Z<4~L`Ah2!KUOn{iEF)HumP> zq+M~!=_YB#o-B>Z)rZg^F^ibE*2{ zWUF{*{T$|oK|5~LD4Gv7QsE7KZ=3jP#k<4AcsXU5Ik`O4mszhcTiP0_xs{{o@+6S)Z zTL0{LyamCSI(0j##?l2H4+Z>flkcRlBC3p2m7^=jHOP?gHYhg)jy-};9TzD|x=URe z@tUbb8Q!pElHtA1j%mE6wgKwtqnSPyNsFRbT7OkL-p*Y>(q14j7~pK8iuAKif=7aH z9oJDF+-kz8QrnOVp@7B&w6SwAjbffaBukK>aVrF6_M;j$a|=*U6GeEHK&<>JKi7R| zqs}Wwg|I}RNKq=;U)kEgJV~oc{g)^^)DsQq3_Q!f^E-8lQF}B(Bvhwe<-+h8!WX&s zN-)lmpwT?2aG;o{uOR{a$K7%3_&=}@$sh60#{kKH=dZ#yISvV_0tBi&AyW@5Q0XYp z4h`k%^fBC_TpD9;9@jD$K$rfa)^V&E^>t!V$&4hm>YE}Ww%LIWYtV=ff6rAWj>qA zhAG!#f(rFICa6fphP&JSonnMk-=*ZMet$yS{(9<+bxM>R^NS3EQ0BYH-2!&>+LKsKWf3{U^-%b;+Qr_<1Y&ezu6Zhv#_Kz1 z$mhu>(_}a@tTZlGv4f|rS)8BG!8=cusy63=!MF=58N_id4FY;XK{_{23&C&?g~%l4 zqj_UkG>f7{V5V02Vz!FF)H{5ylgd2e9_L2rSuRY(N+u6M<<^y25V83l0#kH4WH^aA z8t3lFbWF5Wnx=sNxU>bH)!xDsS|YY8d|81!;&{0rI;znG#Vzv{wRmeg9eSSq6>D@ z>ieWQfuy5ia${0zW~ZJKA^-#v^R9wPHm!OHM(4-Pvh4>t0wma)r7Wrhmk#vZHL|Z*#Q;W=$hbp8*ln0(Q{{RnnNdePvd zDW$j5{&)yLk?-JOCrbIC#U&u<40un=Hu$d+I1p5*5>7{ss&Y`L*tCt{R25b8KGV?A z084>&f&-DG;_w*q64=gT%0iaw~>*+e?;0J?q*jr#AfJXbebHW%E~DvXBt3YyPoU4)TQvX^VlwC>Fx}h`lVXeD>l&x&?r`nW3^_7V}GwwHI zh9uICI+TB?yf`&RoTG1W(PpV@t)IR|@8NAIq9@&U3xIcNU!PEG=)6@x(acho3KR>0 z2m*O^xy=-~#<63ZT^(TZOc##J1Ze7zN>He|YC7V?UJX62mt)RnssSrq%5uwsuTGNg z8IwJc(@J;>$*Cp+T=zh-kCd;3V7~?pU424}eAe1AK(WwEBIs?wGv|Vb@~56CG7R!@ zffYWlB~*Ssk9Wj`gw+etQeQWdE=1$mT~QG~6)? zM zH>lXxr=iK7qr)n!$0d~W0knF4xOy!!j892{oz31jGhU(9+Zi<9QCVykJ=(5=$}A?P zDkl1)G1q<@nV~uyk`XGOL8#bOtRb@>#c{(+G)?27^Fp!;l_{GcUt}S<$1c3rxq{Py zL(>PMb3hQ&=%d$jn`FJcJ0TQKO^p zRmmEMbgo25{arHA0h!wj8M50#vYSKDUPH)MHwMV@#bwwoOu>K7hX}!lV>t>Z1$@=L z3x}csI?51Wp~HQgS;47u1vqb{Oti?SF0-p4lh7*W(mm>%>WH(c6BG6vbR4ESMoGD< zEK+n}t#aCci{-f!Bo@1-OYG7NuNfmFqk+$4;y4&CVm5SSI^s4@9N6rxV$yd)w#2=q z@rgPsaCymo2x6OqAZDh?VqV}qy_iDc5|!8EK=12EIJr-dby9$%PD5RDBeXyT{vqy* z#@NqO4xaHF7Hd=0Lat6Frw&ZwutL5spPSQkUtMR$?^VBa&(ElOk^<1nm=>nG2!>%}$@jW>7WNZ;B?B-|rK= zxXgLArscYi3;5Oj8+ai_Zxtpl-RYvXWi##ELyN?0MH?Db$|I$wm9=5FzJPH41U~hs zpqyEXm@3+_5`tqfh!8;$OnEL1F&X0Mtv$3}=i2l8)U!u3bnLvx+Xb#yh_>LRCD>Lb z;J-l`3i12j$eLzl0-CQDpF@O1Btm&~U7&u?Y8as(6Qe^zT&im%EPe~IAE1#vO-G>F zK-BBe?JVwmuz-2nju{UhyhO%*jsS9+Mua5F*QpQ`TBd%@e_0j7+6hCqxQm4mPgr{LalV}^xh?z+gSl~KvZ){KU`1ZT2aA&(_IAiz)Itq2J zB@2FVe~vnJ!j0wqdMICWlu?ofY7+HZEeQx1-`&OF%_EbDJ-AC29HAc1@bk2(t*@UT zzwSi8NfJcX>`w7D0tuC~tA~!}iZ%9mA2g%p2#ot~Wl7puH-U1AYj{{e zjqi;HD#j@(FUEFm!pP}hi?W=lm}s%-9;)gVMV4uAyjLTbSRoOjT@tWWX?SO+2XC;4 z{=G6P?+`%P`iLdkn28nO@egs-nl@$gs`l!RXgk6U{38N86CoiW`LSsq8cjbOSpxM^ z2l+!cJhau37(q{nM1%73+q>6rvAJei3v><#CZ}evyNCn|NO&ve`lUt$U+=zvt5}0~ z(vF;M9?9i4?4Mp3M~C^$-RuZ1&3AcW7Iq~tbI+U?lLQ~MP27`cb{`=U92ZF?u1uI| z)%Ie`B=st~qvOn4BS`+?L~NEaKEZ1dyr-+Xa1z(MpG}w!xE6`!pvd)8f0D%{Y*be3 zn_FlH>b9c7BH@Y}s}SUM`vP8Y z@0erT6Z;&d5_y=$o6{k41XNnLOk%5D1r!9i+9Vy#1zhyg8VZ>3&}Pu52xwCd+jkq- zZ!93~%fauU3~;}HiSqwn7a*Zvi4nGGSd~Me+=%)+4Rk&z!9PcDdD1}Xmkaopr9XoS zTho+hImjwm4De_YrOqc^ zTrHwH4OH@73BohsgyW=wTe<6)^&B9wN+Uf-3ByPQS7IUM` zaZiIVcWQycqNK=2c{DR)2cYoe^i%=12)|3cH*QS9b3I1p=cJLOGpsd?(;bkdd{DTF z|FwM{Uv%CxWnK#RYRaanb5vQfvAc-RF5ZIgIt8ZMLuuDz4DrtKJf<#nkh)4%qEI=1 zRDI)+Vvs4+KWO6J%uSq}B(N0UH+dh{t8^3uGYYd+?~#?M9{$BCQ%Z?-qA0aqCZoEw zdljdCfP!^}^hs^?iHz0YtKYNZ&lJz%PY^ghwVyK$)A}~?UO&8K(27bZ%&jo8XyU?EbY` zI+`RbH`OB7p(OERnyDkJi#kHmUxBu-9Ha;S#I?S3rCAOCc z6xG>QRZ4sv=O~MVAsw|H)7M*wUUQkO{r$CssW>w-r$&8s>I%Wa+V(Q$7K(WOPZK;5 zge!^m=sPY9(J{<$-{L;ZJGWskQw~bB6ZWC$*)iN{pikgo z-Oa#3C#{59^@33En(~I-5C^C)%t0NSuLW_Zb%kp8H? zOpt|N=lAk|{nw81E@kexHi={>gZOgKUILg$85DjoV;r70 zYMDgo`Vs9 zW>DI4A*gP#8u^f`jb(I##4g~4Mg8gBDxYD03Mn(DD$RJ4vV{!&AJ6aueI{ zMNzIrktw7w^D=$H)%h`)p#AN9 zH}J}%7qGl{hh&wXCs{Hj`~?0`6qLGQ5u~dk$P)SIt$T(oOuCQFk+zqztq$bh10>)3 z^T$7jq%lVMLUfgC5cO8jBwR)&h~$((E@r;lz}tWXJ)r@of+&yS!P8TioT`|!T{(5tf*%#8 zO){5q1q6z!cSZ=lOu$?;f0jy3BX;|jlbCv* z%a!KR#=Ak#)MS z#qlR*n^;)tU}3RH3_dvKXLuiU4jQp;Tjpm&yf+%%_22d(vBbRs;+nadwN*rnTiOC)E z52@Mo+U-4pTC$FI$BimdXmI@lF5Z)e3S#flc<{Ps$@VI$RLJ8Fyd^D;Fwc41E58i*i62<1#4fl&z;RWjjJd!?JYF%4am|=5m@cy(Rx1;uk3B zGjygKRlI%c0^JVMWm*}O_P@gmoEIZ0TE_wQNCm*&vuc=Dq|<7n~n3UF~2{x3|!{xaF&c{-8V z079n;&Qvi#hoU^6u#5dG&sZ_al9vqZep4k-i|;uh~WO-|WJbr+$%H<8IEBoBCgh7$ff)bPO2L zaqrKRKabD2ACHr<)vshf&u5@v(P)DO0*na)Bt1P!DTID#g1_f8(P^Ju$stB?<~mQ%$lLOs@tNUxILmdI<+E!amUv%WKf4+f zKB$>C_x4Y6{ROh*6!HypH(J=KaZPEr9PKV1*Djd;MXib(@d*Nwi)oWssHA9=%D&QcAZ4(hiBlTT>bPL2l#70_T%^mKlPLN zEA9ZojtZg^)ETbbRQnj&&MeK>oGDQ7HMk#i$WA(6-*a(})QOLF_ES=}qp(4DUezlmHGJc^tiBnKEh5P3jiarTv>naApYXmbnI+YxX#c2X9W{ z*60cr`g=TDKF@3qoeH5srOlmK73+Ugk5O7mm z1|+h%MTDp~-c$6OYP(VH;Pu1t| ziz>WDkTIZ<&>%TgYY-JnSfc(e4HF%S5Up29Z*;b&={yKfT$6P2GSoD*nm3^;2K{j= zIgiG~C<{S|oeH7zXCs8KZ5d+`3U&|RdCU%8}6I>e?uOpVf$Tgp& zp;7dR|5P%rMZ>Ewux3iAv!#78h8PX2bCC{#_E;^B)p1i3YdtUU`|0$|Toy7k^#)P% zsn&@NZx65Fe00w^EL)u4!F3R-M~#1|gKADEK%#jqidJaOj2fD$VVhSa$qC+2k$d&w zk?C6@$F1mtA{Z)jEbIr&IC)Ld)GQk14TR$aB(omVd&V)(>$V4`m%z?e+JsAWB;&cl zXE{Z77ou#al8Ulo=Y8u)!6Y>*XJeXjsM#wt7<W(&?bS<}f7j!w&jH*4=V>E!r4$8`+Kl#fma?H=#b;x!1Q zl^amY`;Z^fp;0R|@XvcC%JrZTBcoR+S{eGv9r2wduvJG@-R~^rRn6h((Bv@8dMZNa zqw%2*DB)I)%_KYW_fEBoSA(A8OuN!QALKL zBo2ZF`yBOUmLMX^eWasNr(CU{Lq>X@a;5jGGKca8k^ntpa72Sgy)#`=X?&%gI#NK8 z@73Rr$yWFI9+liK=$R-Vlic$e-9PV_Y}I8Sz^SiwHIbx8?QW6j7mKE0nxZW>RlO*p z!L8Lrb#x=~Qf`*HA38|wyJm0r$XM-a5+}#QwNkZ`sm9@2={QW4ZpsJIv#LLbS<4Ry zG8K`fK3jWr-Y41gSpo`yJ4N~U#~IUF@%yM}ei9s2r`Y3smJrE_+IDN?#SHh&j6vXD zD09iN#p)n~5fC%Hbfg+o4L zm7ZDqp<|FqI#o?h=e=rBq-TOc^NYC7nk}Xdw`#jCTlUkxwMjtoH}UuX z-rvPv4NnMyMb2yG@ z@yCDB1HZ;Q@OxFgWqKQZbVQ&*kW1p_AldUS@cy`Fwj%eQ@?@W)+-nm1AyFNlO-Y?8 zFA3WU*Q(pa&f_%vqna6;ptc3B>$H`$<@s9He_D$jV>%1^mp9gz)s||2BU1S6;=duRcPxTt*oy z_zQpM|BlaQzJkC0v;Q1V<9`l+_RoF~tbD(({pUX)10+8}1$KM*z}j;codB7v+daTU zk`9edSVmoaM$&TwqF6sSkn;MNO4+iR>6;yyhIPWRfMD49Q zjju8e$Z&-eww}5uLM~G7XEuau$^hQgVfuUspu}g;79- z&c5}HV>t3;m$epJZ-9=@@M{re#^cfvj7cMI{i$pRZ>Rb7Wk4%Qi|j*WZ~vZ%n2aF@=FMn$tsM*9tH zJf7fIr4c~G^mlPy9|K=&o8P%cwmVBlH?Yurt4yV$K{%g=W10?x%G^2BYD4aTaB4acCUyhq7ebhsC%?)3% zWF~MMX0M3F?F?W-^-+Y9eD4+ol(GQjO%YU%*2~yhOHxlMC$bA$WwbW?a9^Tas<|sBUpY&3ev<`y-cHj0QoZW*>Q;>K0hYmxX zUEjXHfO+MQ%!c9RUdSO;b{%Nvxh{NC{qM-rZoZAb@-r!z7j~Ew9F7U4G=UlN4N)#hcxy_Bw30$Owgcp z`e^?8J_cJAIH<}_jewU8pbpxeWR0%&3jm_RW5VZH<@X9$t3rn| zxK;MyG-aK99+6>*Hd9d&uBUR|`2Dh3&J>+9Z`+i^(=iK(w4bzbXKw)mZkeyadzm5- zB={Kax3HU^LZ{+3`2I?`^GM&X=S8w+QM*7yZ; zS_?OUze;C&^fgNIRhQVphXa$uJ1EmxM>=qvr(E-yPd;*(R`5CkA3P|dzuPjT)$eX2 z7E-b{_m%hA>iZCgQI_Yp9;@^#8g;_QRXg@#IfQyYN*v)fRX&F_{z`z zU3{B%U^nBz%U}2`qPZ{Q&86@2wciJkd<>9W-v}TbtiVSj9&=aWYBq@q$%-9BTun04 zY!9_s5Plg3^&FuwZoJn(y+#8Q>zcAxXOwt-$2KHtdq(ku3Xf`&uxK0JZq3rs`myZWf&DoOw6guDX!vy=NM~TJ<{&P%~$qT}h{SL|{e+tNsN2H!~>wdJWNY6f{)| zZRBw9&ua*lPfY7`<&J28m&zs8w%Q`MkrR|h%9m)lU&F9IqW`+;EA;gFQ5F>+%4b)FiXi8M)$yCXJ1h!)#g7%vPWMp$<>eh!x$bDc(5h)}(#~3!bs$}>0=mhKU z#1N|=ao;SAKFXr^L7sa=pw0EMC=Z=ij?uo~fV)DFN$0OhmE1`PezGAQ0CPZ$zmIhv z5X=h*NEqKeG%erTnIW1F3A$P|69cmLZ*HP@p9bqm0~vz+F!xr@-*$_h>qtPShU2## zINFXPcCZESHSV3j>tV?pbKu4t**kwnu!U`fplNNXKRxPcnMVI`Gm6N`(Rji&IBWiHwN$`H_Bn6MC-%7si4pDoviSJ~e zfj?JAx_v+=%IB?gar}`7N5!-$pI5o8U(q}|ejT&e2eggq8r`Q1KR0QPr8JDNL#OMY z3gHxWNs-t(W$l-rlGu@WQZChFCFRYTvep6D`h(nQoKwylWu%`Q8qld`g7*)ii1$eR z>Q1;wNEE60>G!kW%^~?o{H_1}k}0WH)S|w{d!T$PlG;clacO1;(VKMY=ltVHK#RX8 z7*npFTJkGF-W?=hNN|5A`ERGgjD%3a{D}7c?(S)V9IhEjNO+N-S3^aD#Oytn$q!P5 zaj@UUfM(TA@$Q!`0t}Z)?AH-k$VMA2udS%DqT`Zh%CI+AK#y{j!IXI(5j0B$0k#1` z^OiaOR>J>Oci&Vp3XGRJ38WSXl9%JA^m=&z1hsbvp0c!;id=E2>pA*?eahBO2b+aO z?DwXyWbK)X8X97odFR&*QH?N7L@OiZA`u@sZ8T zD>;0P$YJG^U&0s8X7K7SeK)dEj^pZ=p2PIw1hqFr;CCTNyIVf>5+riB(prYoR{ zWKeKlp8g1he3;Imh4y;al!;kx7e4%G37{kEzgNK1JCkUYDZJ|ZTJEB@ZAWmPO!+xF zeKG}=TL!{i6D<&$?qx?9zP5wm-9tKJ3gvUN@FdkF&V!YC3iBk<_&an8Pw5miz$qoe z&_kOBT0KNes9r#Z%<;f;3O$SOA(M*ya1ySd1JykTMqV;bg}u2pqpxqE`n3`oHJ>Tt zR9U6U!UiWzjHaoP7pbr+<$ato)lIHbK2*4`a%M8t0!OuN2aQ7C%t%lJt%LUi7=3WU zYtgwxW=)R~W59g87b?MiX9cGh$#Z&WXGl>Y-CGwDh6#k zedIKD3iGHu87HOQJoOk?=bvC^s)$~fN=s0oGi*h%VyEc@m4D;lT2J!tyrxCaW99k0 zO8T2|?Y$0m}5fC+!QW5;XSi>-2v!wYp#x}9?sq!(0ijRoOczfX$LLG^t{2G?6``cj_jr4laAwTjYZAAdzC{Opd36(= zvIE->Q`p{`HoY4xe*fn@w@j{%$pIoe4=mU)l`dg=xs0HyTIe)}1v;*(*M!Q|!@~YW z0_5(I`p{?q`YMhE94v zJ88yJSZ?mYLxM8_9Fm~GJawZVLic_R_3za2!5j0Y@>b?;)qwr3oW zjzvv`iqF!zM;+vztJj96sY}k4u954|rqCX?Udv&4>jWd}r|&%XlUri-hVVpbH@IHr zIE4Uf)pJb3STMOQCJId5KNu}x#lM4;Cx=XF!nE@rGhx-W(ogPH~WE`LS^BF|{hXvSv@U%&e zw_FaiKCIy66|UFaBib#>aIuMImCm$GaCO4x@Hyf8)6;OgFlB7xj(-uUc*!_)EK`Ye z%r+H3@)ED1ZkcVw8Pd+82y10oso}b`GDU^Jy#Ceo119;@;>%H4577e z88V_s@%qL*a%&#?BNu_lCNA4I%&<(YSC6)#P>3RBJ3-u;$H`Fy`8Ng_5!~shvr%xO zWJ@C$Xd!WFVESy?FC-BAQ&IR=T^JE)lm`i9ZPR!Q=kW%vnxUVqEXnUf8%po>akMeE z!}?JU$$$Fi<3H25No)SIfBmoH=f9G{^v%DFAOFmEU;6*U&&MJpKYo0h4@@OEOC=>+ zR84q?$}=!oAU>ofYnXqT&P!*=G-{)79iX_$MIQO_xOb6ql*Ekl0FDVFP707}_-(2T zP}^l086tg`Z-~>qCq#iA+^Ss13Yn@#T5IgNgMVJOXE2d&&`?tVRQmT0!NgwG4DQp+ zh}!#V6hz&AiB5q`uKr;gT{;y1oE^z!GGk3_q|!zPsMYU@4dkfEIR!TISqEZ=OHZj#QG0H)8j;QI`(tz@s|6u&paWA;xSL2&2bfiiuOZhRgRN*!F-Df8GyCB)k5P=Zc!w>UbRFh7_+5AQUYuVHE z=gP+jVG;+XGic_Q$t-tsa9x+Pi6C`@woS7g79yr+hfCd0Dd0M%tZ&e{a;rjTY^K$H zl15Hr{B7q`n7qRMd7k$)L)i$?0gyeWo_D}=m5%d_3zyt$W?o0KlO=hIAQU99no$u; z!x%M{9n0qh`c)MBX>-IATj-EQPQsD&(3!MxfA2hI(pj^wI)-qF9bG1JqXDu#%^N_X zW>U6WhXiv)6V2M+NztKn5m<4;9h97JbtnBEM9hO!ZXM3phH!dz4=}P8~4(1Zsl>bM#lWOfIy>Qk`a;Q z{yaB_;OA+qUz~%RGUNX|4W@DrxTZ6+MFgIw0lP8{=Zy(?pN+w*HurOWIF8Adh(|SI z!1+UqW(bzb(dTYZzICQgprMK~&9X5$8}lLf2V~4u>fk~ao-fW&9{7A@W$tA^f}s{x z9qaJE%+D(7@$3R{g>rSChU|>Plp*@3$RwZT9;zqAw>GfxPdi9XkQFOBq8y?b;QQfJDZ3I>wCOoZfrl!PvfewZarTCSasSXHy zp7MH~&pSu(b7ca)%K_6w(0P;VES*qJ%1#ys|BnMi29zH@vn+l0y`m}oRi2me3Rn2v zbCYn}$D2WeEH2Ohur8!Km)@a)jrn|LWM+J&LK4{}8KZ#_bj;WavInoI4 z?A%16mVtxdFn`OA*6z^sr!mza0+sV&Qw22ZJw)_R$6){5@)%r9hcUHMM{st85Z5jp ztI!@K;JB8A_X=f`Hc(Mi* z*n>^vK5`&QSYRsTLL?a^uxDqE5d2gGzAuamB$V%F+b!W|{=@Tl*?S+ud=Fo{`w~|1 z1df>7%$3pct6kk~NqFkVBy~mVaF5`+YlBZ!Wh(V05VSohkQ*jY=WhNhtBgyw2&#x< zWsx!2hr)1za&}178k&jms)U=q(BQsvU!PECm20%jJs}!a z1Gqvnjw$PqaAXfxog4I_6%2`w{zL6gV8+TTGYJ1C-1~S$GCp3p z*TxEeanq5*tF`m^!dZgx-_8A4!pnRuwDv0A!wcWnYrkKF6;dOpQB-3qJoH-J;tYn~YYzC-$b|-@@m39$f)5jmZ8%*PwzlWsY1iSW&v&Z% z&4_}sO;memLvV_Y^BD?8Sm&st@mbk9Dyph1#3ocSOJR4A4JykzsH3FIapUOJ@lS)s z(&zdJ+@Qkie4aw6DZX}I!}*CB*q^21tWLlg?z*AlI;{e&(M%`_Gq3V(uG1jRa&O2mb&rk}3crWXO(roe zL*?(1G_neJ^5d%YR~3Py%-0A!BMX)pKcK|Y$|k`AMrJlpx8XFbAnL7}vE!zys@O8j zE|O@|$!QIIrcZ#_y6KMT=KB)15|s@fR~UPl|9odI@_ zXzVB-0jqBM26Xyf+$vqhVv}H2XS|7VLZnJtZBed=5GLD401{xRVuvSY@Dd#|a_1oysr8U3vmN@UD|MSjNu!QFL&+!e~} z6j_+U?ff3+9IqAOJ+iCP}V*#Hc0gKdK<*6x}r|4j{182TsDjLi=xT;wi1OeYo05^@` zly9JDD8Zt&Su)*&)iXjzlgb&f>zXOvcbWS!!*!YEzbSlXA1J?eby1yetnL% z$jDln?^jZ>u0P4O5HM)A&_C>%BSsCbRJo@j2Aa(xdwGX);U07mk2jEG>2Y>_ds274d~c( zRQsT4DiAG#_xM?^&ow%FMOAcM&b2bpK#~yW1trq+cf3wrCGiWituIc)u4D3g4?oT8 zm?N8?`n&kCX9)ayO}NhmsB>d7Wpddhw|9?F_!l`dM@h-CQLaHqvwBo@ML^Jb+CsQj zHpLPeDdDkqP`lfQbwEFIAqtmdnRe2wt#ph4M*wVgqK2rmVdiFqz`s-GH1n$Ta6DgV ztFnMdt6=nUM6eK<>%&8$AX21bBjY3HJ(% zLwLzDIZ`@;33jVUJM)Oen{b8+$Wy$}Rho>`{C?{5aF=pP(58GAJ~7EBAz;w7L!EmGN!yg*MrSi|VF14>HYC_;fBsemooy-u z*|js}M!-!&?{48#DhKZ(m7GG?R=#S{f>q`wa|2a>FGbub%ptKV=bt2lrT_+rDwPLP zqOpp(;6Lp(6NCpPB6(id<)>0s?4}#1z0);ee~sK%qa^8<#<5p29{Ar&#YutzP0;}L-ZbSKjvsi`U8}{d;;%);DAPR z@HW?fhXz~EM8Q--q|Phl7~+8n5-}Q>fenR|kZCbK87i2ymBDC!hpgy?@7JsxI+fgS zQ{gQFH?jjWX_xPDzA$EzCjUjHZR$y)Q2zTz*m=W;;ysH>PXoI^qoCOHw>QvzLWgbj zO=nc}30|-B`pTN;n(N_J-uqtdGTOrsiewu;Ti5hU0M~Q4(}cR!Mi=|0)pK>*Zc6ZU zetPhR8xd!fMwslVMH$+xpp|zbkW>YO55Ai*xFnZ!9Eb1F0k&K4PTJs9b(0ts8GqrM z1U$QSGz;S-FxS;FI91nHCs~~1@|2nXkc`rZw<*`d0bKNpy&MfjIbd>UBGUx2Q#QEN zCx+n4#Whzxk%Laal&z|%nw%Ry-~A8kuqoQ0v*B;n;EqwBWXK4RYhO7r?bwHf9(InV z5qDFjv_fk|y!PQLmPR|={62c`=3pV?uKQ&pA3UKN?wC}#~i@KG0y9qQ__h43^D z_vs0OEXqEeopLd3C$u0L%AE3DHu!G>QB{J7;i&_q@;d6g-Qh_G9vz#&u@KSFP&A8bsq48Q7u2P9QUqN@X&Fc*4#7F2KbWBepyJWW8L*q^A9POGC9o4U) zze$3TcAGq<(KgqAmGU6ewvk2dH)?b|Tt}{f_0a(zj`F=k_ABC0tfO$pPF&t4QL@ZY zp7NnQN?4?fciJwf;a?=tg3r_Ex{|2Sk?QED^)|s99iBsx-zLct!K(9&lJxDS(VB=r zpG-P8q)aI1hQN8$A=y%Ucg>SgJ`3fp^$KlShq9(*_K%KGe3Sd2oF2(KW%7;pmJvTX zKxxlQ!Z_r83M3A(`(^+UH)WEa!(kOCn?W-}NmG3HHxi~yR()TTFBn`QFgq>APdVEx zp#G{Ru8#q-q74S1C=5p8p}AcG%C?O%4sZMLi@EGLLh|<%A&Fw^Q4%SVOw%TS&wu=@ zb)&noTcZp?Y^#pafe%(k)sU0}t>e80Hjd^n8$5=Ogj9p(lt)wF;@1+uD(^&1l%NlJou&TuU#b86;> z9m*sLd#mO$QDXlreG=E!X|)j<)R9oqF!6n(<>&8v26V`lI98}D1QWpsforLUcG-cz zRUh0M7s7kgkXu`r1aFFKz_qdt=;x05_-gF}R)z%lG&O@BedKqC^F>gHCr-OXKk|?E zhQFIP^7{gl-3&Z0|2h1~3m)X2Hn8-=e+hr~Y#rbF=l=%xZacB^rO)HD@f>bt9Juo{)SKAd{XSp&?$`dOKOX}mpW%ng%@A2)8N*s1rDB9gEeqc#sIV*iLl$f8 zR?KQa53b!h<;92#eQesv3eTn?t{9SU!tgq z6t8=qjweTS*lnSnb>d0;G!{k&hLPE{TPmlNaT!p^Dcly>V*lL&4)^F>X-pMOG2!}R z6aDW{vA@;A$x)ooVqqq5Ov6P*RF9FTb_zD{TgkKU3^1f}9putz(dl(*s!ykP#&z*Z zu+w)b33Dxn);nZFGgNLdT!oq|MB5K(K#R%C`xZ4dx(p>~KmxgOzE(B1jMNl1v5gO3|6L@JR)1e=}gK-%-v4zpGC}PJL05?%_@pQ`Qk$RVO?I337HS zPi-A?%T~B&T$A2!?Bd}a?ll>s4+Nf+>)Hd#xvgeG)s?&-C)O0ER7EjOo#Z-!fE7

7-qVd*~3Rys&&U{Av`Y zDu!?GqVYxrK6}G7(b7C6*RYEoZG}bB<>&=C%^J!oK^lOVl# z=tEr7hLx{&(nS6t<(ayqD#}_lh@h)6?oYzCRHti|b1Nc+$CQKnv>9}8y>$Y6%B@}9 zw+Se89AxY&NglSVC_c2~pLV``WFtUwF^*h@K4gKiJqFhiM-W(DA$W<*gzz4A+QYQb$Q-$^=v%I(>e}5&_;aK|_FwMNyQaR=*NZej3LjI^1Uh75z?aC7hc5 zq#0Eu$~|RSO)9H9yoZ|v4ohAG;M)5m@{t%~ekCY7F(Tl7_Z~lA;TjM7h|dzRUyIO3 zjgSn~k?!XRHZANAW)bnW5D0b6xCH?TjZ$%Pzm<$V$o0(cJU3|Llruz~>FsgPmU+)1 zw<$iT?nvi{xZj__8S7-MgKdJCm_QTt`@{!TBx-o<&uxs4Y?L?h`$tL2xc4-Nl^6aZ z{_LOo0-hrnx%Klui(j~{(8ey_yyd~l7ycrCRIlL{zVf$!62GCBzVFxm^Pi6al56{E z?6;@kFBa(-ES%J)#!Z%9q_cK8V7+^Q;k^okmqG@LXfO1@JMk7kLVC$s5SY$z9l zM9G#3LAl5PRnK0pP?^cx2J3a?+EJvU6*4gjOLK^JLj%G1St?exm8Q&%;OkO2X)NkA zknTnk1G3Y><1z+2Wc6fxuC9(ZMmQ|ZAl2FU=g-5+cm{D zIyMcOQfM%L?1kS&hje)oiHkt;A{BO;R*S3^c|H@33d+0}4Ta)IG+J8&-|S?hhD{Mz zI8JE%Plh6Z;O{Z;%zz zC~GWw&*n9A9>hj8giq(ib{hMLw}w%P&rV0}Etb&9@pw=T_ zGO{}{;IfV~Ez|DWz38K}AJI89P<%q8N@p@h*5L@*5uDa>p@RT``%2Lbej!DtLEThh z;CCwcPU!}jRuFUTbvk0NnO&mewRYBSKIki;ZJP#|aIpflMhVfd7m zi0j&Wzkrj27}E0uvT_RTf$2F^?a~RfdN|?rHwMd?wlauM(eeGzBAf!s{2w;+=)74l zU5dq^-8W+JqzMpqo2Jp0_eBCQ0*c|C0%fLcdT6vdf$@>jGgEM$CnHu~l13F!he`xS zzAhJ=05UK~124H(#6&%S)FXy+(cPe&lRT)0kXMyGI$9HBtLGcI8ekl`V2kNvN`%RR}ew>ey2u+aCg{c#i$*#bCa)`tY z?va{q(Mc&$e)v>9I0zn>Y)H(u;GK7mlkQ7y69II4Nl3RSuOu&~^uA7@`|SdTYEC&r zAuF~~d5z#&{WH$d=~Ksp%_9Cj?acQ8$zQ*aM9f<;Ifd$tr2ZP=PtsAV3_Ho^7pT}T z;bc1qwRKl}0E=?asX1szN!(&XGvBOBFei{Sw7E<%gFiK*-t#pQHUn@8Mx-a(k5ZUA zRWrcT=^{C$sA)*4a{g?}^*hDyHAg+;=z?^x(ozo??&oq6A=)7&lv^L2z|x#15C2Uf zY|l|f`?P-qu7*hLh7tWN?|+Wh^f}-=%wVl>3Ny9?*u6GWRrRm;pT!hGtnx3X&XNec zIA_K{xKae3B?8|A8y-3@;nM83X-yzKQ$tckhKZgjza&RG)+3oSkxl+i$?=jQ<;q#K zbEOXm-00&Hkt!~FkIhlI63{iAPook9RE`c(@DC|BB(FcWJNSc-Z1htmfcV;%@#Qb; zj~bZ$C&;0E??1lwwKuU5afOaK1!x!$o&4T! zk>PJOOme4Tj75q+ZI=G{{9MFh3-{hxK%iPcXl{T=yaVr_B%smJN7#+QD|>kR)+Ge@ zGbrbS_z(3j;JR-O?l2MiQ3oGvT_a-V_tSX3Z+i}3#}p=Q9wL7`zE*w-3)@doTyvth z??d^(gVfYGEbeVo$u!AmPk^Yx*VK410>7tg5|A`FN`sg7){}6N4SQ&erSLWyi!_nE zlxfCsgjlqO&jDMaPep6-y?b?( z9yw4x^q^ky;op_Nh_grcP3KP24@Ab~Q67cY24embFc#f^81Gz!BbGFq%@dy_ro1B zR{}#1ozB}kIQoSg!kr8T@8$6N>ld*a*)&PN%Dd1^35Sw^>ENob5S;h-d(#hgHR=F z9JF$q1SUNMW~u!{+_zo8DaRJPVp|uek6h>8W(&Q#1J_oc8m8EP*uYnBy@cMrg^%(V zu!wC;UZLEq5-`!RcE|)Dl`mpGag0=&Yi`EVr(r1xm9pvT5>%`23mrbrrOn?ptk9Ie z^FG_JX3=~=#$Iorx=$86aw42)n}%m{5RDBBw>r;aF>qkm@^o|GL^I;6G|JCX|M?zk zjqCUB5f1MM(dl>)yF&J?QgH$Y&0iTBmdWR*gKYjz!Q@G3dhv(fK84xA7TL1ym7-54 zW|avh-^t)B?|lMiE4OHm+LVC;>;yb0HO5sFYD50@O&oriposR%6C@~A!ZBr1!!mov zLp&;7#M1JK8MrsG)PS?m!Q1;cu^2gm`#kNWk{!9{^|$Ic+=wE%L|G;Hu|7OTVcml; zZSF2t+e3nqx;QXXs+DJV?o5tgj<%OhzVz!A9OS0>n7lrXrH%&M8-4u!ogc=H`kG0u zeWmm{T#P+6`E?o!s!6Xp3v+8avRVVE#I-|x%<%V>4*ZEBTrZ>vu&2fqRx@Ffh%WG} zmixc{%5%8ndSoIXR;6cpW~lj}s&^DE4d}Sz1%gEN&EYdTND6=YP9A^lZ~nMRYW*wp z0kwMr1OfyvU#-Ab$`cfD{guh72$YULTo%IXluMOfbMJ<43o6$*riVS;B{2ZCbFS?MK#Neev$8;qRi2* zSvo4;tzg=70+&E1_fM;@W1W?{OT7 z#kT1h*nYDDSC)%KB$wv!mj?N?&9JVoHa~;8!mhc7j$zbSKxdcgin(c>$9yVd z*ou2njF9Y|duaxz(YX3XO$6dyIDe3a|8u7SwaC`&5j9}Cmqk7w!)@y#CXvTk=N9~K zMHFObMu>RI2nGpaXgFfcJTBvaLYYAj(Ys$9-ST_D0m8ihidST=+i;Qg=bGAIvwk(bc zQ)q4V5$PAuIUM4x(z67Oa|n0I{@Qiw02Pfwsu1zrqYKD(CNS;IAjmaTp2RMeG3z=o zWwbNT4NL`vIWylbW3X05Bj@B=9%Eve3^Ptgai@$2cjnh4sfnY?e;JH{c!+{Mgl| zo!3*iTN7{HR?m#3SNW&@o$E~^i#XN>OPJ4W!tbPE;@-Q51eY{`yk#U^1#@Jg<Hi zhJ<^|9}PXTt5c|sC3`d+PYbAhr-_67G_OUOouDxwkWiwc%EimK$xfXubF`ED(1y~Q z1Em8m9MvY=1nd3$2-}pSWjsYi| zUEhX(xc@`A+TTI#$PS;K^7{fAu98DvCty7%RzgtHwGaH*n%jzrGYJBY>z@FcrRSSO%X6r{5|Lguyykvc&y0ej`09!7Mq{u`97W$yhk9qwyKurG5T zRMxKjj(9MCrUvg6fz#s>{@1&o$7L^xgU^n^b{pS$d>u>EC)^jxYOaOB*AGy?)xtll zHhwok^0)rfJbdQ}E@lF7#q4Iv`S5lDyT3j_bdtnD6-<;xl@SX#);8_bJAUa=nnvn1F3{5T?+^kVeY zP4sRR;1p;esoQux1TXde^yQqHGiC0DAWtn2l&jP}7@%>ti+9#9BS1U&LFFc5#bbC+ zQTLU5sEB8=j`qLZ!tPoUiFw+qh}%S}G_R^tbHNuMB5ac3mN%eiAz5Io)>v!`<{i zqfSJw@IG0TLTO4~3O-9AP#gFG!OguE^7RyzwP_amQSmeqjuPC@P`Q_B_|#dl+A_(c zGF;AH2LW3HsUSfU4gPU!jvzK=#*wR4u@b@Dvu*?zi4bRoroV{>83lYj_);VIW+^Ne zd~mCsFA?qFUI~wPmNC6j8ynLWSqcSCVRyUAO#2j`ehrC8eO%rrJ3;cK24Dg){y7>! z{w}*6fZx|Q=XGkv6Plu;$a#^C=)NtYTRYK;gU{k8;}6}Sk}V4y*odmhF8y7@=DiNC zZL@i?m6++{8aQ=?K?WkgY7dQ%<=lf7A~v!N0tzJ=SNGk>bFX?m zr!gogOOuCnR7In6Ovk3=G=;-6RUF<6nP<~@@a>05#Nsq!DL<8q?2Xp93Uzc! zHj*^TFM;pFd-IqGDf&bC)A7XtWoTz)M!QQ^!chV|C)bVgrk)OMzShXv%~*dq5S8_- z2~_KT8+*GG@V0B_ltVqUbY!Iw2-5lPJsM213>U$S!rPjvZJcqYhYZ(kHhD6x<|0Yx z9FkC^yKp_9gvLWC^z78MTP4dXXN=F|;5xb{op8tbd+tx^(2szkU9;3%8ur%hIPI*v5uo@9|H!0Knc)1pWPt`NDoqiJJPRC6^D)QHbT~RaIILWsLic0P@ zB12Kp>be~dn-|a>1rU>6T&BEhqyZmQQ_)RVZTb{-lD4a|M^US%Mda_hkgFx>*XnSG zsYA0K*i*EFL6@;#%2(Psod`C9y>{A3NyIA=)k*=c%SJUK#?E@JTzaP_doL zr^*sd2G;N-b(z~}t-xpN@DH6QO;MDrzCmYMI-!y9)@htQ2u^;t%umddOs(^zgHD@l zEy@k1yj0p@GZR7;Hg;-@5=pI{5=vhu%fPrBVa!Vh>mUH4yp`H<%7+8NA%$w>#cqEd zQ|YSdz2V}*h=7T9T4&5U!*|cpA<)QM89JLX*>)&}#6{kh&cZ*U5zs`+B$bgj zB&Xp!%)=EJ1082bj=XKUdHNC*1fqZ5HoDFLe5<_fnHa)!MD{8f?J=F$6B>FVZaMm4 zeP}Yh{c}_<36j_ zM#Vd#V=Q@58l>Q*(NW^4pTE=4E>A?PjO>uCOoA!ksMhV)ejPnBbRPkzaz`uzFw@mk zvm&ZA4y`UZTMX0w9GppN6CI2ik=YJv*t$g|Ugg>kocyi{M641SD?tL+tAEtPPJSBk zV2w`A@y|#@q5hUBr^-$j=OUky! zm|(bNrb4Uin|DQ{O~a_?kMLTWSwqL-P`P2>k94pI_bGy!)d;4!XO8(0oO1+fI{KL8 zAIh}>Bl^*C4)3R@jQ_mTv}Cst6xTW-#FI_qNHwhS=n+{g9kYy~I_paB)+#F~RYKHp zK9?L%pP-YZPe}`4Bae;=G zGNf`_Z>?gQ!D+OF@?N9|7Qut(67OHb95W5%Hp%eGoU1vX(NoP#($TDwOj~wG`BuF) zfvwdak9z1)M>ZR17F=+V1pSLb4A%wn;{Nje0bqN365)ClN;1zrbi>yq zu;3o{b0SCs6M3|n1irbDIVNj$e2C3c*Ol;3o1`%il2CO5Hpe^n`#3yIVYe7Zpg||) z;xqaQDARUKq{^nsX)xk_DR=A?)N@(<&Rg zN4Zd*PQ=CaIh!zL!o$0k?JfC;^Kj{vn&H0|*#r66UpgXoN+EF&B|jge?@ zFK9-)RQP{2$QVHKGykujz+WaxHl$DEG3vB^kBX*vKx9(DSP?YkMKl{;gs*uCWaDs( z5XqQ6Enxq4(9GuQjXWfG+&7I;*e+9!9kk^m?mdBHz(S=(0Mj9W9`;bH1`ui$&HW5r zE(BDOIY&?~Vn`dHL~)JkP-(xLpOt>xIar2wzi3*~*YcVjLwgc)B6y0#ZjJz$a_wnS z9^6BdTh~0GO!SoCE8;dJ03hJuo+&9=Jw@6cu03sTG)$SLz9?#_{yVk{lVfttJ!s#i zk5MEq&SxTdd9<})O2*Y>R7Z;56c=G&jjOn5!<7WvYI|^Q>I5F;uTjr*l3;o>X~9Ze z3{ME=sR!zVXN z1#DEKL8ju%G1f9J42E_(2`W16V~C%BuNOirJD?+QLL>e(r=;}A4!^xY2ktRteEPoq z!UQ}P9X5?py&t5ad$H4;#cFs5Hic`IS+5NADixa79`gSCWo}x4zsvLa9ufu5IFPtO z!KFde5ls6r9XJKf8rd<-(Xo&rH@8N{smlpCHP}n6A*k{_%3|A#Ue}2bh1YSBN-WUE zpTYZiGf=NyATzJfs8jiRIT{YiuUe7oTvqcqG_S#YH-)NlI4*OCUVw^1Rw^MPTxZ{P7j=(`> z(;zZ|9yw4|Z>V-%(Gi7_v&SJ56`AzqF(Q+aa;mA9$9GX0cYBAVvzm%@GP=}B)pv- zW&@NFtpyc)Kx3{bkNV4mXQ;el(PY4dH4lNP9oY^U6`e+v4n0PPs44;v9knJlySoiU z8YPt5F&y;f5$JQRTrD^)?z?kbx>;_744BiDX_aXzilXBfbwAXzDp9VS_hY-ggu~_} zYLv-@BX68~v**WwHH)Z|z;5V!BZc&CPV;ve1MXd~&Q&o~ey<~5i zY0;u#Q-a>?JdG0_qo!4l3T?D@_^dSo%F~n+g1q5c)in3hFs`&cPpsj>i1b?(8MHRM zd0tzIqrA3Cs7FN_T}2CWblitcH1e*(#{oNb3P zZ8JS%)~ct_rarl8$fGHOW6cYq4fvpS5$Ao|{Ok}PRLF|3b90maMdG&i$f5gf5jH4EK>rlUbUeFX-o|Br^J;iH|@2#d(V5aEjsc;?BT39uU50z?9&bi$r-q;s8nwvRcI zlPG4I2gV@{C@*zalxtVU#$W=`5eX)pSf)0GiBJ}fm*;sOw3WnPqqp~sty3bfj$aiW zEZ-WUPzlo}k~rE4@*|Wlj|07fJ~npd%@LR8=pan}K+^Y&Cnj@U)e*Y< z)QM_0JO)9u$tp{BPtf*U;Cu94%^`+)I#vRp;oHY}@?ajWS`%~2ML0FCL6w=UaYDb2 z`b8dHal1J>_q8ir7dO(eD)orqu4YH#3`rpEtnwMHatnip6{8AjEDe zZIi*4~M&PL{NhJg(Q40#mJ&*xat+eYwFagG_44o1s94yLkWLTHwDH;Vaj$;czcNU zTF1wb^$fEx*#TC5J4B$~_5ofyhp-z?IQ@j=tZuKcqtDB0} zb>PkB3rLflmXN}hZ3#(&mszT0FigXGifoJEv8PlQF{=Ww$?k^{ou>o1 zmiEl9G9C?LBI4Cx zIeVTce4C7|N5zd0f5aG84&@LF~YeP@=tsS&++@iURZA&;PK-HOidJd)4;Fn zd=_U$n{*C#ypy>B4_SZ=LM&3Je**e$_Fz@wx*H4jo%goDNvXK5IT$lG-IZy!B_mB_vcGZ*&AQU_#t%4Ogh z^vL8UhllX`dM0m3XPs)s7Erk|9mQbaa36G3m;}yF>#+09|}S z=iVk*RZC|&dPOmmuW-M20acq1UAq^T!cPc}My83|QX*sWmDF2G0;9y?z03^0U3>;p znvFyzbaawNr4U54eN3RheLrcC?blGhO~9E=A+PoR$gZsY{7S1up-{BpVZ3naR=f;bUr5p7KVydY<6mQ`2yt z3*+M1ya9dodJCtAI|MK^oOwI1X~!Tx#QuW_QvCy7APW1H3Da6$C6iw6fnK+DDK}M; z8AVxBJ`6|@lys~zZUHvyF@gMA+w}C%@sQuuCYZ9BytMPZH8WtXc;KT`qeJGFt1`qF zBk&=xXdc+`Vf}__=J=<=RYNK|2P5oJM<16?BVIYiNrj+CCB3a7YJ0Rv8G^kgA9|;X zo%cgH%1@wP_F}!Vj0t2+h0$@Jx`Pg41W|4h3Y(%)D^V^K!J%a*s4N@Ss^eLm_jh`3 z0wD_xvVV_n$eZk&GHXR?-Oe7;5jqzQXN>ZF#j#C&v-&*Fs+#Xi1U}kcSGI!2D52~w zB&Y*-74w_4&u?l_&CHt5R>oYUi|`zMhDZjl4;woV`I&cN`;ChqWF(1 zpT_kjWz$Ldakmhfr|h1g(y6yiowEG(U6hYVLZ73ZQ%=T*8QfbtgU!w&7P+Pq^CTjC ztD+PlIXWh2Aco#ZAhU~qLV{!f$xr?S{?-{PIQPifsz4pKpH|P{+~iXPk_01^<7_cL zZo;NHW3+{xZylreK>@A%)bj?Pr{_{U9I04k+^twdZ!!e;B5l&esOcA@a^CnbN9RsL zL7<}bG=u!qCuEs2LVr>EMja07Mss_dPvJj1j+{7X*K~BU?AkX&=>$%6%SQfbjd}YY2WRGZ7tWU7~M2PkW~B%F-o8i%#grV$}7G z3U05RH{(|vCsoq}hmS&E!e@GJ(j?`NoRqC0*O$Jgob%yd5f2HFeDBX6`y7%V^;KyA z97tF+(q#Xkbl;rYD{OSqoG~^3)ndSeZX>>$soA!likJj89fRO;0VjJA>|ho5u}o2- zv$oA4W1GRrkWRCHOeG~q?9C8>kYy8+;ld|Y2AV=mJ8dTuDK-QCK;GJKd!9;Tp&WOz< zJgQ%Y*g&^Qrc7h3R`Dha{oV<__0|>4I1UY)JUAxE>7Pcu8NqZaM@68cY!e6xl@g4I z6{_=Tr{v&sE@RStV%ot!c#Uky#ryv3G`!0++{XkbRkDcG&{TsI5B%6FpEB1BKhJwy z;QCQ;bncU$BwL=QHl+Nx;NLJ#Uq@t-S{?yg6O(fllW}gwwG$YrY}U=^_k{U*{vSsC zcW8tJ0ZKYuHeGDnc^lsBe1bsjzzD4xP3ag)eFHu!U&nN37fyl-Rq0q{n5khF9x?)F zz~cRKebhH4#x;7F!~G8y;cZsQ{>Na#N$27VDr9aMr*yAy1>pvPZI>`qJp=g6@o2+< z*9+}^q-{9_2n=jgR^=M?E%i9`qd>qC9MlY0bGPbL#x&B;(m>Gh)xSwc@gxOrqlOWI zn%mPiXZTArBopBxE+n>1c-2OxP<@MQp|RgIB)79yQKVco$#eDp)8PVQ(F$e=a>7%6 z%q^8oiKKEGK(?<+D(&mA-Zu_HBfOP^Gv_-BLat7Yj?j%CvU@@%q3HiBpGQH?TAEM@W=SwGW;zX#H_OWDdura zd**ip>EL)vl3VGT&i_lBZv5~lhL_2LJ!Fr&+xyGu}jBB&%GkUfJ7GzPne5i|m|LML_K?wi9o z=bjwCoOaGRd97z(NwmNtELTy|hEKQ87xsRC@B4(cp7#mVa|-SLJR0ZZDTK5S)7MXM z_wFWgp%Q_BYu@)^i8}NmLCa_88P$Q5ptJww1AMT16&vd$C@-YoPdEsAdbmu`8KAx@ zEUv1I*vc4QGVI7Q2!%Le$o6)hd<^&GA8I&jk^81 zLNrvJ39fXG5cJNYOTRMs0bFr$Pog|uWtx-q>v}B`?DF3tWr{fU&^wtTh!OI+swI05 zS`OYmdV%sbL)=?Od77t*QVvW4|CFEoSO3Oe$KU;1KZ!qIi(*L9*Vv=2CTPtiX~SY% zKV@2kMb(Th0Xu%o__vPn&3i8+MISMwb5%EO4fa&z(N8<;Y0)N7NALkRYL9?>lk&LC zebS)Mkb}uB5D+u>h5GLU2ROF8dT-nOWmA0XpePw2$fenICHK`*Zbr1T~6Z(fz*K+9I#dR(zfFt@-b&U-^$IsC)!kcF( z{#)9feitJWrdA`2U*g^ii2RYsA^CGDvIcDm4c*yZ)S?XP{-{0*(P-DQhJ%Ylm`gJn zhIy}#No_PA;Vt3ihSv}MbUrmAbSg6i@65@eqrMRi0mJNsK!@m)3`cq8X-|pheToQy z&%$C0K`OOtOvj-2q_9&r`0ptmk=e9MJ|vy8mH4?e7lI1rY7Zi0&eF zaf;YwvdJ4nj>{AvzDIOO^f{d;K^Bhb8mm#eF424yo0V~i23F-_LZoL>WEw*)833&>74&LBHC4gHI49o zTs1}!+MpAQ5t$G9zMejU0SZ^22C_f{K$hEkOe6Y~d;C%7Mek|dN@z9qUJ;s~8r;CE znd5n7P`QV1eE0&EJal{)$j*Z_PKROC`bk6rUG6jYaFbwXDPnhs$w|c={&?&~l;^7G zMU~85$;XL)0i)^^gR_|>uYS4rNo@BI5r|Livt7EQ_R>>U$W%)MjQZU5z8iy{58s`> zgxHv$M}w&xBK2ko%=%U>)bILebZ98IDN|}l)*BLh1}Gg_>|hfQ>9~u8kz$@R$79t7 zmB9kKH4hS3DV&$HbTlEj=p_90I?pYFz2OEDqbizXO4%fbmDM7GpQplJptF>b=3dGP zt0c}p?IY_gV#R-grDPe=Oy7W?R|(h`xG!Y13Q6xhq(f{IC`9;o1SOBk=W(lZ0Xw}l ztP`ASLa9RE0xvE<8PJY{!@>$un#FS=LuMSb0e_Rn4GRzF3A&aR>I4yVI26GB_9jxH zI%TARl%`KR6SV7oOR5~uw)V)!t->PMMO7A@~%e^T;blTv#OiU);q8I(e*)q z%znc|rpi!R`3B*V~Vp|05pCZdrKFV1W-+b)~HdHd3p~LM9sW+_SY3D%uOgyzp zyg|mQ89){tsPLKEejL?Sk)?bJT+4u}9eikwvU-(HbSn%$9ifu>A|4v#8~i-#KHfjE zYt(3{gUEBL(4cXp;ch-9(Do@W#=&V}0j*vP$t2l&(r0DY@9bQ|>Uz!k#Rw#;8tqQ= zDk?KN1A^a>lHj9d0(XKAf*M^BDT#D63uAFs$D;Ryw!*ACi9}+ef9fWT+h|oDNd)3^( z@g~%SZA?I|Jd$9PYgB16<@`N@qMVB)iO;LV*Vds6PezxlLvJY9M=ngD%Fol)%isYW z+-*AZ7SE4%;PvC@usYqdt9nhnPUflOPT$J4yDdMG+#hv>j%Ee~;~mTCi~3vm$K3{g z^uPTv{GFfpDg5yC8Tf~M-)RtuG{Lv*AML}*UINF>Wq9jt_^JDvf~-6rwU1XWQh0?j zLh={L>e_jLvqB1Il?8-aHLJv_7D5Os?46?i5}Qeu-GwI9K3&BalLl>{t~R*G!>7tM zQ-qwdLz~dtb-^RBrAgHd5>%h;E9J17!2WC>!7FPrVk&iBjYQ>?IvocKwrHu z?_CjCr(j6P6&^n$kO~b6UPd@Qh~vHX6`Tz-6zBm#mYV+f;JZYlr#f#QviGQJ3{f3k zb95}~Lt@FR0tu=*pb$(wUIRX^bE+l?8mo67)hy{rRTcr&@h?-DCUlTA+?p<_360)M zTsQZ+@`S*JpiC|HRrXgY#ViSK)Zp)rlvh9$<@aE?(?#hlZq+v`C+^36xU$Z_Q#VzD z12uum5-4f?ZlXUW#!aWHjG!SMUdC#I04_&h)}S*u?I94N<6EMVFLJM`#O;cgXXCMC zs>A*Y8Z`2Dw>$A-*~PyV25Ba7(#%;+y%g6?P_fh6Kzl-FLT5CZdHFK}orxQrF`fKu zp4_DdK}xPt$3P3CPA7J^d(zDF6Gv1bzYSPSy6eceYSvEOC*!2#JkJ1ef=XwT&V+2x z<)Mryds&U8$o_kiF!#J~ck`+1l+nv+xHKp)K#)qnpiZ~PCpiQMEo7E^NL=$EbkS$C zV@AhxB6K|J8KArW-p&ZeMXt#rJyd2MkSY>Je4YfE{<8LvM&ymgvseulEd;B{y`vEU zCgoS1HSD}|4enLgPgYE0Gvi}#EmYu9c|Ol>TBcL% z2Dl_LaFQ6ty9I^lwi|^$aOxC8t2I{D5vUR zgygVf=U2T$HhI5+`mP6i?Nz=<&6*#&xi&>tl@oSQT(;<#x(3HC@I3gu&2P1A1bZ?= zr*|<0x4K|vyw-~(u*S1kAb7rWhO^hWx1}+XSvvDi5Tr!?nA|Gi_=v!7JhHvBD^VIF zzi(iGNRCGnk5iZUzq#M4aJv6=-Pmv3-9S2Ar%h^E#e~jRl`+LZo?tkGP3I8HQ8IUb z&no^XLagk^DT$=kFG+8YlgfOhpq0vEj=r3mD8R`dqyx+J? z922xgchLmpnd{XQYUL1lFO#GYocViAboQqhcHDDEM)t0fSkH*Md(QHHOqRx5$;iiJ#<1;6$zeE$KYMGosw9dTJ$lis;4+!RIKS zs`@z>(Jc&cuVjSdgLjt^)eI);?EYv2(MjFLnOO4Z0`1(G|E>4%uyYOz?jng5pNIBZ zSFyFHJY(u?vlzhb%6Zx;lIIA0PQp#S?OVCAW_ra4#^sONZ)un&ftMQLsV~kuM=0K; zU9C4Trma7&Eh08;@b}w@IJ7`d&uQ;Rrr#x{f8cq$Ta@$i-150 zce-~{f~i|Af>mzU9-@8ZLgUzPol2)P0QyUZ=#p+3-6l`E$3tF^A@;EVqGU`%mnJL@ zY&7=8$SxLR1w=LoXvv~9R$HyERrR4sh!YxunY#9ok*Hxzzu`fv6-KkGHsuakZUI48 z7lSs}qBV-G)5s5kF^hmS#!38eY8U>?q!igOX6lDP#NU6vj`q!-g|h8Xi9m_d?aL}u z!dyp!Z=kZT)0Rzr-7VzNr=wTmtqhVTG`h%uR0*IYzUnxQmDmX;6v*L20=ODAfWkel z(3tO&x$IG>#MCJev>NEYUAGWt;CX_POAFR~Ncjm8;z}cdT0Kg(9Iyn$L6^>#`#P!9 zX_8Hutz4OZ2v4s>-=o22-?Jho6FG@zlY`cWvQad4EwkWNV2-MO2=#Nq)lS(J*H7Pq47?-5x(L__R0|3S8KR_ z@fcUv_mPcPz#TBQln!d}6}=4CEk>Zur;R;2AUf;Rl^NEuXLfceGOnddv@Uc&lO2NA zn`G@nD&Z2(mY?5i&+k-aaD3;2G%hMZ%vd#wOV4{cBzx-f8NJGqKz%~COru1sf((J^ zDo$}V`glIn_T1gYxnL*3hT3vAl={ zg1cpc8mgD_Yv^GJ+Ic=60@UEl`aI}tYbOC~1KxYw$4Mni?TDKE>59sqrh%nHQ?-p8 zu%ckvnqX#vPcA=1AWg7G166+HLyx+%;y$!$vu2SptehzVm0r=I4S}nft{xNmmn}AVAXic-bB5pd6#R$=Wc? zO@bmaMCEBnwmPiOOVcw#d+nIxTG?KQ;COFff=4dyT14sOrl0`fk zgE(4r;-j8piLI(gTaO&rRf5RY*>C{~cNOta)2`|iA=G5w3U%Iw*{zXeT+3MNaRI4e z&y9AI``ii|u$+(#^k_FU8CDUze$8bJU3pp7hF(`v>PCDnW+0@+-x-iytNeWFQVZ#; zWBAezY7b}xxc{n7ajk@DUK|A7)Qu?5gZA>?**cyy)=_h^81c~V%@$0T>w_fDc*eKu z8%9jdCw56x$o|Vo(`9uzjr$0`q69rDI<^=I27f+v)1In5yX<4jZ_j%!wny2ZEzxW$ zwL!>p?H5uAUk%tbu7H+H37P7Ay2PK+9(%W`d+MFSmvmTNXJhAPNG^2kN@Q4~d{8HY zBzaS^o%gVfo!Tk}lzEXh=~koUNBhK$;TeH>b#AwcPTC?|IwM7+#t!A7x`2asf;RPg ze5YadMFdKduf69eGt?7Bei}{54@p3Vdbb=zNcm!6KC7ga@8=aDA(+=1^Ueu+u838d z`A8mJ>m;1Y5qe0H`t24bcgpBDU6!As*MR;@|1*@N%*F}EDZ|}U%0N$GimIOP!E>Hu zmqb#2QrDV_iYcO^tKC*T$bF)c(^vG~<}-Y^f!YUrK7uQCtep_tt93tKdy4L_?qm3! zQ*_^H)5r9!y~c3t<-T-m)>bA_!$c8MK9gny)ee2Av{U>{o=a$oE2$^8X1#vl7kpF$TM0y24ln!Cu`@o}#nG}G|y&-PZu42Qx$7edDvI2W8qTpc-;e{y%1Y`G) z&SN1!1J)p;xI>0X_Mq#Gag~mrHfOZcg;KEuil*-taq>7!Y)VG@8M6Il8rg^!(Z-3@ zl|LR_w7cp>*O5813K_#-KsN8{S;)~%Af-Ec<;MiF6toBpAHhoJ(F8}k5duLnVWMMo zi`8Vw@&h0ChTBBT4P43Z!?z$u!8Mge)`(0qWjvR-cNE;oVFz!up2OwPV}w&9M0*vq zrZi{-BokF%(4Z+vt%op;w;%Cwg}*nkoPhYCOvC8l9U7Dy?x*w@V;s5GF(k4%^<{7& zbZB?P@3x-7%IFCF!VH~S0I5&-k^ZUu96Y05vci8V%$TmmqL^XUOin((?w*} z&5{m4P1uh9MHeZB=P#%18Yb-N*fo`BJ%TA&d;j$++V6DWr-PC69UT#vkm&_zY~&yW zxWvGapri9r;ZOUhANf%&1#IraJzOT^n;`8f8Xz2W-RR81bl5HHr0ZD@;rs^Wi@;=b zG{85{UcmNbj}B}FiBZ8Stu*VzrMXCSLjM+5aJ4rF(e4n>pguO zpU3h-*-H2{d~)|m-g15V1osC$vU@trRIo~Bi9rmf?bUtbk z?;{h|CQNTt(0si^Ii_WvmX4tv81ZoEi+KrWJHLL5vGSgmn!V_0}|8?h@5MLtGk;q$Lb{XCv(G=8y@L0aczHky*bs;Cr}?$f>>lTchV`H-?DP84XDM?z+PG9Bl{} zXJF`q=^Cz1ckG;$OLWp*LfG9#Hc`cm$O$r;7Cb3ARX&UQOYt*xm8Y^{RaKo4aIfTw z@T%OOvfv|wM}AKBym>mo9)YYPb^?t`G!1yoHapKP;-_Cf#b5brKZd{m(?5y7`GYyD z{2Lz(aQcAHLSm#VGxf9TwnI2UmVP9N)jmm$hXjnWP%B4J?!{@7N7h2VTkzn`(F<6Z zkT?-MDGAl<&@MU=lqMM@`$apFUfXBW!@ZwafM=8Eq`%*x?DpN*I#+;4*DhSYt_4*= z<|e)$OlXT%Df^2NxEejP3B}$bGX9d~Y1rrt?tkyQ6`Y+Wkz5;M{d&vVUwB0#otdo|oK3O+ zG>0MqyUONu&ic%MIP(3zIV7L^v44es@Rz@cFMjc(uTT5&?pJQ1rXOy94nOwe{{Vma z&+BKO#&%Jy3M3&R~|KdnJ6qtT;psYGOJ@aAe#w}%y>F9>i%sa^Ej=A01y=-pRLTB$ULs0duu+_ zPY5!$JVA1silTBr3WV!Y0+~jJ(?b8m8qsJBwAf>Y zj6JR@oDDjrUZ0MehJpvIpQ()4lj54V2G1Iid8cg#!#kKaj1pSDN#n5=IY!`91SDz} zr8@MWgE1Y;TixeC?vF+PiRH6Az%?4h^H^!`TGvk*-)VPZ-6vh7%gwuVzNd6NwM~2r zFJpz=UiY?Mu1nJ_6_$O13slxPww4YJeRrOyf`NvghDXhpLQ7n|u0g2Sm%Wdzy|?RwN^uOA)kd8+WIN8=iUlaKbB6f(5YES!xQ~1<&5%(`c=ZK%pJ0}2OE0w$-f&rW+{_nvmX{Wk zKW4_?TKUr%SZim$8{X>#RV#dt2W50_4#-5gp97vlpJ&$W;q)<$!Z3nu{Jn3D;&GA+4;VE4{_k@C~&W^f|?@oA5d?hm-o4~0`7i+GRk63lW zwcc%uHs#Xg`4!IL!1AcXlA8oxak>jWwUy)fhaKzgso`mn1sc=yG>WS+tZp|fkyK@g zu5ufby)N!MH_%WAU+iMReQMz7UiB(MRkB(F_U?xRln;W)C+H0M_UeVwJ9AJy4O_lW z6m?3|6yKPA9$BY`m26?ID|GaMX%Decg)-bTrx40hAIWC>B^Mog9Q7WZWwnDK0n2#5 zkIpff9*z8OJM+!no(+{ttdEV+OJ3!y$5S^vE*i%Wbv>azHxoQRdSZX$Qxi7oma11I z%MNuW*lFOM)=S79JVih=J>F>H{nxjUD?XZ!QBbRNH6xU+sJ-}Asbl zt4pkUH3{?w+YNlsy@8eKA-w*XC4j49&H9Ciu~9C%@3--5{ZH}V0jvc1dukk~vhej7 zGTYP@MSB$C+-aCCoKc6vlpjBJX}NKL{PYA1!6KF-XZTof7ip(#R|sKr@Y&GY_L)7N ztsJkq#)i2Uip2T1d8Wh{<05sQ$D*9SCxgp$u0<=?R@;E(Oc8+#^N5h9xm#bG2NnJi z&mu{A$^_w66GZh3Qf@?xWKv0))ajr8&0PZ|fA6P$0)KUtXSmZu<&g*XXU`xp_2>c4 zNUD!P-Gy#1gv3hULjLcZJ%^2#X^39n--LbWd_c!TfEE5&1fHuj;sWv;kpNw1ANW5`4Pr^zPcqLs}EyvHaoy z0cCb8T5;mzH+1cBO`;PfQT~ zc!VgQ$~8H(i!Mw4rNbESwDIf3&*E}+kLSU4k>MUR){uLdpzl>O+ly3O8Y|ac9p#5K z81V_*+AA7W+${i)>7ivf8htds*^-Gi(A7Dh?-^0Cu5#^O7iQntL+#(u5L^%OylAXH zIK`cBNLgj3V&@pZT00D;ju3FfE{H)0Y=Qh%`&q zrSw8}ADJX&WvrYh8iVK<)oua>8p`vlbXHgRxip+#dE;fAUpl74pu;3<>b%Xps*u}d zC|{HjO;U|ee%&duakAey4X>PKD9}SR%U|GQ%dV|P*7@%gjboe7 zS*am5sgpAj6wEwUk(SNWkbIu<@N5R23|U~BdhmSAN(rY`n&~KYf(AK~93u98VMLw_ zVPm_3{FNa>FZ1&2pcCJh(gQ=NMIG<3-o?mdC;yQSx_9zC=Q5f8!Yquq6+LL@i@{d*s# z;8yhtKQnldc4W1V$QGe^w}*p+9F_=X6PM`>mZO-xeTIW?dJ*UQVz-L%zuO_%pxhA* z`n$AEzCM!Q$20swnl>V29a*!ZGX#5WD}jyVxIeLp&5-dB0LPy3B78Amth{lL;IZpM z?4pAu%Bs3Wt3Qb5qA1+&|C8(Ryqw4CGbB?NDW5#c2M;$8=r=I#I{4=CCy+ikz|mO_ zZ`7W{Li5C;5N?8w!O0Xu_0`ZQjI9v$x?lq@1q$~1+lY*7DBPwU-7C{ZMBv*B6Z9Nl zbLo^Iu!pxE+`wvx`*%5OPUz?U%}aP$b7ay143Bv(bYh{;XKYHhKu>umU{R|0pUNQc z11s>qlt%vg0J&=eIy4XbYLkEFH2@>xczTquMsi_wl^xBn|1fF2Vx*hN5M?hs!nor? za|-{J2r5(qkaKAz9D zG||}vz1ON%{gwPI3FIpujq{-WKKQ=O|ZE1Oh`V(f6-; zEl1N$a<2-s$Qt!pS4_nY+DC-Bfsqw9Bxe=VLnZS6qWkv)B-{AR7hlDt*4y~SUwI$3 zT8&>H{pfRl0e|!RfiM5e-^Ondq3oUb@#$ATk3{iHcy03!__O~ABKaOb^1?yNYPypv zL->~{)C46dr#@!`IU4v?GN822Dmo<08mFzw4TY-}-Ve??RyOx|yp8H4jd;Ie2whWh zRS}>&Gj+l{tS_TC2*OVR&&FwRX?%3Ar&$e_?Dwf0+*cO32ES#RyQ?iKJ_St4n-}Hq zDHQ5-$+ZM-@GxU!Cv-ZrnpPz+9P+TnBQ)D#g5MQPnq+WJ59=3cJfB_W;qy%gM6Z=L z0a6>T{s6uV9o7~N1{tv$;8^par7%A;hS*Cq?92RI1;{!?xODK|=QB`As&mk=oR7fj zoKd(Gp7Xh^-L-c+Y+*OOkL9V{JhP@>PKJt1wxlkvfs0g*OEfeaarjq*2rhH&R7`6( zPhs;BNT_CAcevU|CD8h5aHH#Pc+>PO>X=$53tDc%`vYrq-ZWSjV{{r6>L@`0|4yyP zU2FWF%8At-w?DROpO9vR@b}c#-8H2m&~V3J;JI9h!hbGmYjTsp`!X(TW$fOFSSg(b zbE)S>W{u}Yg>rSrme3n{k)L^mN~`mrBbsSy>?s|0l7NH?(ICKTKH(7`5HLl^s#NMs z;9<#N{Qd3;0hgCbrZQ-O+S|<4(=?q!1?uCP!-0S6lRVRcA zI%I%sgg2d7fTY2l55RLF1~(amniDB8(Z9jJTj$>;Jr?Z<1_xFtp|DJp#w5AHJyFz6 z$zcf(l1j2wuFg?&-du?e%%>@#L4pvTi~18-MH8K8c*~2>1up?jgfhT=%#%@`<2g%q zX}C08tNDOuA0!i2M1Xss>IQ$WV@&yUu#6pS;3k%FJ-Uz3=hAfa3vjLQ8CLmzsyvC4 z>882DJ+nZ-nLvoL?90&Mt980qHf2=9!6GTj`8xNB>++oES|Z$c8h)|& zkO04oT!uP8mZ+?K8BKLoR*P#d!B+GVpOubBS6|X=Z`N&BiJqad{HI8)Pv%`#DI)>^ z8h0S@qiCF*lFnI?guojA{#=RxgwL}cM(iBlLoLjwQv>}PeXj}}HQ^iV^vw3C3Qo~E zuNo8HpuU_ZFyi^jiK%V3pFlV-n} zDh=hCsE3XF#YMQ*$yRxmE=7OIY~4g~s`!ypSL8Q5YFhr6u4#71%Los<@H<0{S_FtR zLF%xnCTlimQWX)jGm1_c>u!$`OLpOYC2yTk!wcL$uH9AT_u|~&XQ?+!32ug>PGc-C z(_Zn6Y_CAl3m#|M>dau#zkA@-k@Df ziY)Le=@6;#H!kIUF_?;)LQ**FbF2lQVhf~%j)X`NtXwvD9IK-OPEXpQ=(Sy!|vs)p2B zUDOekLfcEx)w7nB(EC0^8^wLLF4=bqXuLPDHWUMga!I*YWFbj{qKdf8gA)dd{?zi8$tW0XQe?@)KJ&6sSEsj z-9S*5Jze^aDM4F@&(CMn*Czn8S)o8$gk9qNz}0xJVuNFW=u|XI9$e2XNiiSs=tb{y^~rF zwMqolb^?uQ5@lrIC%93&dToBGnKXkTCn-h@C4il$p$+i7C=1qjhz4Z%piSpBL;MDf zi5mJeyXe11)T+#WcMGjS1dUc0M~y{1Y;59jZxd^LHVFg@O(I-6x_9=`{AwAsdmz)L zAZZ5EyFz~Yjh}_D<7l4ZPJnXeU`ZRq_+Won1(k_#q`d*U$!PRA_Pb>{O#}7 z=iOHcpp@7*IvHU0l+IJhg)4D>7}s0tVswXss97cpG(tlP*unhY3ONceJbjS1s}x;- zKx4znSmYp-l&7wnE|r)O=;-PwIjh>;uA>1`?-*D)d}AofM%B8_u~a=|}LZT11OY#BTmWCXb0 zC_f`agQk)3d>@^es==HT!G?zUDLa(Uq&^9XWR#}!L|+%rZdM*x^Ro2-nXB5jH)-6t zSA#AA>oVUz8L(@beHt?*5)aFi3ks@#gJ6U#*nfd?tSXy{jFJozKZ8bFb2RReHLEEg zjwz2%t5%M#t2G&2g|DO09+I&h0Rh*Tp)vGOM`&bJ9x3NGEzEN{ybA<`dC|! z?b)>quI0&A$(FCjtg`0xCfCmQh(E)h@mYrlbo3n_iV{MrJX^9`yFzkv9sIV1!?Pjl z)~V_=36Dm#OJ3D#U$avz$+ghN;T{1H-_}nMO0R?decH3*7Qq4?U5@*r$^@-lU#fJv zLp?qkBdIDP+6VulgYDFjIT9s&=WvG6%#T8gHmyWG6hI}mRAAeSL%4S8P2+tPCh&Z=JO-qytpou#S^g4#PuGw9(zS;Yrt1xYIy!m1 zzkY5BpWN%heI*dPkXj^2=Fb8vv^fM?A|K#;do|xoA4xkXk}!Kv#dqFXn6KfZ<>b?4 zi(L4gAsA7?0qqLLV;f~KZqbiW=hmDfv}OrQUL8>uwO_gdR_;?K*C3&oqS(q>Vy~_m zhb;${CPAlnf~2~UsIevaK)vdkg2T)P%> zI{{H=%fo}CY*0Sr;KV}Jr$l`#+8#0#8W>FsmLpP2We*vdlB6{Hes-u!JvyTV9V{LB zq(TGrkizm|9mA(IC=|F^t53nA@q4>$eK2I4bYS}#U7N={%Qs7Z*YCL`1MW|^{=x}(6iiiANftMwI9+{f%5s!BxR+6j)HyLat5sFpJ)R0N}Gy@t7 z8dHI;=~tg({PkUQ-f5x#eifs;Rf44^s^9Dy!#Crg2t|SWdB0`nYf9|p`<2=ly?$yy z&)$#BV(>=ULdkLrgM$$oCw`3RfTuE0Tu!A==3Jweo$xH)Iz{!h9vWwUat$(DF|JD) zL_Zxz=G6!i|K$=~=QC(DXi#M})aWM}#7S(`|-dhgV6{3d1VT|UEm6^y9pBYywsTQpYh*3kc; zZlF{x{l&Zr-HhcR4NfN*lAV|L!`u&^HQ9>{g5+-4cg@@V`zYmPb9-lbn~l-nsk@@OZwf%`xkm3+aC$3f#!Vn}ef1R2FWtuv zE#0y*d(9S_65t(tdxXw+O6Y&*4E?X4VS0~1pGJ6kr(#Y0Xw3-bcn0qtWAIHn%(p6N zyvetT<0{ zm3$7lZ!)w37E`we{Q-dh*|e^sMmOs?c^E`#FNpp9v?T=IJI-V0eiFN{`_cL)<&)1d z>+!v$!vr{kyB!p7(N-K#?^U@*5TY)^>XJIq%#e4uN8cLZ@LRM!ua_`KzoX{DZp!*v|l-{MdABO0(>F@{~d@1e)J?+37wlMm&z!RD+7dmYcI67B3PgUY| zKj=^%n*^RiIuAaR@@@P<1CUtZd-X>AtO~|lm+VW^Nn*zLpAAU-2u}Oop^Q?VwJ!pf zrKfZ@1hSBwd+Q99-=Yn^-?!%;-{xL?_ZY)Bjwwf{7=G;lRoasAy}G@h81v9ehD~*M z1-O^R0d^jQ$*k3-d5Xrd598w=22UyL58UY8?a|gWO*aL$)!lkLcA>cAw|PRdow}XX zY?roCa`0Xm)wlYX+$`ha(UagZld*AS0UV+$NaoIBoKR)Edoo6P!sUU2Q}ZLj6dM{?^H;(3GV6dGX5%JfhK`; z-PS(vgsdl!04@ju`)|~6@0~23K3O#Kv6?<^ZB5L(raVfeqK5+>o0{~6dCvT5pKp8jG=`FlircIZW`89>>|ac}q>+#X%={wKYjKIQ-C z*EfF&|IN=+0kDMC?fH-XbG*Li%H~fVQt0J?RSiI_7ynq#F*P2Ro@Aa|weiEHC8W#AG39Em|n8ss_4<1~?*;xwVN*Ud* zm@QE?*Dhw|9MmxQZrREJh1A6Y`*d1ro1ROQ?HP2Zue;`UD~R?P_ozm6-_K)QpJIO} zhs6#(l%9=_saK#qr(+u(3{be^#~Y>Rv9y05fhwKX)QydeDxB@OHODfr^{9of9Dg5f zMD8PXm7h=6I;HP%W&KD*S{RqdAE99WjG#d6!#f3!4XB#YXu0X&m3cja7s+(n@*Nbl z(fg{)qxA|&@OuJ>1U4GAj~E|($oJ#lszg##DPTQH_mI|~{>$!E*(FLN*GcxE)z z+$zK~L%3+DR3S1ZBVKhK6Vy8N7YkO>7ah?NKI!1$+c{(hM;MP>R=)10oOTbUc4c|h zxjXN4%;#(!drTIh@t(ELck!9rA;*$hwHK}m0VBb~m)`sY7Vht2v_J30s^ma7fsrOd zQ zMsV9l&`$teBiQEm6ab1qb-(UbR7niia(%Mge*#G*4oaVm-jMOt9suvOUnG$C;PLDN z`qMCeeR>tWS>8%j)mb!Io>yY2;sp0Awl9G(Wsx$Y$~i@El=maxsqqZe`!4)?>HBcI zuz}Tk_c7x+R$m|BfV$)7{#bsQm?FVb`@sZn?mmm!Q4q1SBkMnsQ>%80ni>u&Lf{+p ztVhG_u#KIYX_Stl@IE?4uu`JaB@^8Zw1KGfjJo;5vFQm-X> z?@#f@lV@GPVmztPL|aA(?5m3_UEt9$t3~8 z_^Zb_crT1(i(v232=5f1hqvFiB;$lrftuGHw%769?laiz?IZO`I`3DOEjqa19Knhr zyl$v*X2jdJCY_RhP4i7Jwkek$^qx+!^MpD#Jwf}!0ZtB6NH5TKWo8D(6xo@4P{xCI zmynz6(Y6r)b|yB(Ih$)xMwDVOg?lu@LbeLu6%tJz>FAvjD)+s3hyG*%b*lumh$699 zujRMKo}o>Wlr;S%fn)XU34ZhNQ&>8FWL>QV?85!}oJ5g?C~DQ}gwdHr;8)Q=A%I8i z%Xkx)vFsvon)vZS>k77d57D_l#QTpgAy?Vu-|~5VO%(czmOB#8j;)GFeN@!#SP{O_ z%?1k9G&HAd>}S7k4#^)oB)TJrnD%DY)>`5G&aFQF<>9Ni9C(VA#Uj$H z6rxKm8`{=BaLiC>`mA@!i|3NNh^F}tbc~&b4^OaZ6%75MAAuf?DuJpD?+!O!-8z*e zE(Ub6mqc*F_gI&MQBDK3;9r zm)1`Zeklyi^%=a|!0Gz|#JA@T=;rMUh*ys-bm}fQ?e0+_bInh%Ht@8=YQ-GmxvH8) zjl3G)>fqj^EeuK%8i;4HT6+jjkIJo3_i5V{SW%DRcT1Ls<5B`vYlzRG(k-Mb@U4+O6a1-9 zNcYTT{TSph;)$L)QOS$K%Ic-Fkgr?Pa_h+yO=4Hc``1rCjePCECVp;u5BbB1CEc!{ zE5iGOD|B#tZaTQqcWGGLTnB-ruCz4Bv2`NHL$#GdiN+5WXO}J!M4A zm8t{^OZfs)d9qC&`@cB;<9OD0&yxIV?{JMgvLJD!n4?7p2|5H4bl)#0A;#Z&%zdLh z(ABJZY~(y=)SEg|lM+Gu7%S8|(g1XvAoYI;?Q!{N8mtr-of2b}Me|S6BIWZhT0+@(evl zOv`3>N{2CoCrvBSbOm`}s>bL~4d|A73#bmWNV*9A`3$8-7H{Dyce>24Cx{A!QvTiC zYh9CS4wLfQ{1L8q?83v^Wd!Qfah{WpUkcm%k^}{Q5(?U#_i30Dt>Sz_E1hHDGKtn@ zo*l`YbDL~^ua5qM9=^2mDlWR8Ahtvzq_gY}QM>J+cRWKd&hJsKOZ7CZ+Ij5KUNw3N z6sLJ|iv;d>FQ7I~(J^^&r+7lR};~CBOAeSgvVzt1J0g}Hy zpJnq`-2^Xhl`N|5OE`#c@OQYm^*t}XJ9{24_--MwI<&U?z5oGEt%E$R^70l*;uluu zpCw;#OnXE?JXNcODjh$aiq4>0bsMNwl*L6`-< zJL)ny9|%PRR;Pg>OV1=42tFU3CzLIcl{UI)KB9dZtRvwen#?QzLe(Msq9Jor$s^qc z22O-VBw&j8V0s0kSqK@24nI`4Eb(65gYuY+wx^DQM6f(q4W=6wC@eIX?kt7!xP#Lt z5hU_`_+H6cmbT55c`{E(^-Mk_DLgQ|zuS2n43(iB44 z&F(G@_e7yP>Q2Xn4{#3G2{00BxtxY|2h>E~_K6Gcx1U3I8l#!pu(IDcjoy-TiUilB zoQZg(jmR?JR96*bB9U+(;Z*{$^Wk}y+C%_O)N3r(I*vyD)_K$0XyN22WmOtl>xY#q zm`v0;mrgY_HB@iq%w%%?;*3I0^%}+Do%WHMRt;5a(&^sG3jRsqJg%J_5}?dGeCpax zcg>^IF+MoC3Wv{{BNI{A%F)D!NOC}jMekAQS^1pqpVebhEt@o)O`+q#YegJ?gAC;U z37&p8j`%52|9%U{4`~=1JX>|Bq(Tc|bvglf#`97<%^z|tQ|9>n_M2@C3Ixo77W@P; zk50DWI;fNJlchEZ8VDL6v@aq#BO@m4O#AA{Cu`QcD9p3;`iKA~Gn`J=2$LEbFAfMmObbhw2$S)JZv+~wLRdjT3#frm%K zi@3`(&5ujgD%-23NJ|m8$i#Y2C#ZJf))dOm-?uD#%EM7<5kWHS)JhM*1dVQYW>Y)W zQ8gGIQAV4HepIUJA;VSvlJ5UQm;Cd-76Jrh&T6Kl#8Nd5?Cnxs%QIBkNuIQu#%~D! ztcS?7X<)oDCM%EDtq+LD8Jh9Z;5Ki}usl-!c^6LsJoWO?H^r2X4zoBc(D_wD_|3+1 zNS6t=XKm{cTsVo@jH*sQWW6k+o|au>bb3KDzZ(SkG~hJmbiar?J)6#59c7RhlaY~#4r(m5B!){kqVe8?W(0+1UH`oHqa$al zNPzmoXhM=MoLt;Dm77`=ZbTiR<3xXivxi~S3M4N zOsC>5lHq?e^;pif`UY)TYreJ!|IXL#(GMr+KzmkRs-##|EUGVvC8KL{b(`RoYvXS7 z|MJ=Rf5S6^|JfO3w2I}$3S!SwXSNdNXuZugPMb^I<0KXnWosU$3M?GAaa_!CSC{ZS z?jVt(zLSW(*Ls#p%pKvrsai;RO-2?e%bG_0dg~?pvsn}$Bl!3GxSur*kqqPi-YMB| zy`TK?zk~mZOua{N-zV7%MhPVeR9$M4$0JC2>ZVHqxo(1c_i7k{b3w!}x#9m9ZTK?D zSe^R*s|9@R@e4>5Pc7Pa(p*M_1lZ#vAvp7*K1`s|jiX2a8KHb>aG?@!H6_~$B`RZ7 z8ws?=v@s-8>dm8EDFK0ZYR_TPaa)vEg&RIE2}#^Vn>|2O^TL!jcBHFd{yW^Wek0a< z%iBgQMExeHR}@{5@LqxTt?9M|e*Y3}x{r2(&**CRacle>hSM;X;ss>$YPU~v+yp*s zUZpRjO$oL5vobQY0siv=>ryK_rFq_;atmidSE`gx0%X z#(($Me+lHzeC^@2cm3FY_5X-J_orXQD|i=Q{_lPg|HkrC|DZqn4`1H{NdEZI5b0Rm zS`s>G;YIcLHp+n=?nOGv1 z8Zl|O*MvKl2onWst_VSosuSwfFkRL%vd)>!q#5%))qY%E4qaS_%8k{JK;0R`c^a;y z5*Yca574=tt}ehnh*DemuR%7$c2m0RA42x-l<>2L63%O5Wr@O%!ljr_#`MikMYFr z^()mFK~JBIm#l*zLZyYlD2-}!hI@xZXaurqt$vq`KtsXeGcq3T`HaAFP#DA8Zed)X zA<8|UG^aQyuUUh#M6dx3oeH=$L3f65lCrQ(5S*idp<<7A`_|w~tVbil#r~V+0GjOx z`W*rPAkjU~p z$uJzUu>pb6IZx3N!eqP}y(qGQD$)d@0__1Ym2)7|#jY}LBHZq5!7iOPWq zsZur?+*lfNT@k3E(e3_4%9{pD^1XYM$vBPN!o;Ers!BTTEL(%DSh9~qjEtIVXq1CE z?Jpr2Y+9E6s82AeR_F>tKW(CU;(^yskVCyI94Fu!3@DJ4N6*AsxJL;}G;!L#N5dNp z=q`s2heoeA3>l-;dK6v`5`-%0(!=#T)E&=gjB+7@HyhW`r@n(g>Wp9_G@$&t$~=!5 z>a{2ykhRYyZW>=d(%!OFFR2UjVC2OjNr*b}(hbqi`T6e?i(1BLjHNu&HbL7|J%8z# zD3iKc6u#|qmmQ)+4KhnRRcwck$=GR^D9`433A;%By$7@Bm*;# zHi@8_0P1ON1D!z>QFjYI_Yk#l#=xGgx0H_{pinBs@p}Ifor3y9w=o(Jn2?-#y<=-& zHQc8|A#nC=hvB;rCK*xA!4REB0HuBgz7C&>ELZ(erXv>}i-SOtx-;SPIV6sBrleSy zQb<-@2XusV$~?>5D%bmL){^AaW69TUqfc4u5-h4JB(^}=3DcHVsA~kl36Hwgo;R&R+FSPr)sL}NrETIb+Z$p-FIy0ohnl_3&|>)8Exh)XkAD7@dG*cjy#Am+ z`#mp;#P7a5ip}yRBhqSzEHA>dNv3w0Y&Gx0^sJBK`!vq)_Gtip7M{#6RpDFZ;n5q8 zkH~0`DKu0_-AQYTYk~$tokK@1Ki0kbMCWJLphxIZcgU);h(*X4)E+xAk3ML|fLMoB z6sRg94LY5^ zu5vsCT{Au_wQS1&s)KCnKAGok3@68F96#kw-lTzhozCcH4ddN5Iu8aY-lSvwkSwn? zvQTh1(4RMBA~;%(oY4pmF`jtPZfV%rB2LR$?3b5t*j`3|MkebbAc}Vk*$X(;ofN8M zqU0wyq=PNjrN}%B9)-Y2y&dEF6^8F)pRB6y4wK1KA>??-$~CahoTZOOfrh%{irScR zROs*ssx&-HS0IbYf>kEigk2hz$~cR@dd~6XCkRZv=tc0QI6|LF!m~~u_;84mClTu^ zDxfO)Q7%tDbV?8Ewl>Z)0@vV%3tLMkb`94h@DngnZ!N8#cGy~0tK+5ayl=RkkfGG^ z2}-IPJOj#{a(n{Zi%+?CF?RcG7+`6#Ku}k*h?$boWSmyCR~MWMM=;oQX9^e5eA zG$_OEx({vY`DrI-fJggZuZD21x`D&uf?Z?EKzoyvVFEZ(5;M*!@4xEo8d(u2l+0=h zuAai7bCqmpUv!NXA`v-{Lv#3gCXp3&237USTJFrwP*+{Ihq`8&VU3LOU4GIAIs3MM zA6b9ds-6~R1zdJLMmAiTmjv^rst66&RKCX8N6^ak1Q%vlUMV5BP(vPmw)C84D z8YfufdD2#KmGK0jPoPJx6}67hL8oKaKI*xo+!)CUIWFN*%K);XpUT?`-UwsiS%MXU zwdN#lAWGFD!5GPbhqA`6Osr~^gWMe(2l13oBU>mSsp=!?S^sE;#$7tX1Il@7jKGC} zC4PHvBrN)+nLi_kHkWcTw}}p_CzPA|)UL53`3d6QngKV#xLBaY_1EUJqiCZnpUCA{ zlJL$GMamrH7b?g`%Cs{yZdH<&!!G)*`B1xS;YaqjA~o8_bCF%jW(~1m+nidVm@=80 zRA?cyz>Tdv{2#9JY@VYZSn(koB5>iR(TdvHD4!;xXab3+hs3E-<4zuXu~%5aNi&PX z-XhBFGy$&W8BsZP-8!Icd)&e3Rt2-8x<#+#A66sB7UfYvT!r#%5w!^SV10&UqRmg7 z;TCP#{q8pImA6oMNWI_fSS5;VjBejAbl*I9w}}rw+`#B;Xd9vX_1H5WxYuGt!4!Ei3QM%3lUFFSI|*3? z<7~Ew;&cfaSJBYEkaDdRh0h_g4-T;qE+e!;zp~=71T=vmW%$Qd=_C^Y%pTWK`GEGS zIYxV*PPveY8XMyOkOUL}HJNVYkXVEDIknEgdT33jX$lf)tq-iw5 zc6B1-q3(_9rEuPNU`DlF@?h7wh|A6cq!x+7Y3Q2m0G%5JXv2>v71q-qAk{5el*4IL z(Vn`nQ{2F$*0TmjmZ&#S*HkzD`_2paw6hO?ieSq%HkNkRdmUL{4G~|*7?t&IA#2l+ zGnIPk@B0PXiz7KxuNmEwVV+JSPozxb+Yci)tzuFhpmg6)l)eg2i;7P+GpP?zexf9+ zF*H{q=4oQJcL?`rh{iNUCKW^96~-RjX4OgK5*{M&C-a~p$$_ivl(r4Wbcnaf7sC)6 z_9D_I!=!=HjESS(hB+LC{=efqkK||ob<~=qhh0&>jA`K+G;5x#{6EtI>ghS0D zYW5=V5v^tFIpvJ-Y{}F$UAFY*6uW~h#3nThc?J{_ z(sE}ZL~%8$GB|Ms-tAxb}hosjZWwJ9upd%9T3%HErlp)E33 zI%qPr@3db;w;i>vlHsfz86D>I1T80uAepR{HGAo~DKpyNf9|}5>#iCy9(7}-{GItw zZ^dmyLza$Ca@wecusc1EpmG)_WVn=T4f~R#R$mZ}YY6C?vFX?~k_Lu3G=!?M(TtyR zF^YrEy5&%GCt<>3GQBBvx7S6ro5F8RUO}%P!$S9H-t#5lwd>4eyFo-Z#uCpp6RskY zY#>Bu>d>Z7`K&%}jiMkqH)S9*j|>WgAI(;5=8Bhqgr=Ktt%h`t;Ed;?*&e4yY5X!? z#uh<=dV2gEb>)9g(4;fX1}X^k>o}$@(K|>^I<6?FBm+&!aHC+nvEq_5H0Kcgm#9t?H|*coh-G#sGf zqr>$~&8e$T3uy0@6sx?Tl(%fr2J#8`2W=#Lb)+{3Hhai3CP*O@ZqF8wbak*0Eg3j0 zcVjkYLc=IkI;=MJnp$kF1PFQ*nF@}%9uMsz_g7A}Q*h&~nImvggqIsWnxK8`#*^tq zY|frwiB2!NNcoCWQ@LKB`i;;OjlO+~Z`^tg8w8L_l2!NYXp)~tId1Jywf5t5(0+pRPFqj3{I z`Zwl%NdEdtz&bV$D0A%@eFV?sv2%%}CyghwO@cfhwxhd9pQA%iSJEQw$}Vj~m%w#0 zvO#znDtOI*NWepY)^hNT;m2{AWWnr5h!S;vVVx#y#NP6Ep<8 zLyO9%QcWy#{pxD{9oqRWZO4kIj^%tA8G<>@hUuKS@U5d4u+@4@f;qEmTop@b?aiBG zy8=>@ta6B=w0n{*Ud&q97BqUFtH+ zf1$V+!Mp8iSnM8Ku|RvMNFn9OJ27IR;hQ4pntXkBlXjnAW65_&f~D(S`nu(Tr3R?X z{yURTl7G7ig!5RSJqiw*sF6tiKjXho4#^*a*Y^OD|Mg)9YJ~Gf_hY!U@D%P>H^~;F zv>H_Ihh_ASi2yqeO%7QXk6!ad+-f1LD~pG9G!8vBM%}X+A>*DRH0hw!Na1ZfPo5FM z5}lRq8x=17X6JKQ8|^@I9uz(gT%?01_!#aDaP#yAj=GE3jO<%lQjffSWC=_1l5(Nx4mNu3I1z1x2U7xH^ZUzos^ z<9i6ukBH3MWIXi7vAVa{@QVhsh zz;BLUK(1CrP}K_+8Gau=>Do6Z6j&uIzYs!n+l5QX9i*1%FsLM+c83m-pHKTTY$+nc z10njCP#sUVknt6*49%_DeVU;jSyq-jFA`Vd8wZ7Uo}6zViDfZ3z6~9dpKQ&yMdSAJ zDqO1(1R6Dz4zF=j;MXf( zK$=iOtpBI*F}z9@_WJ~!C<_FxgjFUVny6|683z++%BZf+bVX@DqmS-SXwdl^GD-^X z2k3Yep4b1*@%>MnWu!-CBo=vYF)s#WG5@UeCvdU-*g(T^alvvTH0bdY{ypSkCCl6w zJ1(>oPg{Y1tOi^I%Te-9hd3QAbMGg{j1}@0P*rY<8avIMF&$)lhPC*qMgKG$bN_G& z#dZ!iLH(j6MY+y6Wnh;KK1gzq@s|;p@cCv@em9QjxQ~>FK##hnDhGG1i+|C36(5V; zL-G|j0?))ONl_#BML^Vc{;qSKuwiPs7(7nwG`8_|Jj2ge#NFAv>_mR4;elYE#7k>#a5+<+1)8pRu8y3w{Nx5=SLJ=}y z0s`qx=ajn6A8OS8L1hiuRNX*Ku+gxq;S?n|9%vdc5a82)>&=)=$&Fm5%&B~mjzl?M zi_-(1j|=~&{-^OulQMo3x5RPJwS?vGB$w#im+3r*nl&?z_62x`UNy;6S$&UZNWi^8 zhpM*qYGtpylYc(^6Ufiz%$~c7v8H^}_68~yYu2c|5>-CgNDks3dIx3az z^es}R>q#}D6tEHz6u5Ps%fa_4bxNjTq4VnRj*x2|@jY~<%e8I#Z046DB$}V}E7Xq% zvu&((PUf=MTgN+-XQ_=(tqZe{AUERe+Azsnykt2{eLC&0efSbCFYMZIJrGC<7=*dE zKV9fq4#{r=66b{lgwFYpoD?u?OEz7EqX}wDfc9nHF)a4+2hVG8Ho@-A z6#T>PJbE4>DGW_88a-`*)^i+;WDVI_aAVm5#9=XR1teARUfp#eZoz>m( zbqeWau6AhM{9IES0|6#o{oGJeZUV>#p=rn(pw}t8@N{;?ssXfCRT62my_ZJO8ZHqj zho?;g5=km?BrV0AS|wC4Hna@x`;{x`bo@m6WbV&q%&ufX#g7ZojMsv7tiuJ^OQ%+OF8javpX4BISpMx9632k zg*dWWrGpX3R8H2hqv+x2T!g08Cuff@nvI>Gkobe`-P;hC*C$5@XXA`&KO3KR)~%4E*-JQ778Do&PA zH;aodf;2Kk?WK~(&&7|C+9EKcQ?tze#sHliI*^eUL4wBQv|#))%A2_pSE`kQ>~qrj2|EQYI`SOE54QlLb>g&i80^c_Lu~H|=kc z+Vu|TY{=Zz5n2(k@*s=mG=;FcNxh%VuOgS{WtPg}iS?>T`D<`-qaW8Uz%THd;P(@K zgp$;?@x&%}kN3OO%f9uN;Njy!t45jSnapVX(>$|fk`qOQ3DCrJEf35fuzCUz|&;sS0WJ9lII%h9Q(6{k|Vnw)3sCF=3N1XOm?dN}^_$iF5 z9-GiREsZdsOekUU>GT3+d71jPj&QF9?_@ZaSIYO@{$&EjKFJB~42hO{XLMh$Uhk(Tp6F@{A7=N;^13&h0^ZFnsaDTET5k;IHc;Hx^dK5 zwF)H*5At`H2u?y5X)sih|Hd)uZ_b;A{k>}60LkC`iJ!nZ;T92$9wUj5w)#PT$fpT^xb?R7)a;K!xOK}9%C0n3rGNzNK z?h=4U!?fsJlfdnh(mAADXV&kd^3XSLTBaNz51%zf@Y)}vvG2vt()xeSsUVwfAovVz zyyoVF>DZ_n?g2MY?HiOx`>=!4<|3}UZew|)fsN+~^gcmbN^q-6yc@oKENqcblDwwQ zjS#)ywriLn{gXP=ia05nt%P3XNX0IaoP3OS=7p?v2^V>H?bR%aIyS4#fwx!X@MA0y z=>Jpi=?Li-Ei9X@!$&rt z(l2$6Q%GKhqu5%2Pc5+Nz%+a1VduP5*&J4o#!!=MRStU2zmM<*4?Gv*@Mw%R5n^wT zMuA2zoERd%MP#XRs^SR8#~Cz62|7SJ@u}{8GenDG5fe0y{gz>!X^;u_`xw+*_;&w! zY>=r36BIzQi{s`JnteJm3a6?ZRLkQ8dNpZl|`bg&B)lQ41)jh(k**D}>{9UEc1Jszd5|E&c6rI{TM;~^Q%{a71OQ}|lM7h$A0wHi!X$Vm zG?Ilz4yhOoKW#$&EP$uOZL&NHF&(2-5~%d^sL8YA^VVj@_D`rdB+h)s7P)4Tr!wLb z6HODSgp{4FE|@$mm8|LeF>l?5y6Ku=tZ~0%Ubc{1xkwjca!!#(49yA_8N6f-_I_5_6G5 z(KG38TQfa0b=SJFPNtTKY+-sT|AbB{*=tx|l})~zfZ)ubqjdTNmUKRfN{RK*XjVRS zQzqgzSyLs#(Xm?c)5*vYs%zw!zy}=^onK0$k}#2a#ysa)`Pu>Pd*KnU7UzW{~h`iuA}5f)&M32j0EmCikai z>|Kf7yS+`GTaKrtXaJp=2DTBjihYlV9*oH7MkDGG^=mlu;r8Sr`jnZ7dU}w#w|ZgR z>|Vim9Iyn`WTb&K!Fn!HLoC_h9+E6~Qpgdgdlx-+CM_B?k%^|dVJghsLX)P+Vf zf_##|i+V9AJD64o?74616f50Vl)|TkYySJ@_y$sg3gQa`Br^O;^$|?b*t+=e%6B5u z)NslPN#CQ!mW_5$lCY{>)CXeHr<3CMgFWhOdV;KPV2z|SoJ@(X9m<)aJnAL1Fs)i7 zv`BER>D0O!4lhjL-}Kv*XQwfDdT)^^obw;T&HWQ`@Y6AS)H#?QPSJr=>eNvshkLbE zWLpJ015JkQw6it^d&zf-NS0?sUo+nAkX<4*`mDwMYMu_COrYeNz!f+Ho!>TKT_UL??yM)JJK z1o&hKaP1?W_aRpC7Qcd1FBZo~R!+{v%$tM}0PGJox%L$4V1k6FXJzjPEk0kE=e-po zsO7ovdm8@8l~eEfXpnIDs1qS-bBAEJ)XkwajZ-hXC=GK2erW@-(nZVjJ8Bte)HD9Q z%140YCkaSCyF?NevM$t`e zJzNSAj08s(^HTFhRcWdDlTdaG$y{9Ab5`jKIfJ2;CsDqAhcgfQld|I#rW> zjT+H-5_JxDmD(5)NVOUv`oJXdUmL!x3;y#2Q|I~jDjRPO3>aJDJVCO$1go`x3Q2Y+ zTRiu=RS5mVq4y6xAd!Fio!2)Mh97YQ`Q348j3@8L(E6Zf;X(nCA`PxadaEafO1N~` z8n5opxh$De$Wm7VQ#I3~f~pIv4s>aDN@FVLr4XrRco5hG$Qb;5-z1HovX03znmhCI z+s(|0HDywU_^2|)S$lzoCtw1r`~x9ly_Ba>ASiN0KxgONoL_YLvkeL(|Jo7OQM-^^54yNm!C6 zpHo#aa?XS>A3dAGd{ZoXPRaH6xMB+PAZY8~t1qEXfz&JnjZYjtXyNQAg+qd+@@#?6 zK*i=uVP7?#>kNd(eU@({VHM*`<>(Ao9PXQZI324Rf$6@SR!?pJrGWd*6$6lyyA|}_ zZQ)xdTjZW;zB}0;9c5(J#LK~5WJ4vIEf*bW*nr91;kl3Y$T8s8bW*5~Q>b>6Xwis1 zYLj7&;&uk{SrwsK8wq|URlpKOB_DDWJCqSOjj=+8GOS`G3J)vkR$xH+42f8i%(-R1 zFN-Z_CS$Ti^O_Bo$fU~%=jJ&GaxkiNkTKtRm_gw~zqPd=eps^v>-PPDB{(bj?M7hN zt_?m*N%fPVDjsp0MmxuK>WZm;!i_toVQkE=xKz^En}+N;PY3yV-xeN&5`0w_u7*}V z8fXEFRjzFzT1J{@a4xZL37DEZtBW1wGz=Xd`ef^>BDqUf&K2`L=m5AbCGpzXDqn^o zAV;UN;Ba~_dp4Y{5lrMF{ z)pe*|0tZSo*Lf+QNJ-PG#OT%eJ9Hw-YtsIyB1a?n1wal7_PJ|3(YAqNC4UOev}RFi zT*xY^^tY;NQlF7xF@Zioc970cYf(4cG#&D2=EVW^vQSG=Em!f2^$EV#T0?>Qs{R&= z`s$gh+|i=5U`|lU$iv43n0KjTML>5-IA5*sbyi)bd||cs1o#* zT-F;=ob|b@B&> zkE;E>W+DAiczq8bxkZ8QjKY@urql4fs+_RTL8<^`se#0@>*W;2B4_WyVNab5wkXh3WN4%Vp`leD$VphW2^B|IL}$e$8McG}UHmS>G>GAV zQY3rm_WhISg&_&Rk zMbVWfBT1u0$0K84Y4RmLs~lm^B}3X(Kz3F_bEXmdjzv1czWJ4oW_%P0&Z1m%)@hPc9+_OpD779qCb z(!i=piv2M;$pQMlFjmN@ulgR?JRN1mtKW_sWWB|8(IGUPIT&5wdu`43`AGv*T_I$- z&#_d`os4TB5(xKE(+jS7v8;!MTGA zzDL$Icrf(wB;)A2Ll}7iXwy=w(j!Kv_^jtX);xO%IUN*SOE_{?EYD)WIkr7j&xdw5 z$Tz2FB73z;xyYFrcma8Nl90CxRTTvzl<9mB&PoiCP0DqkjZWD!H|`_9RZbin6aJjV zaJ!PmX*-YOAq_g$|4isEoj*Y^3Hz`#MP<*A&LPmP%bv)2@fm55y|k0(eUA}vQy#gm zK0lod*U}tQA7%uOD)}c+QA4@zNYF6BFFG-ku?Ch2oCN%J288RBN&2R-Ix)N9&`GJ0 zp32mf1o@fRO#@ttUWnbc2Vv~AHc1%r*qv?T-%^5~P==RiRaEiTZTiiL=_Q9gp16&q zl=p)uRFn9e=a%)YDc53Xw@8HeQlcesg+1qogUdEjLHRXGJXQoQ6yut>NL4KbJOdJm z2EluiHmiWRt7cbKO>Z2jR0~@hM_5@Z;`?JCAmVS?HJ+{jQ~cdB|8I*rt(=L^dY>Yo z5>tQJY{--hxzb2b=TkQ4DnHZ3kO&0-D%ry2^9OKUNX#9g_0w_TmP4{haFq6ya6NdB z!0Ob1+cfBhmtZl*Jt1Tj?4y1+Q0`DC_oJBYx2YR^<^cDrPiOG&zZwHfAJO6yEN_ZVPlDo+24EgJQL@#r*d2|Q; zB}*nG6Bud;jAD{<6Cs% z6que3b31jBr4u<1$c||KC_6OB3w67nR@rWohe{rXh0v)n(Haek#~IOB^CO8q8`TgF zCg*YHEMsAGV$M@{aT01F=Hu~(b(Yms$SyzkAy@G%9uREJJ6N9e_zVOXWhajwS@St@ z=KKkP+{6+h3lBmBMH_S)O&TMMDl~&Rab|(?LBi9U-{)rt2 z0#_q&a{4}5TZ3RJJTTC-s^}FByP_S1UJe@$4H=(pcecuB?qEB&Z{ckHj@Z9)36ik} zuEuw)qC&?1q`yKy#P{R+)SqG@QN?!h&;}Q3u-qw?{7vTua#Z6>iThTfD5mXesEY(& zbZSb5Re7*_dpNxb9__B7(#_cQKy+9^e0hS@X3xs$6tdK{i3S>u2mCknT`XRVQVD+@ zI{m6^fu}1V=s~|9K)n;@zxs%45-S;gkMi*C+9$C;Tf)#4#TuEUn%X_zt5}p_nUFQV z-JTEj^LT7?T`{!PF~ z2*xuXO$5d~I3VDCA$$+9H8O1iM~y4@>F!;Pv6=&`?wO3-aF1j(U1(K&xHr3w4d328 z*<8)tsPz4v5!rD7iRF2tD6!=4j9-G6M)n`i&f!x|6<6GM5X#b#(J|I4q_lm7%UzVI zHM`1H8MTWRHn}l|v&^$-jck5Yak_%V<%&6C)ZTz$Ka(ZEba5W~GHd|sh_2fe_RbNwoWtsL-$3Jo_7!W; z=%T%%_AejI?8m)YWuH9 zrecP=qRqOv@5+6u57BxI?36a~OVguymCfJ!3H;T(50l+4Zk29uXYUvwQ!dEu(`yJG z@^AYcuAz^IPdDLdv=CY0c_~UqG~qP*))X(lP(x6oCpHt9`8{^!v@$s+pz+xCih7sm z`up@fA8rkv;a={Wd`tJLV-h`Dz0JTbB6)(r@DR(>W4L=m{O08QaDG4_M?kI!#5;o* zcv5X#a#4Pl_{aG$oFIWly@^PLM2~*O?TnG%YSX#r43LDn+HdOYGWFw98f$A6n_R!L zUb7N!nmMZ?Q(m2w?^E{tB$LX9(Y%}HEj7|}Q;#dQ*V6d}b?DLAIVAc;_%jZ+7mlq! zMD|*~>9KQ#_AJi5FId%-nuMmehX}5?kv>mbp^4Dz3Ai=QTfv-7 zN^1RIkww~>e7*s z!Kz9p@02ZrT74)aa7muHh4_;tZ2I;Q=`~Ptq&P8q|9?13iRGFezW4Fk3-%S~KN+uk#{mvkX}m*Foi*&b(-MLe^AEVLJ7KD3i%rS3uXu*1JN- zyTJVrP|_Ikd(&%loJ2P?Nos(mpJ|G#!kB6qf7)Fl{K!+V)D$jDu$AMxrD+t-tPEOv zd?9jRchSvm6dyRxp}}X_8?K>C=ICp8d0tcuqW00lHvaX__hVzU2bJlGkv5NfmZwmg zX1NP(6W%->W}1IOt~rE01SOBTPu3@f`}SqRfFQpT z{|#o?&HrLUMBib@f#On3%K1bEh@6HO+t17!8l+?W;@ECAyU($`ye(nR#`R zwtL!S09Zh$zqD!BDXWV#3eUyhy^=us(irZGRPqEJYNwBItxS1qk;$D}R71e?UA#!i zIOX4}l#k@R5XEneKZX7A8m3)3fc6MOf&_v1fR~OzppXWAJ$!6>6Cy+1A8cEhb|RsK zS2~lb0{PM}B3ar#HFHv)j)0G@x^#V`7u5l+svrO3;0JJRuxrn%a%;747uZZ~aZMM= ziV1j>Y)+bHSE{4p6nn*0i+apjV^o`Q+@3wleR+y>xCNh+Oo-y&bi( zOn0-30Oco0_xlO#VKq^tK^~eNP%hWb=pvujivSUJnod)ISvg`};vgAWjaygeOy#7c z6HS{>rPkNR8GwUNBo-_5}}qEc{#gt@k8X&)W!8K z!p{e}P8wvDhpNF=#f7t04qxwo9K&f0zr=Tbj__VuhRwL%H-(I)6R@0N3(JfBRP)9DKg ztbz8G97*B|F+`RUNBdORjZ)SqUwmI>+wb?bWdSiE@XFEJq_SNb7^jx#wXb(kJNBb6 zT)~e`(|ASe919Fy=XnzZ$JAjN2GX0QR(nQSL#4n87 zzmr4qSM&30ml$oUdM$~_Hkwhp);yc#F>HD*S4@e{Hiw9xJ*rQv>{W^S$|s8J>eNSo zLXbCp(y@v%MI67?_%s$;NAy9oXWR#$e}t@?c9wofVSn{+6Nt{tO0-e51!;;rzgH~K zw(uD)We=@9Uh|Fg`^xWAUYv5A1g4cBZV{w}-`f8xLZqHL8ZV&)Qbkdw`)!;))|F}t zZW0x&@{1%hRRd!vx{VwAhKGI;WuWVrC%duYmd#Yzc+dwF7B;>dN?_PC*igV zIlmH?RV^8%j%+9So?*DV1GAO=89~~Npqn7wGaJHHBjHoh@Z}7!#fMZ*$=CO6^op*t zhF?2|Ye1(EB_MgX`9~|M^$SN{d;_b<%DVBT`!2OSKIZq7yqNUzP!@^s>2#}SAsYRj zg`LA>hSh3>Ms{S4sZ<_$@E~m+2jg)%&on^_owbmtTE**onWYw@bXMv`a;JJ3iHWK_ z=83Q$kR4@5Mf3*&ROz4;y^uFwo9+_44{$i#vPzePjCf*bhAzT&1iTc^7B`FRPMcg2o=1b(L$QLDsAuw`&d%l)XH30$9wJsnjz>d^BeL22N(n_RK{(y<2o# z-=)H?QlTV#K1#Mad@8>|jh-fs&qC~qkjT+$@R;Uus3v}sTuMDKRNp^rx@pXUs1jf) z@1jl>_?KdGvm_Y}6_?z@Lzb!@8PVw6q3T*mj7!OH0%~ji17z3RHZEPA`Oc1$SRlgA zW|{g=P{}ctS2~!nN1jpbhX@hg7Sfr_tW$_tchH z{Sp=5O4*PWBAE}r)t4Xt(*2whmAa-QeeQ5-w-*hV z7?EJtD!){kt~G};blT5kEtko2ShY^GPQ+vLd>W5{y(ygwB}8f7iipWbEci+mEmHHc zZ;f6?K%kLk{Oi*I7G?w`lo%Olv0Z@x=|m((XHUm31M2E=t!_GcCGm1!buMCNBd)hH zUNAY6J_w8sCVsp>eFp9^4cTyv;&_RlH^Hix4vps~Ij?lnScuo*TjcKqJ=WRxWS>s5 z!&L<)Hm62FW@okq4*_Crn&FP*c)}edTvcnSeYBTB;Vg;JpkbxSE*fb8y=iHH58BuG z+h@o}>Xz%EBxPO0sSC5pufI0CMon>9PmMrAS8>!28d_bA9Ur9dxUq%Qv|?2*?gc*` zuG@zB4XQJ0UIlr|Yapuf*%=;8)bX@zU3wL@RGy0Lg(^Ta+%FO*unv+iQJ367YOkhO zpLI~U=f^w!=Mb3CDUx8sC{gQ*iiHUPVg%H*Td`3C8LmTBS4zB;48q?R4I^K!{urI2+e)(INy-o3uQiMjqAe4;m$*|(Iu@uoMJCG6$+ZzV zM~1&fpwInOen33J^;2JEpA^wm1=6@M!gmjz$D*%jU`P)2?fwf`cAe5bP*wvZS^?S% z0!wup?bk@+nj!1*tLAPxu8gi9Gze1!Ab2f^zkk@okN()a?Dzj1 zBq)^p(t6S0nQgi`dDehUkYq18osU>h^tn+ES^ue{)~e0-($$joLq!vnMx1-pLFb54 zH*--2HDIs9XMKwS;ty}@NHcaAV_j-k%jzKjDeH(ytingyl_9X^>bz`b?r0R zC4lP;;1ImGZ%a3xAz>xCNOs^4(t;1#+y@VRT@{fCEf@jZl=ewO57k{)IWO{o+OCJq z3nV;M?k#~@lfZ-6NY4T($v1*(O{CuWDmxhmjC;NBQHT$8& z+Mj*U|Dy#+{>)Jg)1XTGD%KcGVReOt#CVdiHlk{!z8<84(WJVGZl4b!cqwEtAoJfCx4u))rGREI+Vj)m6MswE9nb8=NF{+7Hlm=AH_t@}yklchk zihjE~iPG^T$!yiPfY0QiqpJ6Db9M<=T#vE5Sx4|{fXu~>;QmsS#fUu%G|p+4u_Z6h)#ag4b_UjzOxH4rFpXfij*ZYUL2;ALyw4T7c`h?LmjE{W z`v@)YvjwUMI+e$xBu)MAU+$j6g$WH7pHtDFe%oV-qmcj|k&j@OPDf4d1cI`vJXsLq znxRu7uu>-dN(4^1i~j94`uk%uPI7db9n&BBtVMmrQM4<9Ro9_`QeB-`GUSwCk*BRH zoD)R2M`BNII)(&|@d%w!1hr9uhKa_V&P?FxxSh9XllD`4rakD70;msD*q^S!Pv;_; zeL!cInsw+rlqlEcGpXWY-SR53;g8z3QNKvm&ZZ$!w>7x{h;0_qe-F;%s|xIG^rr=)4I zSb-b?Fm)Ks_-htL)YYjvWa_IpTC^)0v0GKe+-h8gw=saH-zO#Sp5!bD z0gWx^7$L4%5dZJe!o?xUO_%yaqM%$DwRw+HM??Va+QqFQb3Mc< zVR&2Q5-~C%ZiN8pOBzs%xWYO$JDbFCB%-ee|PLGjWAi>?F z{u8_^=VwGRqwd;~V9&~z1*&I#uGQ^AXo-$C8-iyo2p{c*&QP6%O}=T9l`wwXS+?0W zUX}5>9Rj&Bd5|AN0(Y%Z`8{fosmSD@<;K^#SCAwDP>Ht4sR}wG0(j9W79&-wz7!x( zlSy~fhY9yD6r`Q&QASS(cINU=$%Vc}40}66tE!Z(RnM*h!AdSnkeerEA#hEqH30W3 z$o``ay(ALJ*s0%-LI4}GC?XN$Kz~p-X$)777;&P4*M^B9c`zDsP@nFYbdY<+D30*VP3$D`Z>zcZdwba!+PtIBgzee`LK0in9gV zSUCel2jW>8jx>!URbEvD3YW%Q^YFY9<$OelS%1|)kfBO<$AS@ zX}~7yD%w44v{OTXe-m+3tw9G%5TsVqcX7c0g&JRp0f+~vEp#BKHp4E&30}g(rd8r7 zbk=pn5LEp-*}&B2MM_;5rwU4^$-qMB^8wXwr%8uUo29TiIV{)ty<=HTP1rwl_V0>w1YTv zmIzo}*bE(!Ma>N#HwgYqVVeh{p;d_n5h9&TVA0{3`IJdZwo8Y^-&1tNO9hOPttPpr z`E(Vz#fDvlSdWip7|B@^YkopO8j$5g!5Vj|^mE=qnQy}9*6_5i4A0}aLBX7Ttrtfk z*g`VWL^#~Dl2tVg(p8~Aw)RcU#Iy$W;+W@gP&9Trc>C!Rl9c1nw%2kme3b?&)i6SI zgaaR+iw;;rBd314J<6H-4#a5*B9z}S9aEI@KH{5c^6e=>)G}y9=?!~yg38+oC{)3h zssj96mmI}cI|S4HJnl@A{JW^)XM{981F#23;XDD*O7MgZw2QMTnJyiR!t$#4;89re zsG6xMnOnIhr-cMrB%K8Hb*tfD!K`2UtPB78n{;C79n4Fkuzv-bN4eK1MPoYSs5w}*zAsI)vQQ0${&?@&R?&8T54D9Le3e7AI zhU=(zLumCPXmJm$n#SFvjOD36iX@RxDx$`HTA*E(lb0^2bXK6o(<2ZY&1Y*Roif7y z0g`V1UYI(lza_BGP|wX~NWZA>0vDxPlINw!Zqp)(BR6d=!RFt4So@u6zyA$E`bm2M zha`YKf*Muk(dt8)W~`=ICcNld#B?BZOINi5zzt{|KEg8njm2<4Br+B zO`iIy>yp|4GtEoujZi1>4-oArnyyy*>It;$J|)N-W2pNQ8YW$N%3kZ5Qns&HOybvu zFJNOpn@yzE{GsG;Mw%WVX%raXdj+Q}GGn{j|?9lIzd{&sA;j zM;@yhRVlRQS7|t2M!A!Dg5I#9Nw_H&>UbMcF+hH5LklIN0+$*eq8V8FtSG^-NR_T= zUDPvOo2m?1c}2ktZF!$gfj~N$AgLpXQ zujR9A2%%uH1lnao_4l1?xa(ZTfwPHrnV)$`1KSx}>09#&4b_7dCMRT(WNO`E0NruW z9P{PaLo?P&p7rsde3xjH+BA$0=*=h$nw~pK5^3k$)@d~~Yf;$e^B~0DrGy)<0?xUQ zk#(OS?>eIL5K!?MrcD}f0uCv&3`(sYvvn^7&n(QEOtrYRPq2`#A?H8AGC|HJm2^tu ztnyoRl2q|xdl<21NJ@Gd1%vow!8a`oE0nG>S7lhM1WXLK-{oHtu`5SsZ-u0_=W6}-XPqKEG&aMD4<*-%UCYHU&P#hW$E7@2;J)`QAytFNlvqoj z40pn9C64On&^wvnH~ZJk@H$I8*i?{=!;Oc|MKf^gkzH*0pOT&Wa9Sf9tOl*)qh3*8 z6Z!B7E_fc{va?Gke~8cEDOXm(l6N2L&W<@1CBLfN@J8|;!D`WRX?}tr^nr5;zfR{O zW_1Pk`F8@qLp+Cl8m*S?v7AiD9YtC*Uz{Uz*u^rXs28wKz#?tO^(B1Je}({l5xdiK z*ym@CRl-YWx=a0ukQAf>1b&`lp6wYDbZUMAz7Y3wDPP3rLT}m>;1l<1XOPy)*|7l+71hx3TY9Byf#e zcVSD^b>akPJvwv}0ZN8K-jBQIajSbB5BnGKaCXV`dlkprX+QoI&Jln_2n;>gpKa2K zs;Y^0!pHATy?8LVXnkW^r*2d#5vU8Ra?&&;P|>wI0bs9Bpwtf;z*1u4xJDU$FvHn9 zWWaYQ?}rOGJxrnVaE!`*>Mk9}O6u5hiH@sF<}^>v(&(Q~>2SEOVcOY<4+FlhDtiP> z1(cr53wAAUE9EZP`rfNuMZ4fZw?PnA577>hIn(i59>=bO##8De?UO36mS_8Xaz3@Y zk9@pj6K$>9v83!7?kS0z{{3J#ij#vlb_;7Zl0c&<1QvB>jkkyBy{UG!G!lAIhg4So zbj*F^I%V5*RT&1!r+&pD5i*~CSCW=NSKcJx%B|76$0OSR3vCQ z?P7GNj?z(pI!zs&Mew+P&YtaD@+r=TA7kK}&mxkJFQ0E0Hb51=QV6C1T^L&$5xlGQ{M4M32g_ z->Gh+(9YpeXPdw8GvuyGu9k+@XCPG;NT}!Z1b;hVPA`==Aj5zp>^5>B` zI)JO*Mei7Rqy7qZM;llj?~%a~?bAprK`nTL==Wk8{&h0O>pX1r_IT?U`@im{k#3uU zXl8|SIMjJlW5tz|vK%Jm<9RGR!(2uhUHwUnIOo4t=Ct;uIX;iqG(@KGpJfJ6rKgw94Y z7W@IOk8DE2_2jrc>vZ7HXDO@^o-iNelq^+@f9&AN@j6-~HCuM$F1GN~vm<~MvE zM4&0+Bm3*C!X;shY?FJeDa6tA02gBWME3j~eTJU~i3Y#kja%|zJWK;X)~;$SHBJ)f z`$wf8#!9mW*Adx5qYkG|mVYoo`6OcDcQy5D9=h@7_~Uer>v)sv86t!9u#7@?iR?aT zygeS#}8wTFd^IB2XO(JS&Z9J~_Qu@1S8<~AjGfIPdc z&V9ZwK?>JUt;8sk=TRY0wg!L{>POBMtcH&*p*tMxBQ$P8UeOAan>n>XIvaYRaodmuz z{T$q5KO&u~0TOlSRiTfrdtwB=>$4qx_B=^*ad?baw1Wr@V2F-&(R+p^0&O`Wow0w# zjo_Lai=i{DWlj*vImqVc4a;OB`c>dx9sMBITRR2@HD2PRxPTA2{sf(W)k%`E6CKk_ zHMx$9ct9C?f^cetP;6`+hvSJhHsVJXidJ68FAshQF*3tIuVH1PXM_r4Ctx`)Z}ELY zl#uhYhluiT!?6(^(Y__O3Xpg`Bb;;XAr|S_zm=FT=A0qOR^vxatX0ygK!*CqsKQ2F zmlvsjIl6YWF8DM-c`V$u24>Mwoi?b7e6DQQ43XpzTd@Os?|LzUcP1|*)hU?1h~?|q zKNvqxx%A-|Mlax{=Ai+V%4rmL+SjPh*Rj$ikxA38g*vF0LU^tFNv!nuOrLb^BtR-K z&?7xj2WPQ(m5R1V_>RhXd}I0vKEcQ)xvrqV^V}zxDA-hD4ItW*x{;#tQ?$IhO z2F)Zv)5Xwzq&5gND05n~K*-N_y1&z@^{?c@HeN#&L`hfK=GmxP<)U*J>5U#dm&E1? zl)P?u_DWDgF*VG4z4(e%>`huzg4lJ02Q}TVFt@Amg`c0mkiV6tM z^ORjhs8k%$7}%^UwZ2!rhbH3I_dR%h{3(P69kj-}Qr5L3b&vqHPCxZ<#^>)HP)Ej= zC_33`W3<~P2%)Vy8X4%SR-%@Rmf9E~a+QAKV#)v_J}lz)y$e_j(-(FI7(N|XA06c< zhBYyn`ZF#}aPx$6OWVEdK0<7Xnz%$C>!IzuBk)AoB{5d1`tH-bO*aoKfi_2*N4pnX z^}zcK!J9fww-0+m=MKq%^PNNdzrXfLoU7l1UjXY$#=y_d zJstk1UDa&=2!8y}IC$7v!cyz)d4%M1e*u5<`++b2%-_auJ*{Ey#E(zE`gtUZU&3pf zf54ypN1`O(14#btApuEO;a1nYI>Jw(J!s;rl;;7G?eGA7wEj^VIbF{vwCz@oL#uB+ z2HLvQr2>6>Mzl@^mm{N$Vl{h=z}2uN!%fO`KDGJPjY6MqcV9w&Oyj3Cj4=h9%vKIu z4ZL#U5^~9^%>dC*K6O*9^itOJNeOSu6vUjr2;ZcOqO*qTEQ9!%&L=)aifr+)zk$T0 zWI(3hQO37p&Qb|NszOoYBngW{6l`UgYT~7M85y3h)%=~D1cfM7#`oIn0-c2JkL$(^ z$~~3h>3WE)JfIv4V4vvsUw59tM!SSyd}Il{Vz+Ys>ZJKIqw{#NOP0&MIjiTOrbB9K z7NOymK&g>jTG`@cbG31n&y?fO+T7JT89154h)9?W#zn&+N31eRHU07_7SDAb^fpm! z6NJ>dc7@|^b>@S+bXKLNt^0S{(R(@w`@4t^+t^enn`fp3MI{&tP`yuMs;;gJG$>*? zx_?!&s78uwf^VThAm>ttRDu$MOC_ZW=oR{T1gDy8OOwhy%r>eh%+{>?tUBr{eB3%F zyPU+Zut|_~E^avh-eL!3f}#wKUCycTGr4|#soRXM1r$l?o)N^+k$Mv@{%;rFEWpcL&))$4s2-elih%zbmA_l8YmMfV8vYDDSP*P=& za(U#qln-)5fHRnd?0K^Ok|hmW;$ep%f{s?dQ|RVxkX_hMAU`f+&3lNIe1%|$drKgx zPOf(-{VASD1~rofU4i!6LPO*K3zE#y#Cb%S@!vAZQ#3y*pY6_@6 z8~tg}?#2b~6j?G^;kv4HW&)ehEk5G{^|#I6Aro~}63PFzcV;*_q5Ot>SdJA)6bIG< z+}9?crQuFa${0+;IHFYPN^^tydM^AFOG`C`)jojw@}P9ll4lkDlLJ-fVog3x&uaXO zDWS_{19)l!pw68SspskjBqE}OV&$m`d@%Z6PSozr~Gf|9RG zoiq-)lCBd)fzDB{gu#;*_l6)_*M;s8b(ao;zDDOO;uJ{^pgAsjex0ZCr39QhgRrfG zzm3<%FJohRVBL-5gNh}WZWB62xaWtHO}sX}#!rdzH(ewj1xq{^AeB6)-4)syuB0DC zDnp_b<9dh;3X?@7MrHfV;z>SmD;P};_q zUzNw?5b1ViQQBha_cG6uEB;r_FId}nIdbWXhDo*t5xXW=lCYwRLlQz=%RZ*&qgqBrtl;xsQb`!Dgh6%&cew7(x zt{14E6F!sjGBu&zcP<3)28l7rtV)=byQ17Jb(M8~f~C`U@i6}CALwUaxy8fzJueZ+-+8GC z3?Iir$7(2~5+c_#89aY&7tiPKVKcHzQ6vhSC?xK(dqtP(>dDRzE6aF7^sK*Mp{l5f z%py_xT4H~m9Hj&y9;|QKhvdh-2w(9bwm8B{hya0#uUBEbg!Y7tAk#tid>8o}RP5^n zD0z>8h)yqn{pq@a6_x*nXB~5VUOGxIo%vH|mA@0TEdHg-J*D@1Yb^I``HSv ztdkYSrj|_iU~~!Zb+2P`IDbdj>u{%QowHUR?@;U&cFZ_pz7yN0 z;lP8=L?K;r;R*MR?5H%(;n8rN&lxfEH9RjP1W!zvQI@?bQkRzQXywU zGCvP)I~U}pxVww<$PtxJlah6%vP2N2N*%E{KaIWeBG z$=%^H&Qw}lPNMmM8+%MaF19VQRth~UF?4}W zOC^wTSAz_9#gdQJsn)t^k`ZZ6gB({lH${?XraR{9Fg36LZv|=0Tvqb7l`h)-{|TM0 znykrDD5|8M6Rw4T)y;cf&S3RQ1J@#Vu|zg{NaI%}e^EIt-M~EbuGTbV3Ic^p_qzg` zIbYHCL4b8RQ^r!XfVj7g3D?pdMe%g9g&NnSbI3=?!h-a=^&UpmDbLQayaH7+$w+E) zt5~8hN&_9&IQk~?(ITR8wHT+25r}D!+N?!1Tj-!tj^enzVopnyUir-?7Ph&kWNSVj zkzZ#5bqZDpU!(rj23zuzPn4{5Rh0oMneWWPR%)(0Xw7I+1(53Nh3 zoU9o91v)^z9_U(u`=O|z@{|NNPo~S}XisMm>PieZ2?WKompn%}?|DR6qAR0ayN=OI z6S-A}+2}@4t|hSBSjVl_Rgq`vA)jT7n@u92PMG`qBqj%83{FNE6YL5QtLKMGCv%&G z3puqs(0rJ&m6zv}HGcA#pgKgICGed!kcrd~T%c2lQ-0||!b6@FL68{spygnvvq^)! zj2uCECRoLi>x3Uqr{SLuhAi>9XfZ(8AXI?HL~~r`1JtMPaq#ejvX z@zhLFqwZB9SEGA+#C_NMDL&2}#_O|n96Kxc&ggk_n0l#^m7*(hb}GUCR`CV2s7DI9 ztKIpjL-OTmnEYQ`-A8zh&qVM%oCWzADeBOWFeilWGy<37^8HLlWX zPt1)V&*SpqE>`o$xRQN@^_4StpH0E{u`EJY0=SXhvD_>5^H2{IUDpN5-;vW+ zqfC*f4cAlrG2Fw}>?y9y9uO$-_fyKrpe_0cq)8r(fqwMrmEGt!-Dq@ledx1XkbI_M z_E}eP{evmSw@HFOD53R0g9qJ4(v));+NQ00CWhz*uYoo}M!(3=A|338gN@WFJ~lhx zr_gbave>V$S;dK}!9cis~$^WRqOt(_%3n_1oT+~=WK`T zs$nyCY?VdI9m@v`Ryh-h4Glc2GwP$xIcpQ4b7<n`Q&egosPKG)o`ME%41GEN6s zI@5{eJX)WW6!o5<%|GbT&R^yh@j1xcrt}%J68)EQ`)VDG_quis^Qd=@@)EM*4YjQw z6zOLLOlkxlXKmUZeyOB)sOF1O} z{@1_%+WwKhjQ;{(#+SbN(Kr4xzrF{M2obA(;TzLMbPi{jRfqu3C$aKb;L0bPSi9WB za<*t0!sAYIWvKhjF(P;j=i@##)F+DGj!35ZbOBEHIG$J zXj-aX3fHQ#M(v%I7Z6w^5)3)U#%ncQJ2+NasTWNYk6^`mJSZF;p|Mk>LC#7Fr3A8- zXSxImDJMi%NU=cE zN>KHss|hucQ)4fkMU3oROhgp|ktEpx!H`1DGHfT~Wz?%-I}6P)S&5xmuNckx(S8Z2 zD0$89oErpLxiBKng>Wu+f(56*?Qx^bGk!2z#J$-zT8*FqM3sAr1!-=LW~}7hr>K(k z>ZLtfa841NwJ{oc2@n_X&S;BHY00jJR#zyC8b@%bvg0+&5zrJoT?+4AVG98djDlnbDPq&Z5#vT3(1ixn$h3ddOhq zi1xZ`Xr2-5P=u9xp?NA{KL30&5Z$gruu3^wO`KpWe1J5W;7jD`^HO^HaIY@yCQz5- zTQs=!Zo;lr_55-mK{_jYjp)p|=jCDoCp&Q)OsPC4jgnA_t(?B{t2EU%oTCmWsH;f= zJs&{`p(XvP0ZhrgK3CBUIeqoXQI8P;E8VZFlvw~tQJr|Ag$s*^$YdK<#iOLiJf%Wc z6bsH7zo+X@f(Zh6MKJ|(H?suq+`nRffdJ4y*8!fdWJj_X@^oxqrlJ>I20w=~#hvue z2<=x(_V*ousup&^QYh5LyFE5u7qC*rI z6OdYThL*#oDihh5vaAw?PfkuYq}Sjco=h#*L1KD7xsObScAKPNWxWFTC9?H%X?Qn7 zxaxaKK$Eq-(LO0MQg`A8jFq?H<$6`^KKdf!wabpAbTGYYbqJ3YHIDMA(NY}g?S~s{)NBo$CpE4lZps1=V%MFN- zyzm@EkdjM%1h>3!Wk}ZIjzz3KP7m<)nV+_133n*FAGFS4zp;$N#u6SjH?4E9x;SU~^uw1q^un@w4Y$StuSW+PY z>L^Jisru|QGBTsTar^$BzVG(?{nv?GC_zTHJccRls+)1|Y4%=guG#$OTyxFo+672X zbZ9_P7p)U7xOvEiD4AImx8J?bb9OwdqVK*q#3^P>;wjNUJ=*LP53IqzTsk#bj3d7} z95|kPa4!Y08C&~K*Is?NWF0`6z^9H>rxINopvP)0Y#883If4f)g7B%(mlHQa3d~^&T`~9wO{~`O__iB6PXYBFQm;SH5-Ump8 zLR zW*4Pd!L;_Rj=;8>cn3!TJARV1SDsu4m?(*nAJ%q~5D@XLiIB_FUIob)^L1;sk~XS= zo{wSnM+0j;m{|2JWeFDOTKJyxn%}T%_KMxGH~pKgaGD|w&-?E>@A9mXwaz|@1zoD~ z`zTZOrKojOjv6m6->_#=_w6IIx81z=@8MBk zIH9`?hYY_Awv?CZy;S?$A9|@gcN;$Jq8`*UC0t}IW8a-lx?NEcZ_d$LYk1I`ML~I% zz68iy<-1t_+AwdiHH_LbSu|+`!w3Cm5-c2E67=i%G0bTkPy4dl+EA^G@!7zRw(z{q zFxt>tTYcisN4DmaA{2I!3@;+O0MuiuvCy)`_>QY_pr2keVXcl5Y&-?jeatn~ z#TN_fh-(|(F4!h&OiJAvzc{`d2tj*X#rDRp)Q`=cGt^@8N z!;rcTSX;nA0mfQ9>N(r6?+)FyXOj0UH`{dp=xXqRlLhfd0`ECC8?a6?XX$9mR?<7p zX=>JD*oA_HgJYYAc4a`_GhW?RkZbb&Yff)K3JuN+Q z(k$4vqMz6Rck$27f(qf`oG4M0F{)*Q?9$!S3$A&lS`pkv9{@+4Tu6S}cY4-+y>7h? z{*5N>h0r@L8moLUJ?HSKV9le5%eA|WVcHW>%J)7T2y-a*Mlr9>wsFIV8hfBm&?-~T6+#=2nN`?=5C z=Rf~B``!iqeEA3X{fBI2XWzZIyspo3tuONzwsYsPJ^ll}_j_IM%OUyjP97m@*ryQ6 zLlmg?1ZP)Sv1L&85HI^zpICE$8iwzqAVXfnmKP5#_>p;wEX6F}Ij~Y=-w}F@F?-8< z(Lxx2ShoRFUUuHG&Y#D|9UJeoT_;~_@22r73odkJ!qHTz(^|r^hw_monhgS&- zl&38UtL(Z?9&97fs4cvu9_CN`r~{Wra;JR*P=U8u+p}N`FZ^!Bj=wav*N<;md30#0 z(#Vnk#z-0ke;Ba8xA{HxeCM|78tLVjTn~@rfbSs)eS}3`zgo{{ylHDxb33?AWo4GxYrfK+CQ0bMYLvectn666{xH4 zhwWki8O!yLT=L(zF|l?vYzxCZr^wON*jW^#1PLLO=dPons&g`Eqbvo_F`&tC+vbud z&Li%?{NLYr*8ctAk;O{Wz2WQ_1v?m8X?y}GYopW!!k8FykpfU#@VD){WqWOxoLk*b z*u&#XwiJXxXOiYsyQUry;)x~O#b_MaIsh-PkTOdnx~U!dkDdMz`&jsaO9m??nI~SV z+7uk9!4;m3;9~)Ea1%~m^mZ%)O)(1$dW7(+{sPZpSjn+ECaV|Ck&6z6FY|gS=^|<6 z`TF#<*&HAs!1`y~=B*>x@O1xP$9}2)9gZI^bARN&CczahCx|@Lw@->gcWJdSUR6Wao|J7m%+I^l7?ikd8h}`Rd5J`X6ck zf7aiH1KXxH?g0;v2!K%?6zxIa3VhkOB>;|Uc$@f15`OrCU#rb1ZWg{%cqVJi*yEw8TDVR(7acA!Bg3e^1YpB;YM z7HgZ&M2=*wIY(XtVPgAaox*n-t@V=#jE!Zc3 zwkiQ8*K9eyX9+a4j)^yq0SQg?07y{|T4x8k;(7Oxb)9U=AX~Hku>&(YX*C1&RLNG= zOtp=37w7E2Td?6UVYf%m06No_tX3QdJUS^@WhZVkH_&Zq0LjBMtG=xm3!n%mC6<97 z@yh3ehmQAKjf8#K`!=^lqv+Y~-m^B--FHX$f2aF#i?{nO2jq!&%}$Y8#O7820RQw! zL_t(HHF``{PwXcFwEyuBDTm~*+GqKFg!8zJ49~M}JKjY*?U(R74jlMO2bwM_qq-=W zQr8k`X>>yamRlb`wc zq2&k1ICd4V;jR^DT2{(d5aAPOJh8WjFTjNbWKpH=;tntiTof`*3(QAcak2Vc&&~0J z_I1n54PE4~xua-S&{SnwUhUa~h<#=7F}oIg&#q?R4aOo}K8M@~;f+P+tBT9py9?Ie z1H_#HI6BW;c35*;HG^)D@Ax)XJaP411m>Ps%Zbv&qR*x*d?9J!Ci?Lx0o_(z2k%L9 z1fa`0XF-uqffgM!P^7if@PHIM7LM?&K>~Qajzf+P=>dKKk~$Lq(>&vUJ9ngNZ{L5- zUi-oq>2O_Jelo`~E}w&V|?P=YRA| zwz>HSeDCR#fA{r1Kr+8`5e14@E?l3e+RPlrR7Juf0hc$THpgL|5?M*O?D$gp%p#wj zGfyRJwKsp#vi1|-{?X(+?OCw#a%R_Zfto|R3MXdpNOGyBQ@Zc%U$<&KW94ksbw5<; zaFv^uV7PMS85Av))?QnBZeU5gq%d+MZ(K(_@hR<}II!7Dg}?QreGx1R!|sF{b6*}In-{s?vcCQRr@Ur zs0x>5@kHcXRe){l0tXmeF}1vIHE;WM|AsAj4a*14+&JGc49g&HkwzV5gIPMj3&B9h zI8OQjYjjekF31yXDWsI6iC42U6 z=Y~yc_lxY>`8d>=pY2&_E&^@GcC?jo zCqa}iBc*z``>d5i$5W59u0nKCy8v$#ysG0VH4Bu%b|)eVD1;WC6M#e~B~)Zer+E^o zo&#ZrrzJZE#3lWPy;Q>EnTHo=!w&5A>ln};MsnD-nqOv}=K0@&#qc7AJmZb-OI89f zE9|PiD6dRjL={g%gz_%LXF4jaQ&1X%K?fzSV(~zw7^opqp9V3A&zsQfpkvWWhvzJ~ z$cjLZJSWk%+f&|42qV{@9+&2Qm3mLH!q+iON|Bb55D#M0ZKt*jNPEso-6Lm=Qn+ms zkjUw9-V!|kjoYS@ai4DasCbyX@2T9E3;QMi11Q26JlcWFk6k?wT`Eeanjy6Y z5;87e66Y}!F}no+DsfS1)Kbtg#*dIGd_PB%UmbtcE{(Tr@p{98R{-iebsIeCyJNnt zcMyg`e4>0A0Zw_j#nusyq0D6jgEDYnkx{$kyw|z+0B&1>lU>~hNI4h4Pyuk1>gfw! zVY~#yWw=X=ZAYWQ!Pwe+=mr4WEc8^&Ny)>32yk);FhqWJtX9qnWCna=Ky-piARk}q z!Rb}|asLX>UI7ANoIJp^KStiJvo;tc__xQ(9GLnkFWMbUe3tu%FeV28w|{^}yuplC z!_aG%wJ8nlQe@kW`x;>WT4=*&*P0euPq`Bl{x=TnuipP|yV2Qm;rUdi<9Jy0R8$nQ z(~8)u_F({#k~DiZn>uzULPn^`U)%kp6(?wy^t2oGNwZ|9lX*KF&D#E%E(Yc?_{yud`s#X4f{u8w4|Lti~>)-k(f)1PZrPrdi{+T~*f96ko#y(`P z*)RN;KVrY={P92Nd;jY7K0uP&S!Bu~j0L6=UjK&7gLIBreswaH7)n7ay++*4tEb<7QpN0y269E{Zvg`*q? z<&LP;wxTIgbS{w)M$%%dnx9T0C`TcR#HJCyi%PfxjjB|+nhvSTYXPMt59P^Z&Jx3+ zscY>!s9+_5g;AIR6j`qmHNP=(&Eih|d30OEl^_nLwC^{t{{6OX9WL74(K_rD1co%+ zQ8$HQ=NvpZa_Vu@TfqxL^U2rnq%==lM`9@y3GgA`>`gHH7!4&lr7|dWaFPmm`2bl! zroV0G$p^)3T`z+XQp#JVAPuv{Cq!ahy0yJd8tJIQ2XVRyz_{P>8iz2YG8=* zY7|`Irp7pLOBaBx&^hYkqD#ZIb65jH2&=%mxx)ifDS4~j)E;5b^LkiF+q^bgMs>rC)=(Pz_ zH3_)V-LaBqf$_weTb}(!^TQVGqX0tyT)>nPaTP(h?_cM+o@M>2bT5G zqD-N!3V}UhRr87h;*B>>VzzfSXRlPQ+04nV+m5*XXx$R~7=&imdRy?vApm&<90kaz zqNoaVDREm#-h&>D%gogHO}^n6Z#={ERfsAKczqX7b}o#5<|*J4o4Y!&7M#wUo6=p=&Eq(HTV?CjfJGr^y3cA_LCOx*6pCZX!SwXeZN}` z+dr#+$YyJ2Htt|pR2xV9!&7IO(`Emm6%%;G>VE>Ag<2G~I>W2IjhYuQ(YYkK9IgnU z$K0W6f!E~WrZGo);ZkiTF=1@m& zTd#q9DR!qs(Y#!wH18Lt+jmibP=e3j9zAC>qa#bt4lI-H;zTqp6Kyc2o>hlBUs!hf zt?UEz;fLBFZ*PunLY-aLA4j~u6g#wHylT-<4<2gpyK9{G$Vk6Kdn zbR8fE{}kJ<=U1QiRH#iSaH2Zej!vxc+^jFqBd{yUMN-jOBlvr5@&qTOWnAaXQL7*yg9glabR9GNGjN_^gM~_wC;P`ZOA#=x-mEI}6yb6$FT<>|t}=GJMe` z;OdxqH;V3BvE=}O4*pfCiPO!5y-~SoiJBZ}-(nbX@wK+?nWh6vn}c;05h+3LU4Jw9 zOXUQ_@2Up;?%)Q1^2AkqF64my0vmu*6~WuV4GVj+SyM~g!%>NiyVmHZar6Q2WjRN< zG02Nf5lv3v8GvK@xG-?IPfWc z)COgwDz?a~AF#idnF5mEzbKlns=fWo|L9*7MblM%+rFgN{t-XF{3UzeA(=nud;iiU zXXu+(7PVGGNq2mRq(wlH501xHeHgUlv6 zm6ZBSVaFwwx#OUCpE@e6gfu1D$dkI?zl0#9@ka30>bR8%YXna>F|VXc&mQkCS!Fk7 z9f+(D)!A9bA=JIbyj43{hxpc0JNECyj_g+WkxSy#b`5Om_72r$wuDlf^^e@^^16aN zq@<_;i@J|OyWhEJPnwAEV95p;>l47k*>1u{cQMfS>Q>v0+Ryftj+b@-N4*zRLqY09 z;W%w0B~gL})Wh`II)*o`awC~nZbR$BbB(0pqxZEreFodunF?6dIi@`e+VP`eR-)t z#(4r2ieV>@ohTw)%9U zp;WB9{dk=$nI0*RvGQFPiPF(bfpM+5#wg_^qn-&tqYwj!H55^DJU0qjbCj{W{t9b@ zEOMV3V3e=BD5RoTHpVZ*`04&KBr*!?n93A%k&GV##?@yf!#I@%)#dJIaLdIoGMoV< zby3*Jwmz$^y@5_)Hl}LBRm6RI=_q#yKGPP=16y^cQ*;Sr778u9#xuVHhl?pZctl4$ zMJsur@@55U=R*fLE;a6>ty~!ao`J#DeD&Hq-`Bq(0b3n+)D|e2AyF zjii0!>=^)nXN6?VKAzgL8>z=GY_EDSvCt4Mub?Yp0LBt`S6smz`sFVGAabg_55;;e z^ZX|7OOMHL`4PNXZG(mwu2$^4PdD?)An;c zWYcX=ow@bcM^gzOC{nA*t`-h0H`8@Z?GzcvT!nVejBR$QX=Q+vK6}7=)md$AI7nyp z`{;`Spn=6!PD(5`u=T>8EpackF~~&Jig9{;c;|G%9=}_*_M2VnqsOJIRF7jDopCxS zx`K8(y&;3F{23jal~WK!FRTB~T=LNAG0owQU3R%N;?ZS)$Ck4P7(&)W^2LQ{xMWK4 zN*4e+mgYszYFkw`s#J+rk>Dq`%>7DEb|llvnYe>)QAvPMcVexRh;<$eT`tDs?Rk5r zdxdc)tsHuqYZ7El7_XvY0?FD&J~__YTlH)9q`|xzMQZ>`bSOf-bW|)*HLSFiD*lzd zQNCfVqC%8mJ8qQi-PRSSt6a^j+S6qE(CwN@W(hy&PZM6FWIxSmnNQPd^I zmvNRxA7*WBAhaho`}dC$JHcxWg4e1Rno3lp!xuuAe&R6xB%cE&4qh#^*=)By}C;1gDjnWeE4guT5IKV>`IE7CAY+KgD0u z5mcBAFGw{DWJ0AploD5AL~THcjyNk6zuTX4idz+BgFHte*i;nokm_40U9q*~j?E~c z3-hQh%Bqd=?a6oTQu2xGe5_L`m>wrM+DpI0S_vcx7inKv?E@}^pST!_4jJlm>gOX* z+nwtl1+0fhwRJjYr`?i^(44h_aXK}jIu4DA>r|<>_Bv70i6ku??pr$AbVnT*W=<_2 z16@%>4x@S)w|X_N(?vO4-vPZ$|Fonld5 z(zVj#Y0nJj$>R~WQV6;BsPW99Gn@!T>o$iXsdzb;5LW{f#98x;{;r*lXWbF+m6(ng zdbUwpwxjN>9ZzPgHo?;k;Vmbh)~Mjw;(e8W*3si(Ac{wcXUe!vy|Sr-W_o5|&t2Sb zVP1iAfjgbd5>3_nMA1Q)&g1X9qui*;{m@6`&WXRR@BJ)#)1k-Pz1p z|A~x0;2<`!UvFgWn02X)@>U6!oI=-jff^XDcvXOK%1NAnRku?N!Kf+GS-C~Ckpn=ZIy#SBmt7^>%NT3MLBOK;-~yvlWJCoC<)J_F z7NA}Vxd@<9l7RFWV9dAvBlN?*`V4u{E@dH|__)MnUguEO9TLi5x@j1H>mk`_jt}F;mcFLwfe|yQLEgz%lC)`Tz!&5K)L$rsOFG< z)IUkiMk>;>EB<5K1FT@*EUfxJfY8n`=|c6bNzV5Bi?#*t-rvUwdYp1cY}NBhTNUCb z(Li0UmF(&gN|6;_c5C2=06O`x10T@KY?4(t&-Rg{;Hf4Rv%)jMyyfnOcxDFA+Uwba`#JLg!r8TneJFd!(Z7xDQi5)HF=mmu zu!YJYOJwAoOs}8#iJx#k--_4!E4A)a>;em=+=wBJuZn-_?V%(^b?HpH3r$@oKa;j)`;L$#dSe%_NSU5|?6A z|C+RXK;Eu%uT^2s( zwj#ukF^o+HC8mscDO^krif7tLO7%q)N|T{e5iplg}lrzg|W@CuoO zgxxXGdL?OZdN0{wKn3Kg&bSqMf|39WtchyLsLo9V0H@PvbGGYvX@lp;Ggkx1B*sG( zRR!#`9p*U#fcmPbvEmZ7RWK@97@_b3_Qk`G*g`{9Nqwt9zdzT%WFH#N*vYtTyS;f^ z!6DFl0#^@t50?ZTa^#+!XxCi>Lje{=`#v>bd^uDyJSmW(x;R^Su?ojG+c*T{BBNb_ zx8S1qG}L@@k~Q@z(WMv+Fx}3+QiUoo+)=h+_0*C~ z%Ng44U9f{Oh7mqiE`;=*D!3K`4Oja_rTI_&1^X@NRpyCp^o{_M60EhY)z}C)J(Zjp z+fwe>!n1(6t6A4>V)RbkhC47i`cGRvE8PR<429rF>C;)H`3Z8b^;%*@u9neN0MmXW zWdHE+Q+Bie*fnAEFiL)7Xsvw!T2;AhtluF<*1K+N-i|H9qe_lV0AO>8n#)xXe}BdM zpk0|fc3Ttm!>DaFFIafcnd(n?TWd4)!q)P$R_q_TIy3Wu1Iv#q&WTIHYoR;_5TLJQ z|6Z550q9h?uL)RCt)s`~aE`czv{a=XMMCGPk_V#ji+y4xE0a`1yVW@ms6!O$!q zuVcT%%B%otyk^$|tUv5+9rVnGe-&wnLs|6z$5{jdDQ`<4;Pdd+ORPo8YdGMkZ8X`8 zPED1nZ`rQ`-CyGKOFa7u>yj)nE4AN944mVsq~bcxpW18mrMKZnHMLao`mcJI8G9C4 zt5_ins6ki0+q_{1IEwwT9Y{)yVBfa-LN;x5u0hDH*xZ+0sFcAPuffAdlp(Z{6BVfEfHr@qj~%K=w-VY*s#Prp5}8&*O_;`jp9N$ zye?j{YDZ+z^r}?1(X=+gvvUjz?Po09Znvsu>if4}!llc-Dm>Wf~r%S^%;z z6NY1Enjrhj@7%cy3xd z=qNYMMJ7rnTlxJOnHr^CM~oDWl94zZE~5}%;Ew7JIpnh_Wxg+itTPZ$oO=iq;4$+F zZRfC*yaEE^l_&x(Z$*W})aXeeShd=o3!yada#fADbr!YL7OJtQZ3~Tsr+H~+EGl7; zLE-Y+m5lqP)<-Ql)F{sEL(EbhM}T>24_jLas`DT(7hf1!j~MBhJ7IJT%=-G|MOz;} zcBdjTvppBNh-SdBk+Dlct?$L`s8+JQx{lbPWF{CYyn%bH(|>{DdLCicX$VE>q+|+D zc}mIEl5ph|Roht?K6d<)Ja*d^UqASOB^y=Os=MV1Sp`k4)|FwA@$0S@h@f!?g&`oB z1mvosWdXpeu&N>yDyFtjW{&d%r}U)+7Vxxl34j8|AlAdU2U&G!t>SpKgSh>}&cEdh zk=6l7=K$v&lwBH=u#6FP`2y1UM`PQlUbNSdg9zhLeTtcK)qzC;`s%5g_Cu@0$R&H% zjsc|1^9iP)DsFQ5Oxl8k4t!2GmDjHh)Zz!tP1^#w2nruikQr5-p_c*I5m<$f6;@V4 zZoiFJ3NP28dkW`aHg@FR6WCi3z{fk*)oCbV_=1IamX5Zs_?s3&398(;qAKa0AhSwX ztD5IBJb)+P?bx7>7usI54j!yJ(Ta|Wh;*wVRP&OHmfi+tDiK-7=9j%kE=f@d*^h?T zka1;g%{dSu`N?C*WBS(N(7DXCGO;S&D*qx98e6O*qcw4jE)TsNa~`sS=)yFPm_TkCEMGvjSo2{; zxlXKEt!GcZk+#!n!6khw!m0?1pn!-YheC}m6k*U7iVUxeo{CTk_+1%nxqK+~Y|%Dk zdoN(WdirTwZf`m{IUdfTk4kXr1OvSb2*x?ew%j^t8%;TOS5r?co|($`VNdbQ@{|Xd zv5p*zr(1xzBlz;7&wL6hw?1)+u>zG9_;=cf54kuFTFoz7pbc1P%-BC~e#}<8+ph54 z#nF}(CO8w^JMYz4!EJZqMSAAHsdT4Ft)Km@{YB`l95wN23_2)*o*~$q{&fHehBa58 z<|cN~_udlY3tDDUv3O3&!y{LM;AY{8WdWzbI^##jEwIwJSVcLHZ^MhxUeD5zCJv9} z4c>Ror*f6#0;@{)6pppur2OX#PxeF=WOfixBZXK0?L(|u}u7@M?QlTwXOsRKFT?!s@9h2QLdf|YByoG&?W>h<;V z0@zP10>(Kcjh7@YBr|U z*rNfUBH6THDeO)}H144&YZ!05;m=n;X_tFX+|jSiN3$*`qLik4d#e&&Ia{}Qa%idp5rg77V*1m=58Ly2@iV!aDM@9*8Cg;CsVfLBhK7Iutywt z3J`n)A^kXOlgF!(cPA(mWek6x=U-GW4is6fhrcnNwIAoR|DL~Z#Tg7<&Ue)_!-KvZ z_80B(22u!K~mFt2?inKfdCWOb!YkyM#R@*vgAhnDs3{(dEeIbnJ}xcrWLo zL9Sync1Aft+Fp@j+3l=ae-w2JBMTT)0Xv<5QIew8JuSsNs=M&-s6MeS3SaxtT4zP; z9FU9F+$jNtwAH#ufKbXr#^v3~b(9ufptor6L4_c9Ra&n2;#E{B(8YPb$IL~~QzWq% zKSen~Is68JE8R~?%MUQr$$r}<8211fUzvOe;1ow;tD^1+V2{@Us&Dt7a|z(7P|I}8 zS4uNA957y;Gi$ly)%PctkWpj@IrS$xM}Px^@yo;ClF>)wb?c4O(6|U?(oR{2+ieG6 zB=cl9KgVS*3+u0K6>34&8|loH#R9yE~d z*~hC^&QC6iUP2Z&YL~1rQZjnZes*{hK-Do*ZFo}V!x6+tk$!d!K^^twGzd+A1 zZq>Rt94>M1Wm`xbG7jI>>G+2KBD2PCM|Pkx`XTG|pQ5!Fq4sQanpCaI%c=ycEoSBX z#gb@fK$0Q?6=t}z& z{xbZSi7M*chEJ!mn+3=yGq0%PjggUyoDPs19m7>L>Vz?lh7pVRF}$k*3qM=5&`Q$P zw~CEe^B#ACCNbo2CWQl$#szezcBTMY`Fe_IC~Ca}U-h`Jws&+INL!~mkyoGO?H&IH zG@FGZkyAi}W||g<@eJ7yML3&{gq@D(T!dF3O%kboN{TgIMAOZuPAy#;S~k;mYo#q{ zwO#q2>?8KPbbOxsh2g$_&jF)Y5Zy5uu@3X7E_B{##ZG%A*FP)NYFqos#O~GAMLuGS zu^r1T02G(t`3T>x`qu3v+-`$TnLQp|bz7O~YuM%_EAR+Fyt`~)8$NHjVT)(=?7{B3 zMGnu{nvTF6pgL`9xI6_G4sBy9Ahedy z(YLNeLvR?+?)T*vz})hby^v!arJ>2=FK6m_IcGLg#9&6IVXUL>oF&IVA&h{I8plwO z3RxavxRs@>Dwi$+6azJjx0R38Ef+MG@p2SAP&S2=i4h1rXumk$EUVgg~%HbI1lTU_r*?N9?|T z1+}Tu8QL`I!Te#DoT}1QiliLDs4`K?7!U~4Hh=(?<|c3^fsrRB=rA4I$Ts1zwiX*5vXPTkWbBH5!7bj=f`TfABP?_`ye1!IU2z%0CUiD z#flzdFq7P)6v6XSYjszKyl(w&#NGpZ%Ij3-f_PLBAZ-WKnV*!J1X-sQ6uMR9PW#Q; zKGE;5w4Ot`z@FoP^Ry12uN0+{oV6V%#i;PDjC;c?+8aC}HjdbE5V3YEZnry^Y{EJS z&_;QV5^KwWV^^O<-kD1X?@#;8=vcW%@+Xmv~-aTK#s0lc+n*CFQG9byFgy zYZ~XP6ub&bNhWv}#-j=l&f_i1h>5?|Oz<}M6lh^4u78n?sLSQ3VDvQ~W^vzNcPG;{ z4{hieS;NCHd(DP^32c%b8qp%UBQ8LcC$AsmQ-b^R3JSet{&?eZ1FLC*jV z@;Z@WwsEp*9o8_4v!RHl5@mHPTPH;7jg+ICoEdq=if1X;U>_iOCS#vex}pnrVewq_xM zD*&m;u+~8OPI(^pf!qSy%1F3`>yl05a-(at)uyd4h5U8=R0-HBfv$?Z&2i4HOL|gc zRT2Quyp@6{+`(KAs4Z{VMQJt2t;v#A`TWmL9@}5};UBX9`m=w{{$D&-hX^Di+O8gY z5%eEmGSzZhd8(cB=1`^7Bg%AgU|OK7hZ9&IrfoTUY=JBAOEzM`YRlSNzTFvIgN{jP z3%reWT%=X<*yzId$l(7E(7phbq?an(PkKrbVC4Zxhq@Dp@VB;6PT-D<*rl1kv<->N z1DT+U2O+!Nzix@Ka$KfIghe}n|HlABMKo8Tu#QG6&qT-ILM)-f^d9)L>A~og!$vIARx}Sp-|BQ&{SdeO_Hz^Fk3#qkbLkU1P1_l0*v5 zPI{Kh0?@KNp@}Yh?AyKeRmZO@iG53DaXzB~*BV51f>c=XZ^x#9<0KzEmaMos&4UBO-!Z5{ANNUihEd?DBTYj2*p-P+GVFFedVq92w zjOH<_NQ<|0?B zgE5Z>n+Tycf{cr`Nz5TvOsshvaV_RI$BWQ1VoTl*Ylt5UAj|~uipTQBCT@-E(0_(| zDta)jLaC%oyccMeN7*Q6LJICZMzO}Yud>d{olz|U0W3urjvMN1GUw_ss4TbgJhFJR zy4vjo;CS7wkxZ1bb4o$hCjmUcb4!mJt_RC<O12M-{-nJGxby93f^UVQ z4nkJ}0C_MvN+~U!gBQ>zrW}a-jH3gMheJ#}9^lSof!PIkzP49nB1i5dg^DyOdaFvv z@AWP+hH3ZUrTCuP-ppfk1SAt90kbFy{=gQaS|flr?xrG=Z}XipJX4$;<86lQ@$iyu zj&yW3=HwChhxDMBCrP({it6^DtAM_?G?Wt{RHUexi_svfs#=>JpIN$$G7RG(x5ChG zm2d0x8cLG2Q4+5JW){P*+9paZ*Ct~jSaFVlWF9}4HH_H_bc>Ay+gNRA=J7056VjH9 z+9zmk^W!}ijSwi)c8+R3>Wq;frf*7M=E8q&RN%2QRLu&;R00n`xX;oao`6Z0M z@@KRrijU|tnNG{enK~NH+86u6@dR9y}>{$SE27RWUBia%b$X4uaHMnJkIN%6$z%tqh zAf)!0YJRu|upYp_YEL1LUEp+pfmC9s8VVjTrX=Hzu-4jslwJ^xC2!Ij!*0+HC-e3$ zp9WqzSrXvfg%aYo67ybGo*?>M3E7HLslS(uzD|Dm^^u+JCmrB$nSMBq8OEf%IXN*i zQ3OjMcZ5^nCD1{~tSEX@`ju~d-hW{K$q)Z$4v_rcyo)$BSvVWW#Amg2QV6JkAgGCZ zG`lI=@|WGbfEG>$l+&en)S2N`wTgdXAfCxHDp&a67G%Q=|sQNClO8okv}v!B;R|A;9X>*Hw!Krpd_2q zCSKC0YYDsq2}g53WN(k3g%K-uI9RYicVJ17zCwJRMg$KMRZ`Tp49wiZ13{Urcw4S* zy`m@Oz^O9=y>1w0T(?~R6oHG_US0h<@Xy1T((%|THB3-9YspCiTOj62Bh)Yj%vuc8 zY$kkU`BKN7Y?w@fAV9#v8J_BJ8H2jaj8t$--cAC@q1=Hq!q~)^3GIh59a-*Hw|R`B z5_6?&v=6)6RoNMBO9=EdU35S-1GI-Luk`?L>S$E5{HWsYeS)IYo~%@;vc|IlBRSA= z#F#_cp5URYP*H%9E8$Rx{Rou(s$FA@al8T8oAE1xqJ%=7Zjth>qMRh;I^^X%I#kOF zN_Cl^RG{nj`c>OvfJc=NqMA@}I>M{`fj(ZaJVPlf#TCBJQ{_`DNl#l=GQg@~p%;z*Vg-ze&xoPIF(5_0dO>hJ20|htZ4tT{8aBUSiqwCk9qaqh0chX4;MO9C= zjdd1ExtcU#_(8?>0!mtLhMYH~9GGQRCN+ck%ix0qhZbu$op-tkeeUoHh1#Xg6p51e zq2t-g>{nkQ)r(O0{g#JU$g`BdtVVz`ii3uVfko}nA0a699@ZPSJ0+%N#MV4_I5xds!Y6 zbC=@Ke!YHI_f8oJ?N6jp<~z*B6WtiEs;Mfvp(byld87ijp3p`1h1R$-T8Tp;I?c>;eW!xlqUm)RYNf z_4zx-Xv4gxGarKA%BR7pfDDL*P_f7E;8+x}sQ0B*x6bX9>S!v!Mek z=>$Mv0ti!dByHF2uB9U_XB5I?B~9aTLNEEA$_C0nD{TpBue&l)S$v26aANi>7d&$j zh&rD4BMkaHPu2#4D!%3?XKrkRR>*3Kwg^zBtF{zn43kp}vNjuh8eiE;7#4Y?YF>F4 zk5n$n46>+mB)h=bUxW|TA6arXrGd(i%fJSCKgyU@(RkNw*SZLCYXUHsv!G6Sb$zR# zBU2&P101Jny2@{vov&IZ&mEves}{3&9G`e@J99Ro29D;cK-U2_iv0OZw5Fs*#(4yf ztJY|_cZ@+}mOk`V;xV%MDtFL{u#%?}ZxuHOEr@a=)TwtoR$k5=hFf4vO#z}fFMu`H zR0mL{36+DQt;SI(>Ojbl??`_J8eMDEBd#Eu9Jr;>rsdN*xrclLxb#d{eOK$>55T`; zTR^r{-CN*EI_`h=w;dqSmd(E#c+MUwsTKMuCAHvd%>Zrvr1b{Vat38yS43bQCv`D+ z?8*_817}v4Q~-L=e#|=Qxb;cTD)pQljte|XEhT_Kqkkyt9;h?6Wr>Z}v zc|z4~`^g#H_vSG?VMq7v-n`{IC)VnwZNmrrdy6&)4`!9)6G0!0npPhrET+?|AdLWP zn$I*{W$T5&q4h#I-i%w${n;deBR6tKnLER{#ez5h@o8jEtt*;1`86DM<#CMw85O{z z4o*m574^9ocw*VPo_R_5X#^0$k@Oj_PB8u?I_MA8md*b+`Ot#jwqRZv2)3_1t+6}4 zmxu}1$;B~CjX3?W4Ej&-v)UTeU zorfupCWj{_BY2F*{EzL!+)oTPXZfCLd&o!X;gt?qq*;3c+FnK=t|+#kCSh|30Ap!v zhV1MBGgyz=o1>TTuJFDP5$!iC2rS0=H4NN)DBX30U7oc)lpLlGVZ#gg=!+T!?(2uDKA*ZC>7e@2wb*9uZ&O$XarEb7j0oQ4aKSt$?L-p zV6YY#h0gbc5fA7jZ-p@>5N3tgUq@8b>M4TpQvC;o8J}=R;2eLa?PsN|_1D}nZa`xTkX1Q)FW z@_)=-bqG(P$RUJK>e(Elnnh9QszCo&>;*gHv)VM0u~p1NtYn~N@Ul<5$KK)?+lX2%JhhR&Odbq@;8v?C9h|*nG@&nE%&zJ${aE? zXScX}GSPR@qQMxL&HCp7;j7AXVeE?1)p}Xi!$wgS9sSkTgF79eW{^#7ZRkL%fEV(| z=Z{$FUx5yvbcW@~%?&AJ{pRe;tc0??9?B5&dKvx{I7s4E3UC&1z~Vt6 zqZAeuv6Hu*PUEyKj9v0}G>d*Kgw0E#Fq=a=t`_VIXq0D>6CK5tkyXS|HJ0x3>RJ@T zkC#5*-^YU&ILt6AyldpsA57dFtDZKhVyvOIFb^yVZ}f5YUb7FeUPC(y%p)7iE?OFc zrt7SrA`Q}UGpwm{K#sYuqFLGkb*G}*&)87ls=i>`l_iUxo!T)xt`h#M{(WQy&BYwW zTdLR`oyedMT=@oVSLx`gx>_rrMh>I&_5b7_yDb|5l7By-Hls?S%|g+bMFV4gU$n2; z^G@mndQ^9&5CdSR09}cJt1+c|RXSz&&giDiHMfxoMRU+?k&Z1lwprY70$NpNtw+m!-&~Y!y#lTW(lPBJvZ?_wU?*X_|eR?z>&O^`}J#<>ETHg zurs}heXa5#7yi~BnaX>==6}!`FP)@#2meXCqAF5z5#yw@E?Rt@fN@mJcLzAlqyCf#jlEx>LGzFA>i@?WB3(1vD0v&X+<=|s)AH| zuv)CwgBgM@tferR_Wfl*6^)Tc7-w$Uwon3=N=z%)KshM8AmIl0RzWl6bgQC-(#ur; zLm@XE#Z$uO8FZ3zQ1n9Hp<*dgBJxR9>`A9PRHNiozzev|0S8481afq)L1zo(*U3Xt zjgpv-Y_e4CzD$SC@5m_PNyxUNER>YBi}F8&E;=D!Mit4cR1`p`=jA;pQW8MhNNC^V zojA{uUsT4xN`1^D;M)G#z@%da`K-E)s;E!_Wrx7UwrvJrq}-AK0<7F1Z3id~P~+B` zm7p*Fyrr4~HUU?%{n1#FA-qWMjCb+ix(TbdGFIso>`Rju8C}GRUW=zHuR|FOQG~wb z@@XDos1!|@VI+SWs(y@7&*m^@7sr;u)YG< zI+A#sdngG|5siCxnV%K=8Gd)2W8g!4cgB9ksJ2*_4F@vH+%wW|V}!5UPx9^+6rS5( z#xN;VuFsV+6}L^IO#6P7bw3K)-S$nF1Rm`J4u=u$eZk7yFFq@Nh0g|nuih2bH|Kzt z;&|c~)dN!1(NYHZn*bCJ5A5=!31t;gY3UPo&qqF3Zy7gTUq^B-15SIRsBLvGTDS)Q z14Lr39WYX9w>kJeF=<<6ShBAUKWH;!_!I-9rii~j{v`aP?4+>s1dEJCU@i@9l$2gd zoVa7g0)LrM!!As=xK|gzjkiBplnHnIDkC5{l0%{5R{sS+spwth{hYlGxVh>-c6vy% zp@h@j@hS#ebw6+hSSuO%WxlUSk)n~>LJ)|Rx2aU%4CB$pWd^RxGItpqNn(=~)kf(O z-oHH6H%BizS=arPC!&hUs>7j(m2^n$anRa3$S%)R_&?AdSP2=CH2(zmyTZ2$lVjJa zVm^KBn%e1dg}9K}f6PiOFiJ(3RO(*|)_)by`V0=iFEOfHtZEuSt*D}axEumS8MGCl zDz4Lrs$inhC-_kwp9E;Q4Segm&c_j0g6PCYk{v_e+~Iw z;`N}VhgIjG=oH+7dUD}pKAv2+pX2WYcliZ?%oLFPHTw?&bG!zyVs1H}p=aGeCRF-P z6!mXFRO0Vac|B}`l?P0B+GSeF?nc{=Gea0`G~DVcc;hU(OG=}#4NL3X3VSJ zHutVUC~UI-@(xmjWr^mk-drB zDtk~TdfMoSQ=})u*j1NE&eH!XJ;AIls8m_{$N^EmGeP!mAulalp!aMc2rvhHsZNRt zJSy3Cf%VoFcskIqh0LL43(7k(XH!$%y2WBZ3rz2|@t#FS6YhS+m6FJID=r7+Y@oa} zxx_JMS!cXBhVbaje9iUSQS{g8Ey-mIXQJlWeE85+Y*qfqJMHJ}7t?3o%pdty1t#9g z%8HA@@vr^%AB93_{O14g*t$CtYxa}Yk4ovES@SGvnRLe~X)%w#iW`uPS+2Qf|LowS z_V2BK)#ZU~-b48Yl6Lb`M>bi_+uj2d>^F8T0E|vwRnxY-4Yz>^>5;X=aToTiHgdL_ z+p^G7+$LuOduRWWeQ@q=XJFMvIZy~$t;&7+16x?Fx{$Ua7v5sR&VGCBR72@`-x=)I z#>9Gc7I_};un$wUnNT5MQJ68@>f2F8NJ+cosMGwwd_2x#7(|UCk$_8)$t_dA8FZJc z8=<0K+6IV}m8}jUM|t*-Mz*y#V?ljR$cIb$8AsKUedw7x=Djp$ojru?bpxcW**qR= zDu#CfNQup2b`=?@jjUct*vwMhCh55CLSyg5vxJU;MOlYx*t&R0iM)?MbwTdF6{3Kl zx>+3$HCOIfwVShS0<{@x+t&Vq%_1a6XLwV1hp{!Y;w)a~Zp%*hQ|1}1XCwhhI=)!#+1Am5Ek;merJ>8EN#e2eJ0SGaixxsLzI^$KMVA6LcvnX{ z&62F^StaAT(kjzmCp%Ox!xGAom{xm5EN$b-vL$kTmk5>$1F#SjcPa{y8^YZ@QL-fp zXs5Gg3*MFm%Pd8RwQCLRtWmHq!k=NyLV(5B+e2G{*3VtOZ{csBH}7%9>Td#HKEnFO zSl#y;cJE=yx}$=<65+SIp#R;{M(%5}gL z^xC_hwb^n7Q0G|~)Y^{aT=TBM5deIpZ{2l(^8S`pPvECdGUn5<9lRBDNp|Xzom|G? z)u&Y)Q^}5P9W1);+TP8g>ld^C24jk{{@Ea)B5H{N@L`0vTK4TMoy1`PknBzDs4nn7 zu*D_D5=mQQKj^k63#)jYjiw!KqQ0{kTb(&U=7#q8y%`jF(pF}w%o%>D_w3F6tM%F2p49JJ0pc5cwlWfG^K$x!h zSxZN8mck)h8tvJeDBh1g`!4hMZFeVcwS=}AS?QAzI%6tV+Ur*Z-_h9wISE*3E^N(S z+|G_5EMl3);~WfQuG{KhF>ihJS|sM#>W`!x@RQfl)^B?DDtzz^{JXdY;O`IZ%lj|cCojKcqsG|k+hOzO<92_P zw#-Su9Z3%Y+yq!2W$Jb-k+OXR>WWI{AC~O$%9c%*b2h%$u(wWc*p2c-^b^hxpgGj< zxem~?&-QH+irDD}?$^k((@xngr|w&HHfU$3X_ufcK(AxVyE_0O^sAZ#jvqFr#pwbe zYqWqesi^rFx#gLC^REH((SwDJNA|_N58CzchHV0*%cGHfYVwX9bug5Pq}`icwc1f! ziqW!*(4O_(t);Dl{>Cf=O!w{LMS+jLwI8yE>Yf<}9A=x=gU_{v9=nyaliaE;R36%I z-M(QTE4^mDVcbqmab!yacZzZ2V8wM;?!_gCIFeNWV5x4AXVb2U=*}Ano67jHREdyxiSt{7I>M6XJ0iv8k9TFxd2jcx8O+T!d1dOP4iXa18w!;rZoxV-hKte(+5P@C7hRm2o#weH4<-40%)E`N zRSy!Do9)=6-8Eav?m)kQW!8E8OGTSJY1z*0q$}rQWqKZr!v`Ex!#jc-Fq(hw1aS`VqW?8}sI$ zpw!=lxupoNMO-z*)-HU9LDpWH$|fJy%QlCn8(EB)U+LSO!%Ox|@u7J^%q3o}LZE{N z3KhhuR^$RCQp#RAV(qsSE=P$x5A!gt{x${{MUg0@k$SEuSAZ`| z@3=~yS1%rz_Z-x(bgch&#ZEBR!rC${V}!vqj7nlOm{Y3(sGG+~r$RQajI48vK*jh$ z$;;U5wo%5SajMouKqrZgCf4dDthlJ^w`yB13Sd-Fz@@~gs~)JZZ3Y4KW>CimZEGHf zEiD(9kOa^0XHsfx4A-MnzQYgcaXXEP74v!db4Aky&Gf}2|XWSau{z=JsQ{~Gb zwHbV$`)%$8T`nWZjgeIkBOv`gG!cMP!>H+Z)y3KG^8Lf2m2)}`#cd-PnFjL#)yoiR z4gxKzhNm?~Ko9XitOze&NMRgC*1R{eLHmUdRjl(PUJn|h%@fl_PmEzXF-*nH7zled@ zZrI@tYn89MlYZJN*8aNWOTsSCQ#lyg&e7j$)aUr9J+j*;m+jW|Ex@}mwkcof>Q(QYC0I^+tSewo z(c12@o-si;;dMWW;_LuOw9ff}H8)r*Xd1tS;ov*H>U7-kc}z+JS^&;oy7-tesp%tQ zK{u$WT#tKpM^V5Zz!3cxWz7TXBgTYv;$r7$##R=O0We7$-LKibqZPY0!@O0bQgSTb zXe2Cu4YKjtU=v*d06J*R+kA50;&T`|0ETEDUDnpp$PV^%Hou6mV?9)@TDn;VUOc3v z%T7DSI*wgGA1%e?(V4xvec5h??>ar9oT{~-{kk32S~i1A% z(R&lCOlB+}I<@o#Ue83Wx25tA({&8SaF+H)ZfuDU<;~0E-0aot8*eV#%X9BJSy4n= zTS_(@+0NmdtBM`sW3oZoVv6HDhORQsCQj(v9>B3_@g=Cq^T)jjP8p6R20e^^*LJH? z45X{1ll6)hm{=R5u2v7qFYF%r0IeCD2^~5E5;`Z9_akl+iA=!!%g!}xtZuX}^2O~2!fxst^(G^bwE-@2blE9-fBKFaN1 zTpE;qxJQ>~Dg8N#)CXCB@d$zEv-+MSU%$HlU-Dd{Pkb;BtdChyEd2nfbdQF#JPo`lOJZ{~u4HvdRJDQiCD}@0aOs$Kb zeq}0&C}+OwB1Xxm&OtTFz^gtbLz?R@tG+1(46(Up4DYM=XBC@posvKuX*$Q z^T+v;^dYYe`B!v~%L~UoU+n`6+W&z!$@ zP8W?q&(wSBE~tOq9uwbp|MH{xikGyedOwNP)jBzzV61pg7KKOjo^I>t$@=MjP54gZ z!#g*DMz@x%wVtWDxiRpX=A$ubJh~4&=V?98Y4Y@Pa~W_ytv^cdoBX1+)w~9b(eXX^ z()#J18k6oBp02O{6n)%hbuW!qGLXWW>K-k$UjyD!N8vd0(ma@t?r*GtTPLl7E{#{T z)_YI+MfcM)d`Ay{YjZEjZJKr0oS1{V2cMDxbI;U0bgvF$68}I?H=n1+``vx?qYES; zzVO{zbDs|PKbMaLG!`wLaB+WqPV>{fb!m)xws=*S^o8bf&Iei(t)1v38j0t0=`~&F zvUNU|^Xr@ArAd3rxb6V1DcZWI6Nl6>%f;XD409iG%|uAH;Vm;VCa z=W~(~@x9i;=@HgnG!>1c^L1aIr(x;x#UJgb9&rYFlMa(COOML-#2Lf+rO%3nx+F)M zhc3wxy4~@lS*Ne8|b?Fm*R)7T`p zyzNWR>pQv^^6%#A?gQPl&boAOJwx=+{bX14yT%}1OQ-ohb8~d(M;G_DF7vMQ*#~e)qy@*x2NkcwKJ?ew!_!_H}>tq|L2w82avq~`t~3Cy#2reK;kd` zj6MGLAF|K?z=Hk45B??l8AVLizu*1_fMx&3|G52#{Q=+mJrK$J0m%>JQqRv$7e@;y zvNf_K5^qr^k);I+k^qQXalqvtk7+@&P^L!3sVmCL@ zlgq8Z(@Xbog7CX8F`?$D`{_DYXgo`=sMmyJ_H$#QOY=&g1T{}R_gvxY5)$Uc3f>xl8i$J{jRX zt+Nb-K6kEkb!qHwd^|(X5e>xL=YUl6J)f6C3!>lezRqdj#-^XAAJFH|FO5UsKx1;_ z*Ztw)^Y3b|=VQ<%1*pF@&hz`}I_EXb+dc5*6=Q>h7-}{c*moqJdr$jkM-^U2kcP&vmTkqx*@s z&c`SkIUeD|PA@!N3yoLwJRh&t#_2+M#mT6i!Dr5&qtBn;@BGqOoQ~su;u(EV^iRMm zitNVF*_wl#7|FkMkJeW7kn=7&OULLtE-1if&v}<$zd1Ht0;cYLo^^6$7yi_*|DHW; zeoug+ZI;jdfGzyO587V>NvwbW->}bp@BZ(KZ1Dc)r|(ZiYdWD2;nwl2q0LR_Y~!*;z{h|t;X>r=0I{W7E8)Y z$X$ykVu_L6#iRGCW?K~o!2MTCQ$la>Og(3g@n~CU=dtpmMbdb5 zZ$)PcEUv~@0SN4zuqeD=kXH|fG6F((fw@5&r%-rb z-i?%%Xe19y~bHK+OEte9cz|L*vn<&r69Z)G68sSy!H-ac7A6 z(f1|f8o!jU{?>b9ZapT>GrGKgf)_6u={pU^DSDUmJU*nc>bX&#;S49g%j1$_(OmQ$ z(L~>qkY*4#MLFtxPghooT7NS>(MJ3uuqkgqUZJB8_td)RIp>$gpzrCYsI_9OTI+r2 ztlvcs@lP9Ciw0SK^!E{bqw#1yTATB6YVP7m(MV%XGcNI+o_)q^@PMOTfoEx*^}6n( zaq08=TeK|U;b>iTzazdQz^yszZ}F1WL7$gQxcrHy&()>Zf47XBDs~LbG-si7tEp&fKUT*)3x#+AG*1Fx|d{7Izl>0Ypd@{_h?MN`iT4U`oDdZXS05~zt&ara)zCI%6MrU zdES$*5ZxRtxUa?}J+*hH1T3>>7I__hRrGm}@t^akKBsX@r-=XbulQWA<&gpLqn;~z zoEt^)gMO;eSD({o&hMj3W7K+{(^RjEfAp_@iriQ9)c7`_hiI;~mrP>73xDb#+u>Qm z<_c-6{QW;RrJ?2}dD8E?zkb(RO6EmxUE(RxL32aq{CoRmOL_BF(XFD*26{Zh_oRb$ zAB|CS7Qf5(XiU&`9g-|NP(06Po&GJX3Z^JS704=g-26qL1{H)=}fv{N#x1%CTOW2WxoYue|>I zM@U>G1i)?kw*L!1Z$I`kU$kjp!;5y(OIbNkx24FAy%zj{T@F04P9SS5 z$xR2^{>S>a*`Ks;pnpa#v1_}%Z1LE@R#s0fTJo%Qe`uXX$N~tp@*0%yeqc*>J$~18 z4DL&~vVR)zxEoIAFIApRt+Yo}KiIwiMiT`-xS5#?)z3 zM><=>C?jjzg{6J&uU;lG`?b+a_I%*T7K1w$(o4eYd@ZjE?Pf|2ZppO;^iB$1oq+x?S-eTE=UD1H;zv z^0qX8rs?7BjyIHvB1bO>(!RDiT?%4L@>IGX0Y+AI)8r5TVHkq+qziiLido~J$ zTu#3Vm`#*#5$M_cT*d19A-gxeW(_}PAC11|5;pH1O`EV?Pu_R?zB?!LuE5x{j9K#h zRcQFJ(5p%qw_l!o+`hwq&HkzXf_<0Wb#)D%vvy*7{Lx&5GWs zYn873@2&cZt>kts5DUP!zU>^$*w%O+-fY@T-~gUXyNZ}<#&|NCafSSpdYTPYEE8#2 zB<0)DX~DiYxMT%yVlRg8Ss~lB-PW=-$8oFsDO*8svy%#xd&XXly=94oXsx5gHXO9+x+pgl`YmHmBV26+$erJriz?qY?<`MkiMQnDl>GGR? zs`_pAY1^|yuVgPp-f%fa?WU@!X6@3I0}G}@)_F3t-s!~RivioLTrgILB@DttFEF}$ zR_D7Bzt4zgS?fFCfT3-UFWQ-(wTs>++}O2EyWmc`L3&r}daiKddU~`O|KrgGOHNvL zmo@))!e6!2yzlbC4*g|YE$+I!md&FjdjgGB`(Zw?Z#^#!HW`^p{hj(eAAZYuXST3Z zwZ?7;dHJw?(tFd|ft;)JvOd4*l5c;z{sH?y;4Sp)(Do*4rZQIQ(0UkH;rpkivK6oolXwvXr=v`>JW1%E#Xdy=}Q`zRRC!KJl&6FIYKsYEN1hO$|9y zwclviFSI^l3xU2}32m6Vdh1M_bk%SYv14>n zcG9%3O=j&g;SEzROd->-(^dgn9fD>p^jFCipku!qLWAaQp>%Bhc8E2-X>0z5(~qxA zp0!T|zwY#_3P^5GR^17sNB+9K9Dma?Yv@+&^P` zjgH(sO0!MZ*1x$Ouvhyp+70yPet6BUW*@i{KtFZxG5gcW-*HEIb#6&DT(o7q)x7}M z2kdh8k=1MP*ksO?n|L%_^(}Y8 z^PSNR>xSd@@$4%urz@QCEOIMn{kI!-cYobhu(N7Ht1ia^zB)g6BY6*<>S3G5cH`0D zf7h1H`uaNmU9s;|BC1WdZ0z3%Ah~ejGTh&~d%?VcQhi1i_d6~LR23kzQ5`iK*lu^p z)xl7=*TcGQRB|%{eB`1zMKk^>=_a84REf-K~VCorJ&EzkpFW#E3<# z96h$PQP~y2S;_2T>@eUkd%L0nKl3n%=M0>Rca=gXmiAk=GnzwrVu6utH6c=W$D5%C z2*t#9+6$J5k8F(h5*~DIueF3S9J<9R+9`7yTb18bY)cbgPHef}F(>|Z z+NUV;<_8eR7T=DVdV>foBNC1tQUp3;tXiO%WZM~!`;B?K&qA(xHJIYi(xBDnqixG~ zkL)o@bu81*oK;6C8%V;EiSe7}t;kt96>6p=zv z0U-4RQXPeGNXd6eJ59{k%;eN+gOtq%j%|NDXEUQ?>%~$y45}4#Y!4LrPPCAyBgncr)u7<-L%L4qJ1dzrb}+!=&st4U%(V@ zqaqvbNaq#itJdVA=XK2FoBjp603Ye7^)r)qERj^LlO@Xr8}@lGXWt0`(UH>wfX@qw zO@PUv)kZoI(z9Bi=*mMMbQXZYH>L3~$a~PU&-2u-u#ZAgHsO@#bu?Rr{YJ64-D7Mz zP^lx)&j7qs3*pJ|GDf`&7)x=t1G@;&JA#r@J_4<|piW+l9Zkl(_H`A%V|^3w^99yI ziGpebmyR;m!77q5V%BME@i`rvUWx-SRVo^q2hu?c42SkgZy6}swKI>o@XS^a_%Mp` z>}?boYdu5})$>ky#*4lkR|=LIV?25NE$<~{um<_38Yu{mi3b3SVpOPmU;E5MRen_q=?ad9!1?Q=o3>itb&05% z7`#+dSEz`g!*POD9w2Bb4Ej=R2jiNz+x9%q^X${1*KF<24sNQToB9Uz(-|u!D>zxc zJqAGD!{C3|d(~zZ>()8Ks19GY7lZHG!V6g7NZ6{cjqRTgKF)|hqi~nX7=RjF!nKMN zs9e5|BJWBDF!DNfJRjb3)uMHzweJU+Pu|uAJ^@B5+YpcS-6^sMNE~pMI}99O-12X` z_f_RpJ*0H3`z%m)tuK1HyhR3Wd(^tj2$Ig3dK8$m4Bo`QJN_Lz?KZ6(Puao6Jo1iQ zo}^roy_}=t!Hkt7$JXqCAn`bkLuH!@SM68Om47md1Jh1fXgox|`xeWi;{!oE-p|-q zdLMSOaV_@1CBeTteumX~+lGOdWh1Oo(6gP^BA>yAp?}`w-OD=oUp%m8FKK_f{jz zgrnV$q^y+J$)Kd`hg5%$C65ej6K8!XfMYQV+9o=nKMvWA!XpczZgo;GR18|@cF*3} zd)|7;glb|<`v!H z{Xyp;jC&MsER#q0v8Fn%_C-WqXX^(De3O6m{S&X?igZN~_L6FXFpllvvK0j1>?bl~|ASG@T!J6wckRI1Dq>Hu(C{kUKGR82@{nhGP zC6{H?;((vDr#dVEqeuvP<3S{Pu0{6-053M|xsxf;C?5W#=4daER<%}CC`g805sWHE zMHN_7SG36Ls094faFRz!NGE1oRe zre4fp7DA={V*t#BKotWJ<9pDD^-iL!a*+leN6LP2aLF=b0kuA+I%nBo-*p>S2X*OAdsp~s)mXH+di@&@N_x&2oQ-8K91W7Ks^;~x+s_W zn`jqAr&OjrrBt_5-Ca~d+ed;HTme2sX5_J}mX7GBeoPvP0QU~xgB_f~)0hP?rUeT< zR}*G30BAvn7NDj+2CNM6Z22Ts#69T*kf~~{YH}&3M9skR;flo*7`>rq?Ot3hE8$AD z9H?_wlzo4Zdu3eNZuLgmfqo^%qv)V$rUG9&tt5|g+C_F~dw^7%gqYXZQHUYCD#YUH z8CG0H(Yo!JJ?<_8*v~8%$IBi9w_Hj06|0O@ygcRTs}9CGebt_%RPqX1=j`fa7f-u~ z_m+bgsu~JydvI(B;YL>|I;qgFBC*49n2&@l8)lus4YQ*&I{~~O4d$4~ky{_toKOem zRHExr531FSy$u*q`#sgR(8-{7J8B_6WV7jG=XjhR;D&Ugtcc2<5728$Mn&a~kA~3ZvA+f{7LZ|eAPzZzpcWikBNc9pLf^>>2Oj zRKIe6h4YHxQ%eEF^MM*VHDf#76$`8RA@ovt`7o2%M0cr<)IWlLszdVMeD<^U|4yJ^ zM`&>P{HRrO`dB(ljpbBBN_0>i7S-~2A&K5)B&`;jatMdvsOS{gNhNRZ_SU#_(v4Rw z6V&VG(3k#d01+ zdSQTmI6%)U;vB`auZiX{N8YJ4ikdU?EUnG$>%ZJFOyJsjWGBRlT&o_O~Rhf1f`K*OfW z#*o)a$%b>Z1#;EypoEQ9bW|tXf*Az7HMH&#U<|Nw21Vx3MLE8cjUZ3(rurupQozRI z(0&q7^RKN#vi|M%?YDyHje->lx9l(c**|6r>HGGDzx5^C+zi?J_kE{*N8#9B+Yj2U z@B0t!I~QKFpa0SS6Yo8J?C-wb2T1-jUbdJ(;k-=0ijh+>m>H`F3K-u5rvQ(<6@2$g zd;|ZL1yQ+Op)rL7dl;Hf97UYvCZM$gh@@bxh@#>`DsUwaPp#YM^2atiTeE1{b5Rj7 z_HJ|8>Y(}bu*O~0OiEtYo{d9c`|U{{LBkuzfGmO-Wk}92WLjty`q>CxLdY;uu^NVM zdQ`GL$)b46Fr~V!im`MwE5(>miZHWUajUmQlh5r8%NFkeIifm7>{+9cvY+C+SNY@- z9=HmKsj!$zt4kqjYeW?fbp-OUcY!&^Z2@Dm#K`9X4bKD`P^soZzNaWPRXMHTse35* zZBIpp%od{iF4QT;<3`Lw^-=nc@uYOra7%^Vv^_OpOsa&aDwS%y7*z#Sh2kD!62j0{g`lE=K5M7Qhbpm7 zQ0#qIvUtpM4(4XL$N1=||$sbxUiAV>-)>jyO z5VH<jz2Lw&ZoI_;ixBQL%2d$lrIWTS_(z_&5Q43|%9&Zbx&t065rpeIk@MJ81~0 zE%5mq=A#1rF+hA%+leZP-R2$wpz6M>Vowp~sV*gI_NZ<~66{cTY~cv-HiqwtVhN#a zPo=n^cp3$#3Z&ZNkkt%=(60I^x-FON}xD}vF;4C{~%0|97NnP?TwQURj^JhKXL z*LMWsWW4UJ%LPS6RJL5SQYk(){a1%q zZT%_QGU@}j05D}KYmA<%t*Us}>zL&(qf}}4th!-yFBLpg=TriLG-*!EG1e{b| zRbWM+Uk-`@(+a9im1=Vs6*b6HnfHB0e^c-xs(w#OIVvzm^acAc0qKkWv5z_(gSY>J6Ny0Q>@g$YCf_LF9HcEzCDTIEUOrWfEFA zaf(d1O3yK%AG|BHc-G6CD~%@75Xsv7GEO2G@5$bh^+pK{ z&W>xpu`F;0jl`n|teSe%s1?B>ydm@UtoN=hvbb`+gFpxA>5}H7Vrf`XhA#m zp_*fK+EP=i3KdXPPv8jatm0l+VOM!NmYz89q)OUy$iyde$b@Q3tE#DVyTJC}WzBx@ zhyQce%jO3nNo&_4R&C_~&?+LCu{gT<*k5vdn9BhubE@IO3NT0MEtHL&j%Tbj03ddH zb}(82(66FX+pN==RUA1v_y!zxm2ro;-_c~rKJQ(!CHO>IzKElud#lGw zRplM=pb!%|hD(poX9;xf4tyY>r{ZauV$bCdtBB(%06*q;m|5C3MynR;W6dUtd`??^ zR`^#mTlV)H5UO{R=%^TWr{L-etpRc*FKxh+=(-r)vDEy?RZ>+c1Ldux-Sd1qJI>gh z?oF#et3bVP?FJ4S`YsV4xTv*`T#F}Fg;)h18>cbz`eU1PCe}F)0ti=aHFRVv=}jvw zc5qhF;Jt>GLvZmTl6WCv|NTk80TD$=e$S$4g$wp4|LhOjpZOD?vCn+wLnxKk?C<@T zKVo03>dj62(rZy$|ID9u?|;Z%vtQu7U;IDy-oJXiZ_DP3&AZlOa_<~JYbz7ADN@DH zODMslU74uqdDkf&h4EB6U1e(}Y6@@3w^M(ZOAO$~%6%vFhfh@V5RK0t`d0>3hAxl6 zPA^~tqWFVq>@))`J?UEOFyv}e=u({t<$4VzC=|;6D!(b5dxaTIi!;mP*mX)~1#p%N zRh{BhNyH8T#0ls$msJjsS?|oV!^tw=j#y!G#L9&1H@%NRtvU*NU|&M{{ShG7 znt#vHbpmjfckN2MLo4yIfQgJ`+240-s!p`O8o%Y)g93HB#WEgHQNAuJp<*+lYPqoOyz~Ez?}H(h);_*Z~M#e`i?yZFKW(u z*7OIE4!0izh_&VPj-O>F0bZN%?SXyDzUgkuif(N4EY1JL;5VF( zXx3u(oAw<%IcdMe*nf<5TuVK1hfUt<27wv;Q zBVzw|`=_@0slIvNdu^J?`tG3}{KPRb|D0W%+_iX;_mFqhYm)KkqWB;6uRB>-P{f5N zJb?phtm%g*Uv;0abn^C3;O-9tO>^kOln-<&!i9M_SVoiydMP{(6`-tkt^PVX0sdE1 zLPkltPPJ2xJL*`pz>@%O%>Gro4n^Abo$+6{+}hBMtFafdC$;Ieu+~%2KdrxNz%Kev zkmQD|+^RKCqXX0^QTZj3jYrV*B_P3K0q3i2_M81r^Ua#w2r7@sM^6KQS(yV?Mtc2| z<2UW7+p*b1!nWpTT#-eUdcO#~Ri3lT;BR_Y0HU}Z-m%kvu#eOC+TN=nZCl8=Xm)*a zhqpZR(2Q$#_f_QlyWxu&cw6s_2egI)ur-{3bDsXQ_5~~C>W+@Ocl&rM9|AJ6SL#|V zITMl9-B?kz0dg5*-s&2!W}2=2fE|sXD?nUTl)nt8d=%Y%!`_02gZ3`A<4s<>j(oo8 zzlN@9+gH3#qO}Fw`|#qNy@1A*eE*LBAwIWb&!*nA{3X^T7qRvufCM%svkXvzANRHu zNqy0cN#I>hhvxSY|4VKgc(=XcZ0EJv2hKL_AWd18*|q#k%R*OEmMV`d8ETnzK#7wS@A6M)_4#+JB>-@cM``*&*Fto{q5AwRu<4F^G&&l1nbdu9R% zo|2_i(5+L6I1fx&-!i(|Y;m6KtCS{-@w zFx?Cu6<(en=bdE-SX7Bm--jH)kFpDwYcE~jpGEA|eC>c$c*VPENj{{p)OdmbineDY zs0IJnR6$Z6PJNVhZN#-rBX99b7zFwF3hyeaAn_5HY@w93<%aL-1VIWu0qU0rEdwlL zq;PhQQAvTTt+~8W&0p|D1KxHU3v+m$MU=`K_mYVkFk@|V#duQ7o_F-_;5jJtjyD4p zlpCP->QY!L`K+8C-B-L;3ZL4z=exb!8lL_=K+K4HrQsPRdhPlbTo0j(6SY*wlaKYS zFi#@ugFIv+ZT*YN3Bmk0)P*574)yP~2!25?*g zAgTDA8sZi4D%Db6t0!4f#bM=-{F*-t4HN^YGu|9Ln{!^Uw$W0NrcIEA-ToR+QbGE> z?fBXv4KlJSChCwm`>4bh7!JxRc;*sZ?|L^}XkHK_#dy`zNQLhec~D%6mmOgF0t#H^ zv=wgtDaI(;uQEb)^40ol?_B%hRaW)4d3^>Nm5`C=xW|HjWa$`^6X{!Mq+AD;msfY< z2((vcUPS|I%(-SodHZ<%xd;G{&z|>@7QZN(r2Z}U7@=ZE+FVM*H`-=WlKTd)|3{4b zMb+}A$Ev5G?kwQlCKr53n_cJHsFELN$T*O9A7naWjD=x86TL*VA7fK-iE}plB z>?jf}(5SqNpN7_-;fcjW#XKFotnhx4s4^n z4%mLd3Zt&Yhb>oxPrykaaVB(NS!lR0+Ow6wuI0)-2g=;83yRUN4zx1x4+accXdESY zqyS%NyHa{18)Z%6fZV|dnqPA{a(Q@9fIZaHfd;d30O*!DcD-l1jgb8-1?TFtQ9hq?RE6i~q8vM{(O3E!ofbml?wX=6(gb zgmK_D0XP+lga@wKsu`p~tWxW5c5hm&2k%ab z_PSkVlqsmJwjJ7V86dyn;4%7Y)^4*_|CsfDZqTq~qU$PYE5{@>8Xz}eo)82AJcLFK z^wSuQP*aaFj_G{|egtBaXP6&pt-xHu{*|NN!l*M!|x! zQFyWKs)`GQsNYu!hpId{W{e(kl4G>fb*hi@Hp@Nc7b~uCql@Hr+O|Db`_pBdpJP{C zGjRm~vYawIY+GwDV2AY?3-*zw_}ID!6Z=~@W8bPJROBmv z%3`Z8hZ3CTO_|neFE1_LtR`$51EUU$nQ_gMfRaEImN^|b#CoTH)3zszAnAm?>0g3H z1D2Z9-M;4AgX_TTuvG_n`^BM717uv-tnDTFoOU2F&@hk^W$)m{s@=S@`U{?n{hrNX z6lMS|*$hk=pH?S4nk?Ycsqq+~iF<@F;!>9TC}(Y0DA=vNc6kv=Jkc2?vf@!|&wpcb z*%?YH!@QF25LAVgWpI?B`TxWPI_qbym zp;g~fozhYmTOPl0|2_C*mX#={t5(dg&M_3gsOOr+WfEHp+)mCc+V) z&-1Mrcvjx36tool4j!s{xD-TPPZS>673BH~>Ms|q<3TGbg6GO-NBoxIzsr19{fQFH zQ9w^&e<^8I=zWAS(C4*H@E)*6E%*yOPsUvNVQMU=2A%3^8^Hhw>c#;W0;^L8V%m91 zotR4SoL)PCLdpwMva!;FZ}Z#p7>sk_9M^#t=+1$s@lI5fsz=C36Hy^ooAfM>(|X8a7b2FN z=iaQTIw1#!VS9!d&Y)Ms_d5Nca_etEnG|;&AY%<2qCK9m0dJ}g^z3-wMcV{wT&eX- zI5Ys8$(>U>+DzMf<10?@V0Li65p>r@rJ zTR~5CT(23fXn?qAF}4P}{p*t#FrL~pQX@lY#-!!BrvvV+ zVH?hV4|==4W8Mj4S98Sx|BX3as%;e+dqsj;0*qeXbl^c?EE!=3b_A<)nW+ehu0K|3Jr!<9~XFf*wWY~<+(){1C}o0>L4?b@W^Jn5YYOa+(D{l^D&1V#L7w(p88C7sgmaEVUV{&7>PkJWHm$S+fs*8k z=L(^<@Ad7UoPN+Q;@o9Q*e%wna+tEe2gv%@=8${~Tyj>vd38YiijYLt!j`>i_R+Z= zON4rO?<+862Bq`V`)9FQ&6pi_W&sE*2u6^l7CTie9!ALJ3Ur1xsST}H3%WhE3alhl zs-6$woM)-okzLMh+D!V)JzKqEbaYKhNul&k3l(3V*!Z|-XFG9w++1_rEhQX}FjX=Z z>enJKq0^7rNxy9O`xk5%v^~V=HDOpaC#ox<76tPv3QT=yl+3CfK<#H=2yNO*c*|yj ziWMlaR0&U@w9o{l!}z=nzSTe=!Uhh;04Vc8P$r5BfQelwaSlVGeOrlDfbnImInoZg zHX@QxY=+MYI*puQy^T^-6FM=iYd3~KD(t3&LES;>zl$IV_z1x0N15!eC_K&25gy_&lzN_5&Q+XOJ9V|(&iMPH?3HkpgIrnE-WW?vIG3#oJ^PZm%wvnc5j-;vo% zBvC-jOGb5_Z)&Gly==tyYDJ(>yw+5mn|tuqK&KY~gu1kj0wh`y86EAxYlXF~IbHJ} z?<&m`wLAp8@suaLx6ORMzzQ5P4@GKZ7;o@b7EfN=Zs}0n<&g+f>ppFsr^bh(jSS2T z%5jnLD*7az5!J+hiZ@KVl%||ar~#WmxYYJ0>kq_qiI6o$E-<3zZi*Vo?-Ur(eZPzQ zeh`mUNvZL$5(aBd7c2lO1P~UXtHz;iI`O%H5ZJ@z_()++H_6mBSjVkV;;~aphC7Zv z%0puqZtGKXQkIYMj+R5amf$loUP{AN)5lo=kD>vJONbVW7>_>zbr%@%OyI;487QMI z0B)$Gp8{gVR0Sh&G95eP(Ek6?aL#^XxMoe979|fZdH^lFdu@lviTMQp^O-N1_5c+r z2OzaQ;brlYi(w`PpwQMbWX>&P&Tge_<`yPz0cel$5O4om0=$e@Sq;(!U)0l)A2kq= z0hCO>&KQB~j8d)|wBptRz3E_$`!kE3y4iy>YdrF7qqoX^0Ew(g1)f(VP7yzyB9lW9 z!tjfZZ%nSBCu8tw0Nu+F+lnZQ7X&LsIl*YP`5W=Susc!rYvV-#$qITp=ki2C&~PD& zb2X_!5y?*mqld1W%(@eihy6KgwPH?}e;~Q#q@g`Yq95L8PTV$3;|z(aBXQEJ3{c}x zwC&I@F&AtBj+`P39}sXvc2=Q=prB}^Q%ABdD*GT^q92W4k+B0`CoL5x0e~{2Fl)8R zC-$6<7ElTBU!DF-;>?s;-wxVGDeBUA6PI=%r$S&}+c@idTW6{ST!t;i-A>vujz?#b zLN@1lPS45FU>I@{Z2`GF>nr|zyLZLvowW6N502vrGxnw7CF?W*9Rc5hxoPjUi@`0| zdZBl}>)Ltv<%Gqq#4KE52CUJ8(M7kW()yAaMUL~Sn$6Cm7gxC#U}W6(T@+16#+A6Q z=&H83wR>P4h&&j(ye0fvmvf@sh)@Z@JSPdAUfQzxR^7IF9|!Fom8`qTY>??d38g4q z!S6D>bvy=Xnb8PhRCBg*hIiInvG+#T?OoVN-uE2hC1Dx?62?1i>pUFTm(P^DAH{<` zwYkuqI|k-O0TEhX8(XWLuv(~SOPrwfx2zb%GYkye zmdBR2Z2cz8F;uS%-&W#V_DOFG)ZK+CQ+TdPK#5`u9#1zr;rC;dXc!_%7!UDo_fXY3 z9;Zx|8U9;E;VK`Z1LBneK1Foo*RO)nm4lJNAO>*PWX$K8gT`^hSX+Ut6Dn7K%#Q)W zgzf`)bOW9TB;scu*436s0k30;?`jK5$+N@mw3mhMrEQa`e;_{)V+4~+nX9Eds|iK4 zJ)yw3aygXjdE$Oou7NW85=1$x%h5Ep@`yXgP+WoEMvPmV zguOrtBa5uWcJUE6;HaG2+n1pjF^Uy9ZVljEz#uE%yJgX1x)Zb|s#gba+PAbGC;J#^CKj2tJHz zg#@%CPA^>XH+ipzJVfAA^*4U(z?c#bKOMef$r4})1EB3v@#qRXlqkaEIo1=JegrTs zOnn$wuvfebfc5D9Z*MZ4r5k@{}ksG4{H9B{X0-h^7Uc#i40{QWASyKE8r=UI}cEc!gC?k zT6IC1+wkmrbsOKWTI)1yEkI)#9V(-$XqS%mbkIFAlIaM4D;m}@d(@t{ZX5HgQyI~Q z1BZ$@N}nj9^AMeNz*=wn%l2flYWv=z-N87Eqs8aLK7a`xZ}pQ_85Qj-teSXJk&q2m z{NZ@r-fLa9<9Z1r$owH_ZxFNX{)*L@xA^A#>Qw`FuwAfPCCRH3y9DTvH!tYC#Td5y z0`#3aK1v+F3Lk%h(E$gc$`p7!VVwlCuL2mvhvIv6=~gs(gd-4#A9SMVV~no>FYof# zo;Z_vir1xY4&ZOC;WEFi`CI4_qylHkK{IrBF??cI!w+1rXYG$|R8wCbbR16n?orvc z-oeFryJuF2S^49Nu0{EdZwENHvLT9;YTQ{sa;}6^u->sy4t_Wq+QxR#ZI!9mnIck> zPvENq;A$i&KoZOQ_R-LLfT^U@t)Wub%C{ud-}=}4wrsBKu35RgXX!jd?F_83iLwBV zW$;Y3>Cso>&!`16UA8BIWz*5r259khMC~%FSYc;xG=h2iu5i%le#U+P0Vw z22WUpPW$a33MgoUJ`0Xf8Z~{pJ$`{ji1EKZ|3krhI^xx^SYqtXh0pp278|rJfGZV; z(cG~%lwt^h(-AhAgF2prf-`*Et=SC+^(9|I83FbkkY349i+CEXjnsRxxR^M=f zKC~6Q#{>#n;X!#2f+$0TPM_C)<#-q{6^W{#oD^i2cczf~ETB%tQc78k!`x{Rgj#i6 zR9{88KT^me^reb~X{(^Xr*uGC9?$^bq^4etM%*5EFWXtKfG2!pqjAViT19(vd<%oq zgbu5Agh8E0X({>k3HK_(Zwr9AGKSJ6$4UJIE~Hf-jIX1JJyt^-Qb8!Kv}Nr9??G8S zeK$uX_7&jE9XPv%!JdOw3wAB`$YME^GxupXVkqS2S(k~uhxrrs5`6^m1dqNy*2r^3I~5FJsa6NrC6)_&Ws8C|aZ+Z7C_kMoH4IGOBCtUUigP+Ww~h0eg1b zvgkk&DMeBiSqb3 z3EBP04cB2iQO4+Sn<|dcBfh76o+{5=Wd8Hsfy?hWWgb7nOs~U3%K2GGs#L&DbJ_t2 zJO^jwt}>rnv#$A>wV`(vx`$a@)@1Oo?HU5wY0n;R;k|P|(O27xX(*+L;IphP5Wvc@ zBlKF6R}1!4p7$M4>;sH!HU7jBCBQ$j7I37B2w>(aU{+LpR8X(3}q31??aB+wR!!=l<%C z*q`sG;r&$`2Lzi!JaZYnxx#NcX{89A0B_V*011gWT7Qhrz@Y2IqV$HGDMg}p7~N&$ zD;;d2YXb15Ko!88?`k7jKtj=%0JKmnw!%0CvK12*2wd@SGO$Tp6E{@2F+rT95U)!kiOs{ucrp zmRlK`mkC>Y*SF5b#D2c|X$Q<#<_;{G?wQ{j+fVYHPx$Cmx#Ek&u z@YSWf{q_6(KU_H^e{t`g6_)z00^F!NvIjdCt;YgsnK3hX7kK@V1Dh|L+Qs;`%}3>> zY(abkEp!TDwRtnPRxfEMomrO~@d?-l(XiWg!jWHT0U0z2#kD>&L*3R4}f@SfrwcYTDg-|UNVeVuYftqw+)Oq`L zo;L%7DA7#fChsgd?r_HdPb|74e2OqA>?-;!c{}d>{sj;?KCjFBP%$vNik!U+Y z9Lf*5R>(~EftSI_!GO^y2+~m4oqH_&nph13b5{MPo!ll<9!DtR+76m zp96G8S&g2coL0rbS?2{Qd5@uSDN7~*#m}r1Id;dBlfydq2ktQsm-NR6QNa#0W0kF6 z^QNX9p_ZBGXePkRy#Y^wnd+{4Kn&Q zXAG3%rNV;p^e>@c%ZX!4My836d#vk@a!;81W!6vNxQ@pz;H>;Goww0`y_s{3Yfxt#~Yn+5;GB!!k3eT4R*Muq<(ZjfeaBA=`z=v}LDb zlmgJ=ape}t$g5*+yAm-S_g5q^5d&EOa30Rk(y((*19a_8qa7Z+faVQf% zvqGwlV>7a9U$MEYt>v~|v_{+B=}r}%AE7fgtr!6~2axA57%V=qaAa(Uty#OJ$@Fh?4m<6w%1>_Si509#!y~zY>XCS z9ZS%5T6IO#myuS*R=I&OK)Ezo%57V{>8WZ*(Ef-V4``s03QB)}#7~)bmf_8 z83TcPW!C*e|A_-6Kl<7K%KjpA&IT$@f4ztP7r2tkrnsHr;aUYHV2d|Y%jFPoE`EIt zo=}WQ$G5dc>u{#F-&CDcdf)dVj9F){q7Hmqz)_Kns&ePISw(G&ZFBDo?_a_ZR`f;N zX0HG_HN*FydI>JL9Jvn|=|J1*nj}<_9Dw-xrZ=FIDqjBcJO{D zcH+&VSUNV7!#i7?SbP?tK*0oIl1ygcT5qTF^1k|Z&{@KZU4k9Na3D$8A&Y^?tH=uq zBGgfF8wGI_R>UaIBu*@r!Yl3ReE1@)rBEdILl{)6LoNPQNa?hm1F0()rN_b+P#zkS zPO$7dW(f`YI8@qIR7Oag*A+n-^E;}`ML7@&jHyhP6tEK7^l2F`q3(Z;S~h^g7=?%j1yfJpa|u`h z<)?%tC97_Ei_XiQQ{;#FrBI%U2#Oqo??U-vTojwDKY^wa;|j1Of_M=aIwb{fcGnS@ z73iVU5)Eg-C9q1$DwlwXiUDV0%xNrke51FH_ofG~N^r_BDbGzFncp2JYf{8KBE@j zO4=F>gMhNMeHsu+qW;< zix}H2i>ruGf0`Uz%mYwnhZYILH`Rjk?z3g!SfX!UX9VXhVT}wxHi0Ja10HcP*Klz3DmQbLrcX`+@q1b=RM<+0*n3; z5>RJ+F_&yBQz2ptD7iW*I46m5(~VE`(|-6iW878r0B_zYV*antv?7>VBb`E0&dQ7u zY?-BM5pD3JO%u&l`Cl@aipVHhmJSF^nmzI^K?8sZj>7}^QJ}lRn9e38OY{I1A)E;D zqrmzgWDlXRY7=R$+BT9LD~n^yJ=C3CzITS73OYe`fUZU!;RFB}S_7-SKDJj*p0`me zXa~Io>yKhKUp}+cI{GpNU})ncRPfFEj3+pDTUUvA&kD(^r8AxB_T?%1W1MppO0#+7 zEi|!5oy(RU;G86U14ja4ij1*ig-Fd*D`(j7?BU=lvU8GeuEYMw`L|*tiSmz5rOLTRC`uFB^1GV#%qp^t5fG?e%o5i?a#H8l~+^_Oi=a%JTd&JfbuJ zIf6Q9kq7{?;5UJ%Rd@yMiq_hq3K`jXIu7a#h-V8sfc%laLi?LJpL6 zAi$X8*$UpiGkMNl2kgQT%=D2fd(qn)Tj$Bdnw6NnHM!wzl(xMVnd9o>p#`5WSs;(o zGsN{sVxO^DzuozWEl>7vY;ft6cLaS^-M9=Wp9ugSlS2!vWGplvvqTXfrR4I%j{W!b zX<@Yg%{nCC0@wQh$@QICP#vNPFxcrVx=ei`{BA1(^IU@M@SqcTS!L8t%(KCnZ?80; zg~^UBaVZQk14E+>M>QWqmvc%`$z+j$lp@A9hk!zyL;wp70fkzHf-^aJ-R7z(YQMeV zrC_|eJ8D_T)Ln9%LSEXl)c&0msE$P)vh*tIqoP%jG`AQ|tUfLwY;i|@GnLPv&L!j4`&@183P1-Ju-R(u}$z&b2o@InB7xq+frWois8r0d3wp)vI zvgf?^V1Iz3USiB1V@7~=d@QcLO)2uOt;P4J394zu4?VZ1uM7blwN!qPLh%s{f}%aD z_Nr|Ut-~p5V=c7hj%mv)ABXVv!*#b+5t3s|=qEpjnB7DqN+aL+KQ>VXa1?u+7C!oq+eMLE8i92~aC4p)H*f ziX{qI(Q!Z-7481JBsn~J8F%IJBqMEr28w6+jJ-aYw>jvVj<1#=mNoQ*YI5^s$4Ravz8;Hf)mK8?+JZmUu~Dt6&n?TJ_5AwiB5GFF_N03L=Y ze1Xo8?M{|#0Kde!mpg8WQSI{cx?f<$V(?*zl|8e$fKKpW_`L=9j2VE3GC`E=CxfHD zD^kjZFv=Q`sx=ia=rm0_h;!i!7-o>KJTf%kzF|hLW|!0a5%x zQLR$EVub{{JkI#SL+harj1qvb89fCie(GZ5uU~J6Mz9W2zpBfwJi?|fgI7j zgNWObiOQ*EOxo%=M%K!qWAsJW5;)(%e9$IM9P^{BOSDbkXby)F7e##q2y~e-$?5YL z>kK+h{HeJ2d=`6|Rj!n8^{&$6RbjSktAQPNQmb(gwq`TooFe5g=~%lq*H$uzR=9%m zayf5-7; zQQm$!G>wpaD{tAz9)I&vWWps8VvL%txLYtC?+QlbS&77+3&Sb{d{_b9HBj8`S!>j$ zS;w}VwaDd^EzX|Uh0r#tq~elTwP&mv3c6g@aYV}G#1`U*R?6aaC-6!;Q9GC{pa4O- zD1mL1UEW9GppS>GfZb`XFj(#UcWjF#)AN+itWbCjMXPaXft6RH%6Tuw9=Sd_A-p+V zQcsF&DD+aSSAJd0qqTD4qO;7njZ2r6bR2z@}!jFG)H}EEHA(`*ZBM@ zKSKTzPW25rL)ml=0@ge7?W~fqlb-g)wcRyMgj8ie`H=~fjmlapnsL%A+F=(u!mv_W z$w-UwRvc4@qj)6%g+llY9D-Lpnze)0tPKZYm`&-u@|T$x-i{L4)YNV!GCj+#LPPly z3TFYNpO_j9Dfr0Z=SiB)x3R8o3bfD=KH@zGxJ zD2#zmjjWtLu}k4CC&6`e4N zXS_x3do-}#<^W(ZO?Xy*#a4Y0K1^B|@Ak#iJqKo$Bf!)w6>hpR^!2kCE<(`CR|l4x z?b(5n&iIVB`HJZ?03^zdRa10FkuvS4>wXL6V=LlWVXa-R(Dm3umvE|tNNo*B__g(; z`Zc1bD%~E{%Wf+wR+`xJX%)0mVs*vVftm8?A<#gdfJ|Vtv(Tvs(3etHv`+aG;-`F}WwQn3G}d>= z;xC4u*gW936gV=~iIEQ~qpQj$!61M<#-O>B?c-<*f8|3;f=2q`#cW6p$gzcSaj+?_6&H!e(TJ@-@NaB0mnze>$XZQp@ zPZ|YlR{>p3o$*rq*Rwr{~25wxf30+JhvtV4aZVlR?Q&+XdH*Q>WTi z1KX~Wtky#`(Z8-(B%f8Dgu?x5>apPMIlAT18`{R}dl46zQ_;tA34@(Ewsg4RPI0a0 zx9sBbfnA>2v8C{~-GtwCTv~Y)Do60nWLj}M06q1i$eVQ2h47}mn7L<{Gh4Qt+_wUJ zq4DU_`YZaW$dpRHE89sM#Og()ycV6Ldp>jD=5s*Uw5t3@+?p)m5GoHtGAp<0WH{q; zW76@q-Adkfpj{npBg#3(d6?h;Y5o%ciyp2MeaV25e4#S@q$5eh9IcM+m4nz_&7%J%TS zt4=zTFfSRlP@`?$Y0K@O%d_f@<8~NWh81D7NeIQB=E)&j$it@d+#jZ>9H(74`hsmZ zl=yQj@2BKRWiX_8yZ^NN5mN~$DcINe+$C6C`72_=V}ITX{UZyp_{kAUB3QFxSw*r2 zFqzJ{43pFcL;;5io++Eu>s(9K+WUK9%9K7=6D2 zCM~J+~jGPxjS#6I!3T#w%uOiTRG?HC|5+Mesr)=#}{7;=vZAH zMF<|o>y?s<1c$B;fXi0a`9WPsYShXkZbt{kdE%HL%h26Wqd2F3+01RI6=yYtmyE(fvevZ%LQ$+BXBN2dx zj5#m?aG>HvI-Yk{%eh)6-dfJpym@u+Ia|iyW!5H^&!P~zZI_TKWuaV~#nG-MXMv*3 zy|#xLtVi5htK#G#fJ4zit;JiYg++|qa`cI1a$Re6leRrrwZ+td1yC+JrhZ&k^FB-% zhTzPbbEWEKJ?jJ&D9qq9>OzDqwoMtGFA`A*8m>-F8NFe z!oM>3uss*puoUCeR!JCoJ|3$zLLd5X*czVOQs~4Ip#k0?p43Xuq9{)Rys!DU_{@PV zhSURSXnnl&YCzqpckDS{F9AB_wU+^Fi5ci1o7Pmy@5u3<8YgP2P&ruw+5gac(LOZU zL9vcqDeW;Dyi@?p4}AL{yzBPe7?9=ouB%y*88@Ms;)#7&3#TJeapTgZ69=_^&Xx04 z1W`S+wnnPo)3=*}`|b$-T5{KInW-!6Tiy$HIq=xZnTqQbBqQA)N1;d8fu=%KMWUt= zw0pyAE?+CN3NVJ=!DbiDumm0U?H2s1D24;ur#Ln~{DCL0-a0ybox}l>->M_d+P+cD zL;z{iTL$=40aJk5RM(OhFa{r9G;c0x>DrN%PxhVOkuwlqWDq5EAI4*{qnb8(TR~PX z1s+*C+jB?7cZL@%$~vk;w~C>ufuXjq)M7x%&&u1AfqD1r8uM$}GpR>-^Idr3Du5_z z3(#5olLAC2*;XegnX_ySA&8ld%}vx3$#1tMQT^*K7~{yOP|q)Dm(z zV}OySJG$I$M=U!F53j~tBLAr6+kS7!T=PzBaif{Cf9$_t&w2-zMbG8pN_7$6-$s8Z~5aHJce7os+wuedr}8wV@U zPvr=(BYbbKwFu8bJH{u6RXHwK0|%_5iirXUkhOB`)J2As*gx!tY`>WS@Z%&g*BJWj z&EPeAHvS0PhkTcZ(XIj{Qx-aHBLkqlydSskv_EfOvKg4C)zPubfu&GxO6m&Tz%YQ$?tM)KsLHk; zx#yTfownyC04_eA`=aHp@m>^fes5&WLp%pO3VC)T2(JV%S6qn^_VFlv z1Y0LFx|5W9=6Q*A6$etOYHbOH`MeOYkgv|Zt1P?1v?{N?i$S^vn9)vb4xtsQRg*fW zAO(WM6N{q|1E6o^Ep#d&s~<$cylXHa!U!Od2es*42Bn^%xc6L78KG8rU($|E=%Z|P zc_SMr6NQ22K*c)3HKi!#PBjhqV}t@yvZp-f3;0!P5v|wN?fBmKd04&ZPHX5CiQAfp ziWa(y$LA(4ir_;3ToR^3JT4sxURpSDVZvZ0WZoj4TM9r@>DhRr>5jCiet@3S!>Fo9 zhR)5e`u9<0Yqk$Sn)d;F-hD?CER~B)X#E5nV<|kO6+G^FKxKyo#eiE;3ycTx%CR-~ zLN4MUA&Aa0&QE|=^x101#y|JScHf&Kc}3CE-xjyPw=J7DVlH2NVxz6Di(phYBlh$5 zN9_~d>y{A+{Qpz;-_f@1*Ig$#*UmYgobNd|y_}STkz~u@0?OF*a920jh8{G<7_z>?$W?ELb`qeua+MPQSvaM5YeTYeV(SOpY5IW@pbg}XXR2fZ=$76nZ@v=dgL0^zX8@sGk+LltcUFF`8#s`lRi$cB&f($+Kfbh^s z$2v0B@lNok8@K*#iU#Vk6xsU0I>oEn7sz#fBz@0mAFNll{Fru*PbNz}3tY;Y_tdm` zV;S>0vbvwXZHK?tw9oZFW>1I?mmk=-z#~PM&cJSzt-eLu1fP!jN$HE`LBMK_v|T5O zxHoR^*E|qNkDm+AR%!w;)prVqHNv8HTa%R$-H zVXZHI(wy!EoCiJ2NfdpB4CGyR8!MXUWd}0x=KP_6BheYW<6-gloPWcuGhRdDE6@`g z?FC8dsx7V@SojgGvt);Vr)d!^zPO%bR)w}yhyv{I(dOETFZ;t z`{U18{=C4D=A&sGqJ95t>pP~7 zU;fdbv7h?Er=(AHZNFfj{KZdW^>-Iw^n4u?rFh<%4!c;YmkyEIk9E3!ih2%ES5Pgdl;u&OsSCI z+-h5}+P0Hn(JH-y#kxY)YG==%wPyuXI2k_I*%E>3KHZOSKG@IN&T!6BvbLfUWIYM8 z!^W6VunGZ;@})2nNz`!(a3 zya#CVhLoHQW3EClL_*2-&PmW^TRd7%X%6NrFH4NQ_zfv*1S0OZY(RwSCnZuIwc@aB z4b98H9=_L}2&`IuwmpiT4*3p|f87W5R@MB_uG#~xx45FqgS@@u0ySj?mQE~|?7Fn~ zl*m6APP_eXtf-sLFQoByG}cDP32uU5QC2q(FIc-5F|XIN1AkgdCGJj_!1ONGLUUTX zDI#t+%`bPYbqLrKHH)I_I{~|0y=eKtz7^s}5*A$tOk$eJrKp5{vgfK~a*8LNQ+pDw zZCRMra?&zIS-@hX=F2TRxF!oFp@rIQ+Um8EeZKWxF-XexrGO3(b2i@FFs~r#CZ_Vr zP3vqA-1f)VOwEE1=3TKep;W7Vp=Mv(d(x&fzeKN(cLW&4(N`r(aI3@qd4b63)UJ(( z4(zyB6i}RZCv({1860)R{Nv_T+3O!zXGh~dAZ|c_T0nODaKa+QbWBL`#e>$Xn%$|K z6BWm7OzlzRuHp+s%koKV4}tSj089_{CGB`G<{+-()yd^)tqjU zV3xw7da0L?0Nt!w^{N#6k?v3*u-v@9K(oLa@fg(&fn_OtKmb9?jpK;(L_}u87L;{& zXKT)Ufxfsv3|nkYU<;7K3n*FQF^6qmKU$ju0q&h~OGld)9~0mZia%IO*ioZsDSd_z zL&)RV(WJO7h}ORPC1ZoY!;GxVe%3&Wl1HZXKVuyWIsVaym$WZO7&;(C)btF!Y~z8e*hy zrFTvQM)y-Lrw7XTs9DhbNR~tdB7Hx}Z84xJiiOhjJ$>zK&0upVt z8K0FRFG=~<)W{3lwzrY7|BpXoACyJQan+YCDI3b}qzdVtcs>bSi! zd{n%ex6A&UR+z*B_8lGV)~6&}4lSAKS+g3k7aLEA|8@jOv_4YS^r^lVaYoia?b9ws z97f8s>yO*mVAsNU#bubW)<;ben9ZrhanZMwTu($h(3%UGvsD}utrD{ZP50M2wyQb$ zXZ;iBA^G`#_>b)S+fQ1k-m*@QO3ky57l|iAsj>}^F)3VN@j+dNRzQ6e0ImuYVJLMk zTBDn?@xrm2)5dPlYHI?(VSz6#!L{9sM#bUWwD?_XP2I5ScG&j1Q<@u&H&2LXiIu8J zxsnD@^QZrkL7G&OGF$B*N`AacRw0Ko;C-@O%|y0caCCcJM$ES6UUcaI$7)a@Hy~Xn z+7j>G)_P)`=Cd`mjJ;QqnM)0+SFAYI5a7TV#*hz6!X%}JCtN|+l02YbD{>MqYp*x zg-^YT*0&%12md$w==LY=r+@LE+x5Z^+h6)i%eMbpRPFt4zx(FvZGhwlWldfkUKWA0 zEF_H=mO>zR27sj9iMkUYxY0Z>Y`45+b&^G#)a0F5A}7H)7qqB^sXLCkHL&Me4?Cjc zkXd0Updb=K>8xqS5^UtNaG@hgi{cj3oN9`+_A+HJ?B2%>df2faNgE@O3P~wB)~_IOY`98 zZF^9%ncv1iw67tL#np*mfw z)dkK37TK>QJv-h8ip7y0K9j7D^2OBI>lQ=BI?ijwekEHStThO4c&z7!vNB=cF5VBNWZu~;~5MQU`)byGACVF^8C6Vwfnf~*zYRv256M}rB?`Mka6 z7ew96Fsm7Je8YY>AfdHBw2k8#t97&PM8u8ZIScdymKfF~@B_BiSaPn`glN6mOABO; zStcxHFM!WH6X-@7aKGoeDH$Ie%`FJj6t&Jh%LOFwK?mZGsy9km2tU2ny=>iX++OXR*SrXrYcVom$)cRr zLUdBwiC9ellH4zNiIEja$pJu7PloE=(U`s3x@2$4FyqhwK1NQdmGpLwJ9EH-gTkC9 z;vfX^QOx~{{)JXeyvCMOHd@noeA_)7vxBDQXi#-PX}v!0f?qkbK&ElLXn-sFQ>`S$ z#{%QtzD=iP+z9AVZM?DA|CW7u>AU>y9T17c&o?dt@F+~>PQv&#JMmLuHnFG(1!0cLcdkwe7Km)uBRq6Q zmSoPwIb^29v=NcmiL6UmC4dA_F~O}c8eW5_7=A_z&qY~q5G3wZYEO_6wl2cwEP7v7 z1y&Qq`3{5%H@Y(}I2PZAt2vXXIqymeZ-A^22%8`_a(d`jYiUtl_vUPsyaghBE|k}7 zWK(Kn%iT|9Mos|{1i9Clv{X_=JEJyIo&yOVGab? z1@A}}V%7=iwk(;dtYLChjETUox(R9|<^zVLO`e-kKTtj#4JA7xLfBc~2yTf+iVQCJ z>w2!tc@DSdiiHd~XzPB~AtPm(yq9Vnh06BN&DN4NaVhFOY&oE4jw*RO=@i`le7hDB z@S7K=kTpkCxfta3a8UxY>Grb`P&EnAuq;XD7~%fAwHdL4=0(;QR$F_m?-(_XXQw40 zDuD)#kX(*LS^yoAu-z@sS?kS~wO(u5?Tsn74g{dM>e0?a_-J*D73%wJ_aWOt8do#G~tnseRy)J-)(BUSI zJ-#N<1wRY~q}Yb&4Wm--xR&u2Vid&OX#~<*U#TwJc6Cz9D>(9Mwt}*pC*0Zqq_E04 zz>5b6@Je;r+90Df2h4i5-xN>vXU&)5!ky1No%BogSYXWoLcj>YnPh;4j_@+9_2ym7 zP^S~Ne|c1}%1J^%RLWm{?e-$hfN@)H$Fc~ICq&Z$%Y}|zOv;`p1NtRfE1r|vE%IDQ z2^Lz82VCmx9x1x?I)Pb|tU)J{;0QqWIzd^zOZqG#nIiBbp2HwP&UB77zxyV@HtqO| zu~he?0&G)SpPv2~b!pGpK1e2IU`VdiJ5+$l*@fgNDBIDMdPLBCqa(0Z7u2YwB^yF^ zWw31hZGrL)js3N%-8iD;VbVURQBi=c+Yj4LZ%Xr^sn?J5WDF*?c0FgMvNc4NaMI>e zxfKAh3n&neUkI&QDROAbp*08K+J|Nbn;F}zh*vaE@aUe_ia_?R3^b12bK)+Ur-3xm2 zqOED3Dt7Fr)&IUNdh7Oh0%7GEASwaKCNs z-Jz_#lCAg~B7!wL7rdkP2%yu#3FypE)H$ln38&1A#Ck&g8>S=xG)Dpn2o~~7P#lc0=mXmvis8iw#SrM_U*TF;6*Sb$vz^U5>m_%ciIwgct#p%w@kzit^H;3n~+|0Or^3D2`gh9XsIRXfdyE!|l3fcumsK)Ws2m(`rIeZZi}gc_0K5;(?}(3DCS-D+^#8>ZJth6$>0wXS*(H zC&8jk#<$%Mu@$6J%LR^Hti&KHdra#y72a~*iG=v!L@%KdEI_YyASH8{cM2n%G@F%R znvbsA2SZofy6yU7;=_(T5q#Yp|0DisO$z!{!623U@tE@j#NtwYv93)-5A5OS6-$Zc zIdMQ93Ql2g5&umc?}(ELkd8lerbJ&kbe4g~Ik&>`jXW z+jd+Lv^z>$^&o0HdnLQEf6n&R9yE{e7xxKZH*A6|u0hHkOsQ|ajW0@k&ao%1Ig5sD zC34%l98Exm1>rUvR2su-?A|*2w*|O!t9h-{nJMcu+n;l93~DrM`7*0oxLNS9&?= zlAsO4VLJlCSM$!0s5VlPcX@XbiP9GBaKbu~gq5^@ABbPEOVQgl6W+CWl)7PBE6HBc z?u&99U%&&LOyNY!K)e)*h8rsmP?GP^a2JcN(vBhF?>4IMD@QMdk=KSUsL|o>+JbaDLLs zyLs++r7bvqtA#utT(@@x?%E}(&?V`e$Ooq6K7eU4RMjNYYH+O{xO6fYZ_kxqQQ&w0uLlgp-_#JQd$oe2rHBA1!SOTEP00}HWX(Sz>Hu*Hm{$F)t)GmGrX+_~*w5Aoi2f{=;q58QN#>8a;I zhQF*WweGr!?w$~UXKs6?{nFzi*UA4+b>D>P|WgP!UMU)-5dM!}!@J9?y`MgFq+!Na$gqhMKa8^}eAdJryCx zfkd;`GElBt$VDY;-XeV`XxIwz;+|XcbKwn(MSIRdKbBR~*9_%DCjwq-TR`rkp%HBm zgt;Tj^LQ{WM#@>R-nJ82k_`dMb#FnvPZ2g6b@X~FcgXicQO-d}NBn4F%$x+7N& zB^J|^e~{wApM(O7Cd3Qjt}A~)s`TKL6n#d(FVME7z`85LPCzFXT|rhTu^fOz;-M1K zeAto%CcCVtNwpp9IDn63Phu>#Q`yo?zq8draD;gi(tiD?-EA09XF@hOX5NC^zx6}a0SDM-yV^Dv*@c5{KXPmBa{ zX)*oH?_u%JoD>Ep(C~z!P~72Pud8_uxp=5&`tPH;;-z%A;*J$_AOISF zm%wr&-Le07z4=zP-+!q2|FF8gHS(hjC2kvu3Xl*xQPal5J z@|6P_gyO#fYdo};Kz*g3l{`3fTbFEmSE|w-dI(QvmV7>%D*!XH^kYNGdxF_C2K<2} zB;=3;r@e?{p;|C0?YZ|c(FMjJ;BT`rYp-=4viZTP%Nxb+Lntf-)E?;lMakW;6}$r= zxmIxAzA}8wQk|jw$IQ`x#_5`6`_W(h=k{Y4Yxc>X{)x8&S__Zbp<9D*n6g0)M`BarE){cF0yYrku-`WMf40vd2bxWm1x#bPKlc z&AQaWx23o^4*R%Waqh<(`xorly(e8hjfL*#9SYC9Ug#)9x?Tz@DVX6LZvzDL24%nmQk%l0m($eH6-KW=v_ z=dE%iWh@?diku3Y8Y`*LxvE=I*i?bWlSNG$&B|@fWy#;MbBUYIdvZg-O>E;3Iavxg zILX>x@g8&_HINROSK_pf(3O;r&1R$|@ve33-{>j+UGQ(&|L|mV%Ku#1%hR9 zymI`AEcF$Q=2=_L-n7e8H|(k9*DW~)xH9|u>JRSSF@H-xI0FR+a=tw{e#Lp?o@u_{ z(M|4qWI_FTf#AQ;v9b4?Ju-XM9@G17_;b#3qfpzM0+Ee|`lpMzT-}>-5&b5C%_*JR zwexPyJFr~MN1tCR_KBN$jV08{nYnDRzDPQ*K-8iwI%ppwx{f{UDEt}t__3@ z?|HTMsI7KaoDsl$A@Ek4%QlcjU#~!J+TN4;fc& zNA0pzr%&8m0BAs$zlmSU2ZH*$K|9U-uf9G)AcJ~Vo=#q~GuP?#xtnDk} zRd^g;u@z~ZxiPczlV*?VM^|k-Xd9~~*AL!R)w=KBL92T%hXt~#d`EP!FP@fs!F%*t z^HF=&d#~i5%k%5%@KC>m%&;g|fpDMZ4O3(5*Z3PJ4f3-(x3(qLbeQL$lq(?`|U8ZKJv|$RpAhP|f;=_zOSbhrHYN z*S$4+z(RG#D1+U|PRowS6W$swibum*f|pwGlJctq;El9T#A6|8FhGWK-y!&a|F zEuemqGKA~%rq8-5(d_8&uS({fh$1V4oV_@Bm%jg?`f@?@v+oWQ^tvJS@BHXy^&c-I zK$7jx|FrjKtZ~4`tY}@Jb!~Oh)@zIQfTTq%&=Lp{5IlyKG{2fh4lbnP4aeI7=?i2V zaXFkq1%S)Gwd1=SLzdx?_S{i_0+A`hz)3x}a`2MAF5YHNoslnHc&mHa*1PAd-bsn3 zrEjDw(L;FDPNcJu|7=Z{Y)3QPlD>(_*el5}o>d@uvF+KK#!TIWjlsOD;z<}QUXr|Dbti-<07c+at4&$^fl+S;A;0FIvlsj& zjbXxB+*qerrn%6GC4&uXb+qP#hs2?>;Clnx)VvbGP8HnoHF|l=(j>uw?6%^^V zks&U|hwpO9x@85r0CL@Yo*ws6{kgA^IC1(+-iqF z;Bdcd39W5#NPI@mQWKiN^GOR7Wo2rv#~W>%=ye?f-tm@Xe1xo+m6b#+lq~sNQeuBx z{aTWcUXZmi6}Lc6YbyGTb?O>x)yCo{F5gEnE*8#KM@KAmu^mTzPJm;QvM|V9LyjI4 zd8M4xy37d}#ATIrT=J){`;XXx=yu1S7MMI||4M5%KAe&k3Am%MC_3^m^kfwW8&W`0 zE{E+g+Zqy|L$GVzypHH#P|})AYNeufBG9}2r*%g+#JqUQoqT6pi80+=`Y7d55@>ks2D%jSXG?(*$ObNqsT z(dvF)Vtm}5(N9$TMnEL8f>iR9Raoe*Tl_;s^QHw#x;^v0aNAybZqc10M0r3HAo}`= zC|mC!ZUDJ=P%hdFoky%UNZOU&vh{{ZtN9rLJx;GAB{w=QV6@>SjP&}i2|UC_$0TCM zaD7i^<7W5IUMrJnEk;%Cu5v1hl$%hVBa#pcBKB(fyaGDwxJGEU2! zNOq)$CsK00wFRCz6`4{SlUg~pM=6u>$Ae3vy=jd<=`!~MNJ5-Mkqjlzj!W9s>sc;X zbNQY~(DHAJr|jcH^>NwJG2YNAE2 zJvuxJBGpDlOhYYmH8QLGPT%q^8caj4nLw05O1WDo}TP_T|QVY@)gCf zunc*Sq;G0RQM=lEKs->=jB(C1VTaw49W_gqYMnSQ1vQsA{LyU&-07x7PWp{h8WN1h z`$>Df{gCbTCycsEDKhhlXLqE>_9W|b>Ng26-4;fir&woxcp;oi^5 z$%(A9kS+hn_u5Ab`}Tr>_+vlv-`V$0zhJ-p^Ix{r@AkWUuRVLc4UqiRx&%@{N>j|t zQK&*}l(zjy@N-$@J`^ES+8m|B0Ml9)i4BRNiUf1UCDNk2wM4h3p>OqJT0|VRLiEH< zF!30#+a-&LS*W={8eIaka8aUcNc~KZ(JsPHlTX|RnHG2ShP+@ju4-ZtC{zR~azf!m z!i0UwvB8n^EZh}i9!cm$WVRjm^AeO425UG$yYEq1C#SykoB-e)ze#Dd2VoKP=m=V* z+p&s>dtGcQTq{tJ)DwEQ1UA)Ew+EAUvp;KBhm@L2>03{5;Y5mGV8vIAPUp%s!< zE5@o)GEE00D60JjWRTS}7peLh8126XsE$nFq9(Q72+K}ibPUIq$;etG7beWiDTSar zo|^2HtD8Zr_e%nO~Pso)Q`-9e7)P z#iBmeDtfwqLduA33YWY`Z*6oEw%?!9Z@4yF4)7caxBwif0!)%L_SbP2#wDzrg(RUy zTIY14F3U%%QtP$Xm&GhVK{2w`gL%7ED+#mjI0oeyYD4dMN#lJev|-uHo`ohOu4MPg zQNnG{IV-K*75JQWL9#Bjb2wnZRKP;XpiS4Sc33MKM}q-&SqVx~xU+47I~y2)MMdBx z$D^TVuO!?`c1dZEb$U=AlX9MR`~D3n zu7Y@jAZbed<3%J7=uQ1zma>_Y@~#SuK+lvGfN!?@B>`;pp$EM#*(Bl9BrMSYV3{v5u=@(j3T|?Nud=G&fqGyWYHgc_7##`bWX< zqOc?cJEC8}F|KpU7yxKoreN_d$;>zjXPSqy_?XPTit24@TeJciWJ*%JL% zhACSWu#mK{G0{AR1zVdaApjOh!$_cYML==cUw1)zxXNo9FXJgoQD=HX0h_eFD5GLe z{9F?7gg1N8wE8#I+jhW^z~hjfBX$0P0L!7~@XP(8#*;JZS)th96lIc9UnB~&<0*DtEh*|sm$ZvT8Ab!~8-+PXoby{&aG#og~`AB0X?uCF<6fyKpAmh5f;Iih1G^Pnr+EC+jNyo(J znSkg*b9x|9a3W(Y(-pXB$%I5y-kL z0JkN;jpv2BFr1V_rf>T*Zu_<@dH)Cgo9>hiTQ+}FJc)+}5XuRg2^lgI)OHDLq~WgQ zj`WE5^0=0_4ap`-5D3Z&1ZM4vlBW|gZq3&?hiw@!o?Rc1AA3wnUtGilOB`MAl#uW z9;cW9+nDie&&KnT?8G;TK2rnC`ilLw01QBcxRH0-wpsr0kJ!gAP1~i9f80L)*3Uy0 zec@B~>dC5o`32#CkN=qc_z!>F-eWHaxO~EX*X_D|x8Hs9^)^8A-M%aP zgCNLDLc$#PEqNTFYDlnQ9megv$Y)%?vCw3yJU|(WNF>gk7XqO~I^3K93HxBpW=u>^ z3Gks5d{0amlpq^b#l)fxg-9ZV(|~%P5qFGr-%qH8fY?NnKj=%iQk;m|B%CTAIE6CD zUbv`25Ws;EQL*|Y3qW8c-xqQf@lqA_b*Vz!hX@>Mbr6_K*ws~msDf*&;gkTL2`Ysk zxDV71d^#k>Mo4fnh_q@{jHqa&)9M$MBN0xZ`vc7b3A|h`5RMkMrCi16>zb)sBBnnl zVL^ZxbwKv}V^)>L6qN-EBa!HgMA|zV2j$N=uGVGGOLNJ5G@UiGr{6lZFRNj%JMP*^ zXb$jv^mQ+aY*UH@FVvdWXjak@3*cA^go?*AQkQJMY|?X$H7lmzSTZMwAn1s*?gF;m zo)Rf7h~Xu0C7cQPsu3fgd4Zu11ls&A6@7Xx;Bj5|Qx61%6pRMk=?E10Zev38EMP0f zX$Mla8_C;BQc6ZZrhV+$#sFWE*;AQKi#;rOmlwtC^sQNsS*9dm9q}F5IqZ&EwB4}7 zPTne&xXU&gC`K$KaEb+i5S|&VxqKBQ&O7MJ66(fWaOzhoF&pfqEqYjYSx@`xP20JX zwnif%pz7O6Q+8V~A&}K~%zvddW_bxga@~-Yxl&1Ko;4l`ANGXT+KXDtS+^!!B~O4_ zw3O03p;(SJYa5!W^ZJx6JK|IDgXLvCAtFgQPa$`dJHef|bf4*mD$=A!KhXC?Fh~V! z_D9EM5rBIFwn6<~!qv=PGsk&l?F%kT`Lx|T2tGd$58M?!P}&{ypes5iimIgbjcctD z*)G@m8WF#>y|KW>Kt3lZJT_b7cf2Jwmt!r7Ep)6doj zWcvZp@3f1{!ApW--13USYH>_0X0Q}}Y*~sz3d{<; zob(E|;Vp=V0T*lr6*b0)R)JL7W%VcK_%g3i;az)0EnifN)U1Ig_QVq@ttZ70v8dPl zf}|Jo$##qAUB8i9e_VZXh3)ZrsZU6=i}^bieV>3=R))bz!}?#@c3UPGG^G$}3x-w~ zyk~piWBLvm zh{uK#Dy$VL%dcoGS$ziI_uc$X+I5WuXvk4lz$Sg4^QGFO6#=AxjKvAjM%t1BDI#S7 zfJHqsquGwwuHFp@CfX;XxkDb%0v;{i%h6+8{|(8|hGYh*%cBD47(#f6Li!*5XIuIY z?*Wp3^s_%_e^tPkzAz6I%bWAoEEB0}y`y%$J0WE&{%j^~Z8&GINzRA$M%s|Hu-DY1 z2L)zlJ$zR?q8EV{24 zJeGI@nI{6l@ow&_*W>+TH-FWxs93Uw9RqwA~H|^+r-XkB*2!L$2 zrfs)3DP%&)2d#u?m}=O>1W_Lg53oyODE-X1pdx~ovzdmC6;CWNBZDe4)LN2p>%_*A z75k^b(6@~tIeGO{_FKR8Tlf6{M&7!?zWk|spMUDh-}s#CyZ!FI>urGKe_uUxDRBwH z!foD@pd$DxsC9)AVquX*sOPHSettM^Pl{Nuf?%oxZ(ImGA^U#c2?Pj~l<5;9BTj1o zXdB&xV`4}bB}bh0Bqi`;C$d~TYqn!nYf6YnXa$9y_aw;J@Zdn#D665YAa7X&opveJ zu>gvJ11CI~_B~loqiVG5T}n}FDM{qU2EeLXc|m{z5k%26gdO=0uv|Z@pBcRe0n17C z8zM%Wjbj2}QvxZXcY6chf|!QwkScCLwb@WRNj+DP03-P91P_r&hVaU0zv&b|@g>_* z?05C~N5w#wyxZ<5B_4+t{dcQhbFvbJ@;hQG2?g2y68b@>I8a`7wMIK!oHCHw*F99v zBr06USmVYDA-I+xSrow=>fMxnzbu7p=ttNY8{oc(GqWn=v% z%eKVGt+LB1`bO&!YsfOk`rEK22UtVhuI+WE?US7c>{3(8x!o67$;%p_uvoKV`;9T% z8O%z!7X&bKn&E*&Nlglr6K4eRA~M4IBq^%LJE50!?pxjkr^MNdriRXof>w9+ z3>%mzA&#U|iJKyHqyy&|{djoCfyX0(msPdKILEy`%}v?P1vcH$T29iCQ;3)oQk-AW zPgt`7@E+GsPBbiI)6Jp_*cH_=p9lVw_EDN{{p;->A>h&DXv-geG}#- zG|$^7cKpS*U29x$^q%W!PWuAIgMxkCF01vV){j#Bp6z&J;;Vu^r2kH*c3j3$Ja2F+ z1`M7K-0OfIJdb3@ASMq$P|{jpnNxg_J^`${;&-;hKdW9%s@EacN70b_9a67nBpY&C z6XHowtmA<#7og2C?QM?=KFD6JLx7+YjZE_Bbz$M1T z?}?AYgN$TqQSx@iKCNeG)rVrLxE={xlw09_jFn=BIR zO4c;HNxSY{vgbtEJG!qZ<%MyA0RdEN$_Rw-F)*kd&xuWrpyQo?$-m&d9S;k9vGHE* zWbAtDoUQhYqNFsGr4{QqFo{lFAFgN|Nqfv*bvpzkqrBB$)@LR09&wgL;>ak{3}n?? zpY`kZTyfRrQ1X{Tq!PJ>_=!8z&>3nSl0EYZS{LMJD`e#5NuCSXFCC9k$A9~v*8j5C z+W^U5-aNL~_aCufMU&MS*vr)?ELss`bb9tjwU60+^R7$tn+jI!(I!W;vSO%dk?x_5 zhjwkzUlZ}v#5l6GwRoUe6lb{IHcyjyEVBG}BDF_AY!X&PvX?|~Fm$ad%e4KFgx^Ez z4VE4EoQP;TQa8BqO1ht;XeVm7D?(xOVO+!lWa$azFGyj|h=jKFM)g^(@GnGxC_?ZS zU~K)|5y8&rySOYdwnD~qhg6k>9exriX?-^hn3B~8`tK=p(LX8#?jO1)VsG_*odf3zNi0uuH;h$)IOL`vyV_yBi!k}CsN173u zToug@3Fjt;Th1%i5(xFB*hwKyU|wi0Cg72CCp~)G5{$LpDBI$qfKNjVcU4yP7K!5Y z)keVX9xm8%y()97*z5hr1(eR&&q{#3$IDA# zG&J&k`#!PvWvQ`3RtQMSM?hpXj=S*Qim#l4Onw& z{Z0OiH$`h1wKuN*SM>H(amoq+K>UV>BOuU$LPpU+g9yx3^=Lu&Cp1<96Dgoa0X9x+ zQ5JzyKrY>RQ?#vT9*~V2j|hAWJOOI88StH_uF;^v@1n*{=|<{x3@t0+jFMri;;R}9 zA$!aK3TDUSEz|Z}`gBSCnNc6k>Gw%JL+sWm{cUBGT=gFPn-_nxtr64MS>?NW zf|LVzc?Y`Nmgz!(`x3cN-xMVkKCwe!VQ3%yr>Bpx-peqhf$eO}MC)w`wx zC3s*_GVladj?Zf@@oVjhmnhe_;@@_u2nbLtAExZfy$@Q>m$K3p@|| zkTcFm=!NXT2L~Tw0VB@9M?hg( zbH{c#acxi;X~am42nQpJwD?+gB&FxTBK|de6C?Q;wPTNP&~f{+1D+Yte^>NAD(Ucz zEt{XSACyujw(OjL)3Tw8D?Yk5Jnw+jxaNxyB1IVGlxFmjgJ&nsd(L5czMIosL=v*C z_PTdj<0It+TM)=yA_Am6_ck8dPbioxD%s_9FlHarX*RvVT zFGdRYlY-$3n)~0?H(8BlPb+n(R>y}&F8LeVH?tZ=HYuY<0(_&pXn&wl%<2Ay*7w9w zT1?MlhyZqHHHMsR4(IHp)+Jjguep?K5GaGX5N=ySkRz(G zj98weJVK-#TY`}Z*19DYNQwZk9=R8QL7*qX5mH1U)P`9J$%pK>^%UvXuuBN%q?jKT z4*Sjk03y-xtbjlPDKHKvScr)rk=um7?*fY0Gya<1OGp@A6^`*id^x`?%dV+sT59`P z&j&;tSY7O$iXcTyYE@4k3k=Dk88#iEax&;x;0wF9tRB6h_E7ZW`j)--n#TEAS(ezt zPwVe5sxL8rMl&F_ukQdCU(nAJ>I0Qdu@)h|V2dM$Bv!+U?x;_Q`j(MM?GOB%ey3D* z(+N4EssS6jvbxqZi_8hcir`MDH=7y3o*U`sOri1M7*YSkaNKU7~< z=&ckbuH9GtIkk^vG_E#97C@kIHHyo*&RlpfoWsaZ-5Tzldb?HSDm8<<$eSoKx$1tWuwh|%H{0;TRqs)unv^=#%aq;co< zrnFoAfvBaWH0pC9Y0 z54pZu%dyO8%aT$EUmAWuN_1MXBI~>exU;{a`$-pYQ|~>e`D0Gt=PjSi$3$+8#&TP4 zd`W*{gSm7N!qKt%eG);i)D#kM8cIGe)2lZ)}&7I|;UynIrG9I)cy9m{qOE!1ck)o@Xm z>w-&o4(G#Ky=cV}eKvK2ZkrpUYuNMcusdOuVP1Wsn)i|3Qqu@4>T}#}$&q`AM3St^ z>~Pg7bAS}|iT@UGNa?kT)_7BYZ;LY7nEOqC+b#+Gu$`chs8wJ^++}elr^;<_**>GU zUDQ}Gc#t=|1DU~G!~6KTvECQeI>D9j+}HGj@mTUPOEe>*dA!bT%`1RwUeb{M1A_35 zK>NQb@C6|G9|TAaG$#+~8@3n;Om3+!``)DHx*?s=u#SKU+hrJP%UYXh{h$*7;V5`% z74;W-8^zn5xgMt_#_zlnjs3vY^SF@d0|2b~E?#+w8I1Y`` zDkZLGUK0SIWB{P&t|x$_Hh7+SfG+_f%;{apM&5l%b1K3bbnFrWp_bZ z*BPzMIUe>>YtLc{t(f$0HYU333#gsU+wXLq&~pbikvp)&QpkR3f0U{9Tg8z4Nx0qy zNd9`RCab3F0uX;|_^`zLKod~4Xh6a%*>Nb0;LzrA$Tqw4ZZ8(VFhUQ&b0pFruV;-tL6H@Lgniuwsh52CSkHFVgQC45k+LMh zL>PlIH~plTK|n(bgR-bgfRgI~i-j2+QqU0pkbwqMv9`xf*ZL~5m-ex!m=!< zeU~B{rKqM{3irCcS@Xv<*RrpDDItBn;c>J!q49BaZXm%*c1pZ0F^SWxMuFoV3pT93 zgnq?1SeV4dxWazw1q7d$FeDELd$Vg|wC&-@EqhmOE$a!MgDDZ>Ff3bN05B}0h}M#1 zJ+45N#)tBx$aX~k0>~JxivrMh2zRyv2zDD+4_Q0BR^4IL?V%>(678Im*VytNf?8%< zgUCMtTfLubp$FA5>s5VMiBp|$NzU#_d2!;PptS&qvL1L7fJQE^VwjNyF2FcQTgS(0 z)O@Mmle!o05ZeYE!zNoKw9wG~k)HZKWZT3S+h?89!-amNmO1}(PP7B?!`@=kk3+!F z5*Fc`8X?{%^0)9VY*N5rOz&geX%lY?eIOtd;6&gY%gwx^^r0&hAHoWS2bg7TIembu z9Yuh5g!P?d>}tQSzuD~JG!g1lYl6Ei~v@6W!0N;^iJ#$_BXES1pKOgqO@`Dljsk6D|rWQT|M=9Mc*MC z2o83o2m&Oq3K*xtFho}Uk_(KKN#KA7Cp2dy>@R7KIE^#16)FAC%=+qM2ySts99KW) z)OTnSzT;>)#tGXKcqi#WTr=^4ej^n0NMqCp^*@4R*`|RL1@-hib4EBLJO88;$8&}9 zg9o5@cS=I{63EV04zUXlq;6RBgX89vGLD9$+q>p(<2mSADkXb5F2xk-35Zl(z#%|@ zlT=VD%9{9|mc~xr8v-F`1YfA|%C-%P4EY5Jp7gg|8vk5eN?2{NEjJkAn}z>EZre_9 zQoRRGxbqY0EAnOp>TkY>l#g z1^}4=xawzg+GP~7fJcovCpJaqfb>RKcM}IlvNBK7J?$h-K{8j(x^{c4m)4!4tz;p; zH2`)bHQ&&xe!FK(Ax{Y8MZY39FkTDN706w-eRBipJ+|Sv8a=n=-s-}=3GqqK3PIgF zZT3rtfj?baHh+CZB!%1cuxGEfCPe~4dqoRc5>p_M2)27`Kl~L zL9?1>k`(*Bm^*G*ug7hpGw-}4zz4tw)I|a_zGr3pg?PnPz4m>^+36@afeWGu6GGNMDhq+ za#XS9)_22CX*6BY)4;`5;G#yU(j&krbh@eMQMXz36CkqZv#AzlVKow>kP!Sqy^Ux3 zqVgTl;m9q$?M>@>T=kTHNZD8YmfB8hOj1&MC)*|jsZUE0Eod!q|3gJbvNT7QU{8Hc zXpV>>IdVs<&vVRCZ`$fiTc;m$<0e3Rw=*GyI*LPs#>s@hIM{WcSG!Mmt2Psr!V5~M z_Xp}V^8o}5Xl$ck!3A;p1az&wt!i%YQeinFPYU`RVUBMC4=nO!-u>R!T=zslnqAQn zJbfr*h!#w1rGrvHOYl99oQ?| z3z`oBpiJ52vH(O-!-(MR?b@U}X~8jEKp%jlE;_s+DS!b%RwPmc;4M1QC`KDEY#kh0 zhC+vl(aDazrl6$gWTaR};E_JE#e=ZGg^%ZHdo0$K@p#n6QAQQvq>FgHf2^Cd?l!}nQZy{)8q7?WPY zJI?*9q7cpz7Gz-H`D3imC|hJ0BrmJokr{zs2&l}V13Xou9JGLT00VPD?3QLrZ^Y9M z;3Kw*z-;k|o@OhDBNc#ZHdCQvWGQO}Z*oGDTv-I`0*TRw%nMttl<>F0u!ceR_bN;_+TPDerw=O=sB;8~8Du>exjGA%Q~icN>5R017$Z0S`^ zGHJ5N<^Ko*P7B}E9=)%v zg~P!&I+80T?328W06janq0A}>xEj>^BB%xfx{l`Rl98eMD3iH3Qr2G5_C&8&AY7?TWg6mn@M}A z5wc*Lk-10>^^J4<>>+D*smq`~v#o?e19W^rZ{oZaA`DN=Y0@RY5{ezg511g1g1vE+ z5ucK?fVtzuk^{^d0Wlvt>OT+%K!z6}uV=t!mSD| zA`o8C+!poZ$g?7_smW>!Y$Ct_;BTnM6KWR=8Q1SC8jDur-khqRQt-Ijuc=2fdK&?w zSnLSFvH;eWMt}%svus_@q2BQfux-bQH^4Np0eBhM4gq{Xuk3CEg78GuwYIlCQoykt z=&@Rw(?bE4oW?cU5{35hun6$LUM?6RiNW=IOYNYJz09$ z_JL79=l1ZTT1=>Q0u@^$t57Wvhjr20u*JYF%j89|A&K!OTLB2;k#REX?Mn`D_+BQ1 z6b6p_7xWiWgE_&fCB`hPm5lkwfPst{w!~ON0R@c%4+_d0d!DUG4&9=p;WNPI$P<>f zSN);{H>vbBXIcmN2v7#-b@5QyLkoX!+Pq>~)>zf*pWL=D*B{k-YObU-@eQV9Eti>- zlnHC?CF_O6#ge5x8FTOiJV`7LN-cG4v$1uT`8gojblaP8!S+RrNYV3)K2?L^`hnvEwpprv&Z2{+I~LFO3k3zA6Q$xq zghx2QfI;#v{hhb+ko+G5qpe(&eL7H9PxAJH_n-p^oUEhD8D2`>MD7+0OQ@az)nfG6 zRm~0uDNcwHh{pqI#Fl+lJkAkm4$HF@<9r!v4a&z0QE@+jAp*GXs?80Jo%D?*(G}bJ z0KQq#Bt|11zV^LjW609cv51I$Uizk>=PPcD3J>wfXd7*|5ntr1H$b?s;2e=#=s^H6 z9_W@$X+GKR$^>dQtsgx2#8Yv!8a+i$<{4*R)Ya>R3}6Bovg&J5-;8{PfUlccdCUxG zlVT{ylrg;#Fod7$RqwKS90eDflP3t?$7rIi*~o>)t|M8C0G_TtpEo0$pIF)=un29CXcod6nEXW2yXLLr^NTV(=H&36Fh5T z8Vb!NgH3Ds9F3(|mV~H?YFzywu$Dl?ExqYw1fv#`EenJw;OY1E-$^Y$yK;RYe(WEF z)wl>3tED1_UzEi+sf9!!wgkdZVr)I-J(OR=31$#1D(h2XtB2IabL#h!?i>@L11|6_ z;NjpT#JInu7Cc+`mTg1U0t#ak=o^y|oUmyLPgF5Xuq%*vE3iCL$_QD#jjbT8rU~^O z%YZSmwL|X_ngGF9rSi3mPN6bSxGfR5*c>QF_QXNfC~WLxJW$wW^x-+Zod^cDyEeom z0I*{*AHp7&I$-Rs?jsI-v=849qt_%jVwyAZJD7dNKT@B@CCFe8loq)yQtnhlMo)xv zFN!cFP(sRlB7+WPE#C1<_IK3V$Lvw{6j$zXv%L&Z5skq_q>gmsG3`8HWWprNsaCD_l$c1`A@4$r& z0NJ?r85SJgpOJTlU|pgBWJ_wD5Tt;hqQ*U^*^26&j1`XnNAVdCpbsj7Hb=rTAz(x} zH=+?wM^e4eS`JE98|G}wBf^D%@FR7${K;SZ)#6DqNo|iD4@mDRG?6|b! zLMnFH%B$~F>Mb=m;4ncD(R?}t72_4n>6?sxXL}Ub&T(IgU$S+HuK+dDbOIcgJ#)d4 zNBDfhA6Ku~2p$FN0!El+=!N;croWySRV-0Y`LgeEQSv3*VOk@K=Ky@ zkEoZsHXGj%$QroZ8u*ZZPqh2Hl%c?3b}$wgv`bxfo>Q9Pf@nk_}zMTQUC!S<$k0^RQ(?%`J9!3u%iEM z!Xa7>pij~smO>u|l(QY~Ilu`2Iv@%-kSGuo!8siu9my_3 zTXTp}kL;b5RNyBb7^MLqHRpq@%|gq5xfXUnIh~~ zEXQXjoGr#S1Wsq7;x%Csz64+4B12&l(B<;$hrxIqTk5RaM0np>X`pP5V6p!X#zeT2 z{0NtMQLT+Rq8a6MpshQ)m)H{o2UZ)={N%~tcvDSE4GWf_LH5RRV!kN0fRMr>2$mDq z76KJSIjuLa$w8z6LixI%*W&i{zD-#Z1iWoK?+t{^$@j15{yTc>iu%cEoI}0oiog!8 zQ(TRg#59mUxofG*!06?t2t6LWOY<%m(``>R&d9w#4{-r_5u{I{_F# zv6pq{nuI)#I}{ZzI8+w-UhuRu^@H_^TN?YFZ7R?;;0~sR@m^O?u~AS~Tv(rJ^#Mzb zd)VWo6$Z_|G8dL6)&Q<@PBmRti$vMrMkU}31w$VZLTurDUEg2UojI>-Usj8A>hWlg zn79epC?uv03knizaW4kJ8?*l;+WN0_H$IOw-S-uJUQlmBQUWb8YSp8HQAq#^<-mNz zTo0GUVtCLf6bJ(op8$x!tHRvkH9J#X0$Rc2wW_|bj_g|_fB*{Tbq}Y1R-`5na+Ch9o|97W z@Xts+N!TL10B3lXPIUimI^=Ao|-9UEp#iM^DC$1s@FK{Y^a; zR__1+1i2zCZ+cVq$NFRxGE4Sg07TxtsJ9aQ3thk$s96`Uqp>oV2y{-Jp&am3Fh)QJ zIdDQfQO7`*v6Rjo|DyeS-B;B3>D5iWpG0N_Uy4Z=L5Kfdb3!gvyp@1zyp?z-o^km< zNWLX?BwAk8ssjAsRpxtF^GGWk+D}OiVaaZ4gurL`mMAE~CbN1fkSKh|9SH!1W1AcLlF}Ag)Dd}YvHkoozJdWIxhpEDVdiXSoC9)7J5<;pct`ewPyW8 zJg?N#5f7F0b}m;z=1})Q2Ls&@cCz4v-K-@)rXSXjP7^P;9tV`hkv&u|dWuNubK2Xb-u;W(U9} z>BG^$g=4qaWT) zLt_H|keOH2E}JvF5qXXAPW&BOh7)R3GKRmddJpKGqccY@sHf!X9g7{fxt;(&x)`(dX>}?pSVCpvMFweNI;kr zyu#8U_!X~4I#hAnEPItPOZ93l<0UDFUlJLPT##Bg{YzX5?2Ouy^~ed>+z|L7?5iNKgqyjf_Qu3KS@(Sn zHq$dwFH*n-e@_A#LoF!5I|583tKxLZB`rT-V$Yk9pc8EJgElTD!I3-XHip&3urK@b zj)V&87srt)B_9`4urEYBM3GNIPP@q7kvh zGL#}A8|ml8I{(@~(z-0D4U}b0|6>~qa_)=Zi(<--SdM*kd`1FDva(R0Yy)5+a&(Xc zN>kpkn{U7nN7%?o0`oG@5Ial*m?78^MT}DC#1VV$pmFB=VU6R0=9bXpb3Qw%>|tyD z)h0=zHq{oQ12xPZG!_xZFiCBPX9=+Qn%dz2HsgL)b3U$_Lfvj?WJC?2>hPW{%9;e8 zphy9%TcW?a5>{Q&5sC+k0MF8z<_JrJEiHC~S%2KmJmXTl>-S~dOA;sm8kvCc(!8Yp zkoR}oi4>9$$>!4d5IT700O$lM9;&B!rvOT{OWehR)*UcH3<*jMC^n%{-~&VmUegN2uN*JHuf@u7fc&4bnF(>D}JI-2W&zuba=Ni^Kb9!!DU=aUX%y|e& zw3bpkgqoX1Lk3U!4Cn${pq_A3k2aIwH9lqE8ow3bh5*4m{mvRXua*AA-F?%|ogl(M z&kiwqINFM*V^jtnew@*h7&Xi>9s#_Y5&aM042Z;2cE^X}u#!VIt1)4DA_Gt?Y}s*o z3h=Qgnjr|2wFIY4!MeCgAL4n~R=Kb>vvaJ8YgXR^AoLjal z|6|L>@-ir#Z3dvTu{`ciKalHt1qVKT%8>$y2gRb zgTTPK?YqamJ-`2mRq7=C%LweB*P0M3hqn#6h72UzuliN*o8Qt(cl24LZed~w8@|hv z#Q7jjTcKhxh)|Ds*=}ili<(csKcI?)jCgr!TI*jQ9=;Vr@_%6qKP)pS!%<@WU%+V~ zMkk-`EFMA816w0b9_lmtgp7g5cn?KhiLAk6!qIwS4T*n47feezbN-5LM)bp7tt>|? zZtDp)_BiE&{QR9^!w!8Jh5B|*-{J9u&yW>t@DS*VvCFA3XAFwoN#Q_@7H7erBU)kx z#{|0AE<>gehlKy-LH|uxNRgo5Lx0l#z{fitR3Dm7$E~Yh75&Q?Sbye=@f9S)^U<>2 zD4rOyT@Liav>wS1VxRvn0Ed|1dOg6;(phh>*I zjjis~ux4Wgamb%3*e_p^5%?z@kc@nvr`ODwd5a}WO|q0-%cK!{1d6gNChJYa$!sA! zQbNcCMv=FG>Y!we%a_Dp>6T*}J>b%iCrc7vG2hC5Q9#CQ%HOh#K!#J)5)1{Q{;4r) zUmQDkCm;mDPr7#^xl6$Vmq-lv<+_%dK-Ak(WkvOi#7i8J#Th%Yp?f0KJt+qcPPUw- z8TL8i#%cMQ!=@uNOo{(3r^LyjLFD%}@0?nZV9s6{Ml-if(0+jzvPtKD9)f` zAJd5F6Vc?4#5W{lMtksV7I0nEY%EJHY-j~E-Fkl71;s6B?MePLCXzS3CP9D=gg_&}?nR9Y@0rWCDj-L~Htha+ z(cj~0ooy(ha@dC9RM52E#hMZCu;{I74u~tk!7M3Ums;R8e$81NSH?6sy z*E5``VT**=A%MuHzGLg?OqKc})3&C~STcn#BsI9VnT=TcAr|=8_ zaHjknDG!1IiNN8QC+$diXe?NPmvrB<=8mxdKJXSmhaXWt-N`of>6~Z_kTj*YlXY}T z-vb5#V0Z|DVR+Hlm!}O!@9I4s6aXpw!lu_V!$tLr4WzI=Bqej%yQz8ElF%lIylU6A zQn=l@drIptIu1Fo1GaZHZ~|K~Ht?3hAMh#j#>q5*+bC?=H`18J0VKK?9$|ZyBe~D{ zqb;HjslNh?;Oop^FZy_ z%-(D*Swr)Ie`=H>0B^+TG}n4{*4o(zcLC}*-ii@@w z8%N%Y-l1j^Bg=_+`=lu7uA?-8@c|pXs8jwc-ijYeRxi3jty|?OSB8Pmd%TdldC7P3zBG&g)1r8T&0oL*MKbYl00oxy{dw<}-bt&Z9Q{k))6$ z^H5N&q0tVsuBm<7?N6FFk6Amqe(l$O&HemIyuA7OdFaaYuYK`1zTf<+IN;xX-PT`8 zI%%({Sv%*nSo=cK0w#Xd)xsg|JZ`i7!Ia(Z zPl>r&Ru3fX{n-~?mC4t84~i&jvSyC7Yzq=9TW$-9q){&oO7^k9H7Tztk@1No2e`+I zHWl8IqAl3&5GQ+5DEFGI)`#rf-WwWYQi87Qga~+bsvu=3=6<^OE)iqZih+sB?2vb(R*A^B^-lMj$D(fP!mY$yX)*X7^5*xp!&z^Dtpajf~@?T62*X@ql zgCUnipxr>so)s*4kHq2cNFe@YiFayF@cn#b)g4WIbNGPG2=I+!S$cM7uq*{7Os}zU zUGpzG215}eWWF@KWRD5-af$~I!6n)LSO74~RC?ErDj5e5aM|CIa9L5G0S+$==k3GZ zoAx#DA$ynXV_e6a&S8#j;b;_dl1-FTP)&g`6wAfHUB{%v485u6S)V;`+RYCj4_cTJ zcpysTj|PkOAqgi6`yrm59tP}3^gHRK0c`XM!2sAi^2VL@`ogee9|~+3#~QJ|uBeSm z-iDO|d#>=H3)0n^-4ZQ5BunV7+PxgPYL$Lo^E_|I>gR*HpMBiC#Ppl~itgCe7;5%4 zd(e&Zy6#)iJ$M6gd9yW%CyQO`qha0&zc~pgw)FrMoWdgIA2})R3M6ylEH^w5HBE^3 zHe5VXV2F4d*j#$U?lzVjodRYkJCA2#D!ywyS>iAHk7||y?z{Zgt_-K_qJF26^KFT_ z74@$s0lcqX-5BQFx^k=>KsY6S;$MyqSELA@2;3Ge_M{B(=R`El4XqL9y%Mr^4+S6^ zRviLfsi(5*0wLMhCHdT{lnZOc2`HYW?|?PN_SxZ_{WjReOSa44QH6h@-EZVwZ zFKQm2v^QmV6!kvxX0XL*wypjyu}vi&B;XmIA_(>k(f@+_ejxh0tnm?hazmEu1Nx3F zP=K_H9|6SpE&FF$gTJDFLC=hr5)o4}4qo-g?ZbhavY0b=@xK8KrEbXRLPSUfl?7Z8)=iKw=k*IGzZ|yhrp6JlpC+cf+Rvfr!?+v`>=$Cl9 z?jUTXrMCGkp%2NweqX@vAYrA2mI;?wY*J82GPH@COTy!;4dl;>IDge;K7O(Os8fi! zpkzSN?AgO7?BU^S`gU2$>83OIzCL_V0B^^_UPp3hQ8IyT1&&6G2c!s>lecWQMJ}6N z$vgp}p)Ayp+2@AuwvpyOpp2i}4y01YX;JzFJ;HJGC+#K4 zwTGRj?>EF-e_QV*`!)A+n&z%|-pQ_Q_2vEEtAa8!TKNOFr35{5SOO!kCVIttG9TE~ zYNu^iAOx8~K=IQuh(4}%tD+CKN9RPxBsY7)-f;aVG`BA!+nt6&*ZCAD5I=<1tlZ^9 zlt6o=V|TjCf`F?o_fGv-^O7Nth-Y8xKB+MY;)s85t6km;U2&)bV+wESRAkd`b_Zv!MxfBJ9P&`Svo3q{wdZwt^h-f0I^GzEeah`idOj{S4p#2Q{ z&uX+$9knYEwM52nX(^ zeJg&7H zi?DwBc>4QkJG}R_&u_c`-dH;K<~JG}JwqLG?mPYdbbNeHzqwAwc(yNGr|t7jH%EFu zbAEQ*%%>X{-)j!v8t1+K@m}7^=coPQ;_uVGo_=?F@7d?hUfg$oyZkQY`nG<(Z7uJ$ zfh+E`y{^{hbUx0ucmKS)HP$mO!>c}bw4~3VFFrf_4A*J@xM<_-^IYHVom{7%o%WOK zw9V5t&aSJYEB(%VpMFLg{Chfn?m2z#Y(JrA?mKPo?0C-p&F^Q&a{3OwgFnuWfzP?l z-g|o8|J~U+;qTMywC%H>-M{Xq?`17m@B2UJ*|Y7O{(g3@xz65m|2t0K&*%JpcHF1m zaq$_K8<(JVq+tE%lzwO1xNpJEmIXR8oKBxdj=^ilqlV-_;#3BRruzDqbvflJ-orfc zEbG~jOyl2ExdKghM9a`VZE!E&pOqV@vWqqt%URxZ_vka`g?moNb~?_p>w5b4X`fD? zJv$d%yyKhrT0ibNz2meG`nxdy-`Vcou}w}C?0EfGzOk04<8^K8$9<-L@Y!h}xK8`Q zbyi+}6RqC+j{csd8Ln?NFW>I^R&#TzBY0m=@1R|D&Dr1E>hoEha&}(n3m5mE{qD3~ zuG6_Z)iI|!$LWT9bH?xRLIQny?^)>XR44Kb^mh8b(=l^TI3~Rww&hDd{p|sX0}wwf z03igi{mFZPWZ8c7SAW5NkiUQH8Jqu+U$CEi>IM6iANquS;k*9sTVHPjB%k~I|K0q+ zj6P*TG;t7){_lK3QMCZ#2R^e9!N+qrcyJ zFCX6fp6jhPbh&ov%V|6J?hzvWru$F7a<|^Q|E+hPUT4P3_12j0eRlRe?(flG?)s+h z@3qBsw$JxpZ?*FdeRkKq&)@p&?0utuPG9rZ^;W-ixxKUdZunOHeyiR4*MN7QnVWC* zUU%uo&DbZe(!_(=jH6&x_?ipGuFZE`*E0{i)$Zwiy7=s^cb|EV%YA-tz20fg&;I?bzB|3%>GRXi zPOtmFd#CYm-T$t$*MH7?xm^3BU%%=1v)4O){;lri`gZqo-5UpK3C-ikHz1Jk*n4#7 z?$y8UzBBU3{jK?Mf1WmYD(gL9M*Hr1 z+dXIc`%TZ@`|cgvy#Knt9bNqSpY`m$2b}J^*T%hn-gdpM-TT^fpPjkE{XP2Y8^53Z zfQxxKbA8i1-n*o;eXHBWr9b~|0m<@@{;K`d>~Gt1_LKI5)As<$M}EeB=O?G_lRy0v z_8YiMmVeZK^%s87wtwY^>=X7~e)nw<$u|R%;JiL%FYq1_xQkm(|2{jBr=vbQ`qN1| zz5i?*Ts#jE-%}vp^q#ZNaGm}BI}yg|b=t=1JI-Eb`+D|0T&K_R^X=YswhgZP+u=I> z?EZVd)%C6J=ks^!Gyk6cetI$9cY6Nx=j?p&_xJRR>ujISUiW`~`u=yi_w4mf{rpz`4=>`vy%O?Jl{6QXPpH1MQw2 z!`bU>n`f`Hb8-K(r_Y>i_noe9^kE`@L4ag$1V~P9=o6m1|JnQd%=Mk#^{vLqb^7eL zd)I%C?l^7#{_CCkbM`v@{vM!w+nk>E?eseR?mM;lPS@FIPTM>?FYk2mJ^)G0E-rrm zJI0RO4^TexGrwa$c@L17K*}%t;Px5M#z*XDe&;7``jbCxzi2=8U48cx4xzmB^)^8A zh0pw?4TJ2j6K;0K(stMBz;(N`qV>D`laL%;gPv{~xt9n)`-XMSzo*1N8~T0N6=>7_ zAUby+UC?vH~MjZAMU;XUYjJs z`bHm4FW$p-+V-f$(Z%oloSl1~*Yy_7oxbn>cb{Ho+dca|>vP)1**=}Nb2>Nr+kMYx zqj7xWcdoO4pB)$1>395ao!)ci9qu~4=d?|((|gWdXXfFpb>hDJ-+TXc+W!6Raoyi1 zu6u32)t@u{b??3BHh$}Sen0yx?eX`w>euQ0_qTtxz0+s;j_aM;J^TCF>r8*&cJcYy zcJJNetW)?=-?{PK7LQw$fkQwxaxTBvwPpWXHR*Hd(QUtbiKI$^t03Jw0$nVbdB|F&vLw!Pf-->tDhFc51JM*q@Det(4 zzNkIDeDd)qvx?VgU|9iJUN=YD_dou|+0bGC%s{q*7VUid=)_FDRt zZPLiS?@rh3v>o2T`%nL-J@ntH4&pmL<{hV7Q{8X;KKfF_9wi0!1H9+{dE=r_r{lTz z{k;pv&H0rd`_GCY`4a<3zW8tdybT-b`SyvOTpL)vP;~*R!@g(1m}iZ4!t!G+YajM4 zIu$gpGjLJhp{cO-)|(cdidkdBvq(Y~#V}yKcE}PFW;^>iE5(mA_W^hOt=vsnYdAX5 z5RY8ilC{g@@f4Lj(K8|U0n!F=zM z+Q@PtVtOc0MW7`CQ5-d%N$k6G@`pzp535@wMHc9cB_jBIgUmh zmJuTnv`S450uf`ezQ*NQ*XQ7B*S#;3?bvZOZ4~$$ogEM>sTs~AWa2ejZ%*5MV%O2$ z%k_DCBz)Iuy^L{kgX4cYoe7gYVLgp266#uez`@3lCBrS(PPIce)`2CXEj#R%Eaww& z$k`$Y5cZ5%G|G`e5_M%QA3V10;f&2h_pI3oYaBy!NBp|J?fK(28QK%=5(wqno9zX= z99q+RJZtr_`bbmMaddt%;4~E(8Uhv$4QzlM5Vb^;1G~{$w3YCN<13P21p`C3#YwOt zCvysR!Yo)wJk{-U_9d)$3@siM7!CTi)g__O&S*PT-*b9|^&`c6r#m{ROMsgD3Hf%? z&uV04*Cv5#1fmZ7(CDb6I^{kKYW@e5*PpSu$hPCpYCj`ts=D#)_sK3?F*02GdWMV# znLx$KgQ0#%eqXXf3u+p=nm0}tk?4-~_cXp+{RO)a-w=(M3zS8-KrP`w$M*dRn+zXV zvln;4sa(({vKC&8736^!E3hD%MLc>~bi$44v_dIjRc&TMVjuKAOa88FrjidH`N8g>!Xg*V3 z^&UMmT)WiE8jFtRvC&jp;$4o}!Vhcyj4egCT|12~XXFxA2%or&tDNrPlv8{qY4+}i z%#UY9?BdDQyLR-&isrN8Xywhul07=MW`3t{t!luMvgvC3L5s$EF1%s4R#a~)mQ452 zDUyF2IQJ~4_tg8EGo+1p>abDJY?P(j1Ua+-^zU$h+-X=Q-Lj6zrs0q7=kyq-lM)1* ztMh{aBOs`mQ#BPw3o(C0R|H5FqGdbjZ~|!*U{A6!3MjBnXe)B}P#w724d{-XjfD=i zMjYrKov>u4M?A@q>{0z4=x$V4GG;NYDf)EwZ~waO9hYsQkh9%i`x| zb)*kC6~=K_`ru1od|opAWAS~BRWf`Sw`9C!mF6h75Ol;_k#(H=%;egR_f9&ZW&gxB z{ApVVZ%e+Awm|aF$$Y?{@4j1%?`rgB^-fas-O$`IC!|~N*&BledpNS8Dbw9aDj&&$_Cl(*qT(m^X)u20V<%yxXJ57sB66O%H`mQJHOo+!T zZ()~M$+H^=Gq#f1v^&)~TN&H1eoeG7D}JqXt-ddsDfaE)Fl*xzQg#Wg%tp(?b855F zxB5xg(o;R_9kg8lwU>z6#!k_#5p>e)i8oRMyVIDpmFy<$U?Pq+r;BNd+ycCb~$&|+QFnX%R#%*xg=qmv5R)Y zrjxt&wZ{8w%-^)>{ILzn)JZAWTyovMQh&dFZ1T%i*^k?i6zRFS4LjMOqGi#>lY91D zciomOU)OWE|? zv0d4?WE1|ngrtP82%fwI`$GxUu|s?M@Pqb!#m~F6%m35P_t}4w`m)_@T(HUEra)ia zo-03P4+dVhBLRS-n6)B-#Szv-^4KEk%T|3(3Z!n+=^cBc_OLDa*AZd*t|ul7X|z$h zkdaWY4voZf%h7B0LigSF-rV!HTQ0dHd(BM8inXC;>p^=W@}dNoh&Vm4e|7Q$_7|gH zbVu%XTau)@I9CsNf4y_rmhG;X(sQYt2QJ<$XqmC5{m#Mn+xI1(v3f%y+m8q!iWYjK z^z?W1)QW#i!Y5#bSjQ?7Aj}u2eNw`QdH8zmUG{{%V8e(6U?*)PL5ul!ZAE=LtW&a( zOdNHIx{gf>Y^`=zG_tEUlp@^r79D(m%KM@m=;*5#3jf%X(bUK zu31c=4pBL@iSVAi-hb2{OTJ>85(*rN2W%ixNzev8B3jAI zLUoI!;B5hhfYy$5S$Wa^j{BZW4?vQ!M8%Ecy4GsKZrXUPWzV+XV~^U4)(wRO<~U3U zC>tqc5^Is(>(CR}+!pv>W6NTy~gL`%?z9d6wMM{$bnVeGcHTG0Syk4dHP2S~d*b^XMh}?C+;+j2d z4@mw<2QRcLvNxol=5%dm#CSHEU7F+Z>Bt{0o9y|KNXP z-t?Tb+ldYS<>&3?zu&dV*p`JwE5CR20sCtYf7-go0vnP~6HoMP<7F8m*`})v_PL!8 ziXU#-?DT;hmQ!}OMRsM+R$|xepgmz!OV5tC?e&9)?3{nqB6&ob=tc7LweBNE;;oC* zYu4K0tOEImNGdtX);cYt0PWt8(Sj=86K|aJt_bSSiw-4ay}Fe1IXj=fX*bH3w0d`> zH$AKLIO^Jx88oIj9GxneN*&owjjX%N_MZ52b|Qlf@B={PTo*|y0Vqw)I0wFYeoV6B zXduukzV5aH_O9U_J8actfTr!m(s_4O_}4W*e=GL|+dP>NeJ$9f>~%W|_N}1%|3Ui! z`^Cc7t*f;-6gU|xmF@Z=DGLQT1*mu*z>qvu7w1>Smu9!BlAV&ZU$76`_eGzU!6uL_ zu-z1WA`<~7U$GC^NAu6P6KT8ENh`_-yWKnIj=X0=HTyS%kJ^7DxmOeD>H_AFfBt!U zSM=-7G4Yl9hip3Zx}^mufWtS3mu*FqH1S~1yrYJl>?UpE{W8MZnv1JF%U;$p2>foo z5*JO+*kbgSGk^i|`3HRagEu~4e{Si^_L<%9u|NCN^VU8P-#(c(@5-U=yd1Id<)*!M z{i0pExbBkfHD4A~|3Je0J2l(Bp0iXYZE)i-^5)`tLxD#*wnS_J+?vrL2T++a;eN-^6K#Myd$!d$UfklTw{T zZE1g$68;tMF);>dhh-7yUo0jvDUfK4BV9$YY{QR9{H{ret;4srDj4)-z3&4eu8@cq zqQ_H#6~OVcD|X2-L(M0L0@W0QVxcXym(;V9?z4yOHJ3Fu91(h#RnQ(%sBg(gF`pjF zYLW%cyAbL}dZs4Dc5Zmpc`=&Zn0h;ASG^1R?}^5`CL!p``KgB!ni-OEaSSnJUzGr- zG6Ct*rvdwSv>%SH--9N)#rZ`#qE&~rRA&u67YloWNMpZk-sJ;{qOa@ zBIp0rCYB1;5+&5O)RR%Ym{A5&(jT{FMZT_?xuCv~AB1!6Gl4aiQwA^A+F(U}A@k#y zo4d3|Kn7eAmz_v~kZ9`-&E#eE3t@&ePm&+9i*hgT!NWvabSeOmO6~Ld>%Z4L9C=yW z_Y?NKz9D(?52>H5DGAr`2atz^x%#w#CnRHGn8{EK?``}Cf? z`n9gVNvpoCv6B3KM*W~R$iBXHb&qs$RP_z@e?`x8A*?yF$}v~g)RPi))kL(W6f2~J z+Cv&CmKoPwZ^f!wlSB2E8c7_>CKm@eOUQc+gqYKK>JsjF7DzE25ff2YhqPi8QFufv zK}{ZtYP}+0{ll7%n}k((iQW*M;J53E-{)x$Eu~A; z=2Fdb9G7=6M?0d?|EPD7jT3(%Y4jGfc4R(=X5X#eaUUt+d4|K5OX#HD&#~_{{Y8pAc&)B`X`h45?>+y#h5sKPGH+SW9G0#6H$G>Zd*fPX zj+2J%a+V{&zRL;16)y~}eJp@k4@m|j?DxHo+KQ}ga{GM6f70;_{6Lmvc!8syWFVz_ z&=|RYG*8U>nr4Ec6%^8Yw^o2s6nKU>`c0k<(g+kd+OB?bn4816 zuZg}W02i_okNiiJZ;&JvJpy2EXm0Rj&ZtLEi9?=|%vtcd_K0^w^IFi|0oO+o#32Kb zPJgUtA9k}$wR3<`Oni9kK-7;7K556Tisj>3`+I@EA-nh$&F5X|iGV%reMEFlqP4dD zbKU>nsvnoV+cq)Wa8yk8?Yc*q{~4_)Y5k)PT;KheMeqy`T`o_8oWh( zf?Qy3kzE*UBq~H6;W2?)pY;XGb>BnYO*bD%l;`|K%{QJU-+olm|6Jg@qs=#mkJ+!Q z{SS$fNDZHnT&YRMkc(6yuf|mMsz$G!6~~;&K-DJC-YH&XVelY@KjS zGp#lQ>5zG|DYKP=$$~RqI@7KmNN3ip(~wjau-Mu!$=E)$+%mu;ihEpiCBV5CvY^y# zG^>$FUL35a?Pc#tyWm|{pY|;(5KEtmBCFM`aeL%43*zQH5TFoj zWD8-VI&aCY7N8_s=go?(KbN%pLz?Z*z<&QfJthnHu0;}3T0IH!b0UTA(0+dR`|bUa z+cuq(9o#}8QV-zRE(PASY^-X!q083hz-apisIsTOwZv!VoyS?tlr-cnNCSKq=F;GMnWOdK#@O! zY=@+$r=sJkdPp*Gidd0o2b&qI>?{6~h<<4QLag+-`dIRKvL%rdS3f-`@JSK2rQV|$ z$jAv(rS;zn>Q_etmt_PfRP{x#%gsFc2)ECir#@RL`_imtK`Xg)cvI8KhnP`t=Yej z#rKr%O90X|FE8o8Tk0YCIB#iwsE4tn9+0B`V`_uS7`SI%(RXB1eM0@GmIHmdtGA%! z0gTK6#SMyjBL%Mhl~^aFx%rY;)w-9YU`hWC5F+0NWe#F?Q+;|yp=g~ch>i=<^=$=q&MaD)EgSdl14Y_ZR@v|T5|Pq z^)DPb%5F=$lwc$IA3%!2Wb`wy`6lxOAdu?GfO^(gB3o~y7F1W`W%Hq@H;^-?pjFL? z53)92-LlNMfNm;mUQ2IENDkC@EgKQ%XO1kDmsPI8-aNiw;jUmzxM!7WN`}w-HRf%X z6KE#9#1pZK5P;k=nPh_O2}r>zc8s>p%2Gb*;4S zozOGCDS11})cSMwQ!*?T1vt`^lBi+d!W~M0;L{pvJ@cZA6UqEz^`hXaPy>KSba`97 zoYJS1Q(#nI*8Ts%zim(Ip0L)J6oRY~8DpvFJ0aObNlDt>)~H?)UEud2w+x_-VvuMv zG7rNq(*K0Q}SuSv7fuLuHM>z|2yLP?)fVCSkgpz2TeJd43?dgGC zJ$}#vovx+x9h(+^M0#xpN?-9W+r+VGZ+>XMe0`Lu6(I8Mvb8RK=tt~5>1+0xPra%Y zTD32~5Vhrx|Cs&w4}aX=BMJD)zyAsQUCL>F*WZ2X>+K$rzbWMA56%C=EvtV<0;wnl z7d$<>Efp{bTO!%DmaM}aPP3f+%T@*klh{)NpdEI;5 zrL-^jR5EUB{4w=vw7*@|dsg)ZEYpW28~{iV1MwH6BR)om=pAH0WX`CGgH?|Ly6cm0 zF0T2C+MX=Fk4T7+qWL8O6%-60N-U}Wn#(3TE%Bd@He5UnsR<6NREY+l`I_rp_bk

pUY`JMxuINRU6S&w+1s zS4Wauc{TmFPT8&wn~4h6-Hc!$Q;WPiP^8UMQe zTJh8N7)ns|bKs}cpPqYuQ~ZNEEDCUt7!H_5k*z)TKciLHR6ozDkMpvqp(Rql6T5*$ zO~%w)x_@7*`=IV7%PVz*Pz)^rU_|GRXk$_BliM|;o-hpsB&g;#1 z2q^J6sc$HuurA9VP=gfMa~y`z(6JxzKVwtx(;McJ<~=$Adzk(1b!+d7t{+ZYU@)-# zFAS{KO4`H>9#R_(WZjyPxMqBlKvlPdMrb7$5jB{6`x;ovIbA2Oc2SRU4LYB0W`>(O-vT@ zh%}3o?61m5B#$-Ky#biWKfFgsX`XR(q2IPePizh^>#xPoh7}XXZtejjE~%Xq|G{oX z{O~T9Wbd}$w%K6V7B!|&r)95po)D!3Y$15thJ}zVq5FCRmnbcJUeq;~u%iQ#dzQ^> zXkN9((LXn1US7uGtNYe@R$OvX^A^&)4APc~R?KQLcF6@*?%Gi~XN5%poAH=6k0doV zk8E$hV1Z`OGMR?OOG68u(@3RDk8g!sHRgDxXG7`blbyKT>0Ypqz~jZzx@F%NvE^4r zSz5o-md$_3>+K$rC-Di%3aGWs+Yn=AM1egq=TT9VsD%plEM4eWWJ*d%zyYf=c0QpA z6Qho2EVm@V$?qlE98>ySz$DP`+jK;PCv@kxHGu)y)Iyg5{f)JK+>`YjZdfuSWQx^a z*ZT&t$7Jm<;iAj8Es^TF%Am2hEWu#Q1*Z`-TW??m<8B8niv6Qftih%h0Y69IrKrcR zap18TL{`}}yYLd&=3M)uVt6PLF_)pu@l1RoCJT?qsN zG1=z84kr^I77!j2lFO5BoE$ee50^r#7LRou@S=>=nv~Lc-CqbCS}-U!>5IVhJ_37} zBplfZhj{5bwk~yM$mB|v*G;|cSsw>2<@yOOgHhR-A;)A@eUKWUytewwn6U=gnt=&X z*?78KK&*f#fCfvG6zja_$Sc?#31z|!Vfd1mGw+0;FE&m}ArvJ@sKw9|_yDX)z37KZ z!&neV>T%N73rtb_i2dg$)VHPNj+G+#3~IJAWZS6kfWSqmvANK;6R@#B%L-FuL6m~6 zB-DP;=Hpwk5RUC+h@U5|=T6jLEORWRxMmp(OF~rdpV8W&fRp-}(LHPtxLPzCcTGmo>V_yaOrxQQ2=|5wL`@$k?i2-thX2iXq~klCaizoEJoIW-E-fp{i|M@2v>@ zGM`kxr4Zn*zK5>Psg*gshpfTaglq+%6oXn1s)p9}*=UP_pwfu?I&}3q2z>ws1W9lQ@BxF@3u$Zl*%RyOR8NEFz{?l_?{c)Sp+AgLv-{Rk0y=CO7I+C3Tb#z3N z@C@D^0M)jDpYC<<7WmUU(BoSIA6T-?3t_SA0u*>1$*@fEFx=fq(c2aE{BiYXF)Y{{ zJh8!$EsVSa5BRPS9t31kcE^Msr@TG2Oh9qS%_%$%-|`tCnQ(N}5*$qN zLOcz4f2a_|S_&GABLE`g_DzTrGspVa<>B50cX3CPwZH;YU|4QTf>A(AT?H~W_&)VMz$!I7xEEpDmDwoWc zE=ft&6D*4dY%0I!7?qG98Kj?HPwDN4t47)B#{ zlROX)jA)z^c$AmmF65m#(1mQrBLa_M2*MXT0;R{AON_~fw6;r8fsypF%e8r2Wy?hd z--O0p47$|*{dmyMmv-#@M8~Gb#CLhMCfD>DqyX;*uauv4<8kk(aSBp*f0n?8?D8 z`>jFsPg!xjixO)QO6pC_l>6 z;|*aS9(9X=BXAQJK7tPm5ay=Xkt+`9kLu!N#8hF-q4q%5V?shXDuF*LJH0=kdd;Z3 z_GlvlanqNAAGgB*W&MMKWdZ^Elw)c;y61|R=rJcugzhyD#H^%zoWDZidJUcuP6sqR z3SUX^`bYY^>$bqIN_pW0DXM>*?7<%F??j>(L*Egh0l`z9k|ZJM0obI<%SLmS#V!`N&BuQ^VxQZI!Um1gM$_ zmF=9?a#`F8NrH;4jNDA*U&DnWb>tmr^ONN?m?10fF3DVI%bU{LqefuNP zTuWn*3oJ;<1-G0q9fmYsAB*xx&n8^TYghPCG{}r4C8(A)8}sVhTwv4kAyPp%EHh-6 zI;37=qXKh=dXp5P013hoS2|mk1Zvd*pF0&9eM6JGl8#eLj8mvSZfq5bI&#; z#S>@MXX0)0T3?hV-lcifj0-%-r1AQv1TVRa9=FGH& z;*`Lr)?(eCx4Gn=<)s%1)NNhd^Ts70s=)dT^r;x7QU1<@A8ynU_sWYe!np3>VL&Ai$@>T zY_1e7FeZi45&y45EHWc#KlCh;m35r)ZP+pUTz}TSCoC!+Z;lMDe%%tHk>r?GJBv9W z86c%!5Di6B_~&FWw+i-~0=y+b?I?g)fP|pQ1Igy7z)UjLv6_sDR8HJ^Ati?FTl=M| z9q%Mu@b5-g>lf7=&-W~u?pUbZu}UCg6M-GcB*FYZOu%B_Rcd!~wlib1V+R(RPgD2L@{z|Lv0Ld?W;uH4ckr^vwrAMR+4k{%X zqgu0UOY&2CA{MrZC5?O5+_uuBe`p06QpYmZX2J)O3l&Qght4aX4Ad+rWxd}Y)u91& z;>CtHZ-`E$lC@&GPb-cb#jC~!+#RhTb2+AYq(&JAA08CEj@*xDgc?40Fo+2O+?P99 ziw&BR*OGmOh{Yz%<};F6(=rC;INYJ}4+Prk0!4n+GBI)Ult9{w6j+YX0s)V-0Hp>! zRNn#%87Y;B4UT)(JPcWIzhd22G$$NS42N`I#DbTluTn9y*Y|AiInU~Q0@5*oo`*B$ zMMGASCzEUk2&h&p@?HVbDZS%RK(FCReuk`jARrSrD?T`|*n^@4 zS;lXaFWMgrwj3Zi{rQs!Ox^}ae!S2SWoh6Cb!)!b93kzermeKtvFz~JZJUIj)Z|U4 zEO1!2KvWW?)3?um?y_BaSXN0=lltlFHh6j80!z{`pSfdqpGjH1w{Ptu&sLA--Qr8$ zSic*ztBtfiiQ41dO;ZNWN} zJ!R9`DgwfUWNBn3dzPP&z&$6LxsbNVq=a+Mb1ubFu51_c8P-Z>`={z$6idx?0L^1;LKyhd}$ZrW5v;71Cq zkd*pKH7p&iiB|BUbZsWEYfozah_dJSE63po&@Bj9Oo>sG0(7|a89(s|6Vw3FEO1Pk zxh$q-1!ns;Aq6}+*tTG=X9VQpm%x>d^^V8mqGoDd)KQ|4p{(ju8qbIXYPSunv8(EP zMsL7_gCB%g2CRKzGJJt9AZcBK7Ox0iD%261hO7rZ2DZ8IIIu2TS|5CH1l^T1HY`?v zH((K9j1uNKEJKRWO|V6%Q4ZCzV2iyZ+MW|Fvt3F39q5GW-PD0f4jPt~JP4oH+Repm zIkRpl*797!Vp9Q|3$Ix*UbdX>$xR5v2v`;}`jPN2=F65juQAOAoVV_%l5;`n3xQj< z6x*_iq9CL!=WMX-O5SI2Kj#EB6B4Ad8k6mcJvH33bh;^pEGQ<@3^$uLI~)PX&#Hfv zkX&z2rEeK<9J>vRX z-vw9FqUDVF6A6n>N)d@CM64DGbp*7wEfDuC9F_S2{=kJ33t33WtzY)7b`q9ds1+7{ zcKZ=mmnhV3+e4BqsxVJigaFmh-kv9G6MJ8=GXc*lySG5 z6*!)5yA%zfjDWKM&_r<8mHAI~wT{6PXI*oAKNyh#me{voO2#SWKEi<34Hr*HtPHd@ zmOHlWoB-59+Wdr!GYuh88rUyvHtcWz%-^+t{E45n|L4@ehEmL7^|L5ol1j>`Rol62 z-Nwes7M#-@j;Aa%CdHrZS*l;Lx&EeQ#k(QW6iA_?;De!o^UhB-#Jd6k$a%b&H)Tfr zhG_kL;v%B!FyyEvj!fXFGeOA|QY?A^k4;hhv6Jg*=TRlfZcon)oG!wvPM|Pb=#e-< z$pVEMY^WsjG8z$IXaS|z{SyJdyPh3viF%q5Ym-(%GZI#R{k?{DUap$$)vbG@Y5nUp z3(t`lM%cA z<%kvLy8Ck@Z z^@al?-zkRVzvT5cK=N1Kyk&uc6vI^7!WRW7#uMgclI9ilbD?CBCk2Hk^f{(kN()pJ z6HcV;-1|EgoE4e_|Jk(xC%N$-=;9 zWwjM${Y7P=`K^K8Iw!F=?UXX*yoi**iUt%=b(g~J6lWs%6ifaU8`YXDw2v$x1$N-i zNaSa2Hok7LJhr5!u~S#yrTV0VP$Vc1@|_Uao0WA*jKGspWefhAO~m(XIlwb8S1Pxrz2<^~quk-a7?^RYpD>jy7Y(q@q$Z5=PaYRF0pqNT(@*qPgpf z&h!kiP@lK=+e3m3^TAaqC~{pyH0C`i*+ZH5f@Q+rvCK$uHp&tEKlx+!=cOE`y)D7A zBUg2^7}~e6EYcmV&0v7XWRweHzc(e3J}n{0fl2%m9nCTEPgw1H4$yv8&|*rzK~vBZ zr%dkX=Zm5|$|rJS2_VBUM2+75jn;^bEDj5^jRtfi(37gzZe8^hmcK---k1@ny&{WT z^q@5$WcmrM;dzY@yK>u`Qg1dT(!*NmY0-p$VsFoFGk8)OmeqPBq&;2j5RFJiLGO5I zeOc3?bilkh@o_OO&?(R&rRXh4NJ>5gTT*y^!N};Lr6T>!0frZW~=*VCl&Mdj?8vtv>gaOmYZfr`gf~5qUD38)jn6Y_IlsZBRMzju1{N_ ztnmyy+uzIEYqdvgyti$Y!??ZNc*4foJJvc5+O@{R*66q>6QqRtOkg}4wi-iU;8S`` zI@{&yftGuFee2$BTCgU5*8H_AL3^q5E}M;RIq!$p704|M__Sojs~=PnZg!HkHC(b@ zGoX3vI3uui613*N=3RgWh1#hGtg#!i-IJ0m^f`ga6N`?E4#XFYS39>&YSDWyJnd-p(!GY`r#~us$o@u+nkr^^e)o||9C{7j3A61l zJGzw=4LpT^Y+w};yf#FJyVKX1+_}zpgK@=q>jv8yW-Ei(Y5CX+?S^pzgh$jOhC?4w z5D@KVQNW)}NL8pd4u6G)R7L3bJt5tz*G}GptHeZ(jRy(CM@U5NEKk7GyMvh_7*j?% z{Yks874p7DN4(ypS{py49e-5F>F6!krj^_@idy=t0&zD;zpNoYn9nQ}czTn6GkH>Q zW1zgbYqEWZ?8ljYVZB_S>KAKMlt>CRV5kOPL{-Z%K-RToxNrehaLWm$Wx}_`2T-;nf#u z=A>xMrYZyF64Kx6%D;uSKug(pptz|DL_OFA}&+q;FE8#}7n= z9iby7$fwI5nmU<72>SGFVe7g#CBwAZ25{OTYHhXum4rn}?Vde@PX#5+0yu&}H=Nd| zO3pS@U&KxP`3y>R=%ukqsO}D=^K7+cc41s!KEH;Iz0@Rey`)xBiTD}E6dea{xhA~3 zLp8SwId|N2oZ|@{FX9XrJ(!8F8KB3?PNH2Dh-sZsO{TlS@)a%@DgRoa>{_qt0+-Y` zth_sm3FvH~MA|GzlFqW&mxETvJs)pDf7HC`8vyeT5uqwxs!`j<*Sz@M1oaxR?CW!I z+R&}kX_x{SA%VT#{uMa=7zs|&-*Nn&Z}wT#v(yUKvZh~WT*143z)z^@B4SuntrPF^ zWPSn~^yJ5LhH-`X4|GaUx6X0cI;88^-jXBYgzYUB{9F|3Q>6L;+O!@_7)G|2O0$`P zTuvAA7YqPv8Mj<1C+3@D38Hvl3aPJ5Jys*F5AqgS9xQUQBrLsbW6%(?;1p_&CJR4X zB_r_D+Bc1M{S9`m7JnRI1XTxHwDsy{REZkS?t^&3rK_7gYxlaw@gX#~vRku{ z#P&)Gt6Pq3H3V_A++-#m6&p(VWH;!j)@;6#uilii?0$N?-I+clcdz%JIu9&<6ql^4 zd7PW%J3Vp&SySf|kgxu!eL)ewo+)qc7yKqyp=-Sk`Ry8ED$o#o6?Qc!wNaT)yIi(@ zr$4V>#ZOTZU@B3~^iK3XnLhs>vA=X3z%vgC_P(aNwZE^l&vDGcEZ;c$IBQ(c z0xU!rBiwL(Aqk>Ts*Kt(_+IZHr~wo}JzSVqlW}kY&f@>JAG0mHF>$qL{-Nv23j4*v z|Cbw-ZRY4bkV88zGR-W~Fz1`k0)x?)O@LZ90h9=OO$tRgFAt2N#IbL!CMSmL)3(WN zG?fU~{@$Q#xEK};Qqwo`RR7uvVTp{l?AvIjkk_R!z-n0V*$`6=ud1W|nZ@Z>Wc_JV zsPq|{Zu$rI2h!JV3j!1rJ zW)fR~QIlF9zoxMebwLFvtnO+oPGFn8Uihh`WW$A9kG-%!(E|{!}*Yy8^VLCU*l0B>1boaC9+K zuDz#hor|)6p>ZeiLz_J@>-^ZVS`@@GQlf!)$)5u5@UV=BtJ+k=J_6LABr*9a@auby z!nLS%NyFj8F@Wy%4#N#Fs2|9w8~!Hq+>e1`!2nItSUM*90a~^y&XV{sW1_5X#a5-m zE+(~X(}b4A23>RX94(mKRbkH=VZeDdzzdd&p${M+I=pk~oS*I)8;&k7*82!V;p&pU zr8tY=)w0At<7<#7HfkjY&WCiQI_+ff-A^6o^Z{=%ZE!tY#Qu?IfN#*{h=RNVBRW_} z%`h=lQS)z$oAeiTKxAx{3P!BK7oF#hL-5!MYqW)q;es+X3n3N(8Np(x3GPk$s}C?E z@kgV@nDD=7=|Nj3g1zUGNacA*CbLA*jBSnOka&4)W#pb*0I}M`_DfSjT{`v4;cH*o z^EGx;+7FV7(X^2OS^PY7xH=&@Yc1l~QxDn+dzw?Q4rZ+4{n35QM7A-`g#$i#sa>?X zi+iw8u@Jc_%%~xt7AKcrpuOR228jMVZ(*B<9;Rj0QF(A*L?2D?M#eCqtY>mr1E>_cm zA9zqv#|AUCGzc1+aYuq%teF{!OdSU<6TF8{`pgn-u3aZWpVcRd=?X@IBff5{mTptS z`p={^f@Yp0DIFdh4uhT1Y%`l>(x&2xqL1!<+rIfbD9^?r~9^S<=8mR~C z*&K^<(FLueDS{byYL)$^5lcSEUBFweE(YS9{8|V&gsGo=U0fIG9|Pvq#4x<{J0VA# zi6AHW1cGyaXRIUe(FR8}b(<2q(%Km|aQI-4*W0M$wgYA=kvtG2`BXE4Jk%f-#}64| z7K@%pMQkk2{sowbZ{nB-4fA(L3xDQj_3oiz8X4d&5yN1z~dwS0fA@+zY0l!)h`Vhce> z>`iF7a71Id3pG$4Vy5kIpN{4?4gJ%x_`l#O@fNyYS5i=p6~!iDu;V{79VkbsJkU8Z z4(fz=;=R%6f5HDEIT98M-)|o%=o4S*GFRwoFl1@>iy48$w8n1Mld*tMZ(<+qPCkNX zb~k3m{;q-@IHNS4EnmI4W!r=PRr| zWK_8Sf!h;YbJ`zP6SqfUp(>bMsAMnq7BvHI%XS_wy-y0$-mTzeo-iOfc$q;Q;PBIy zdO-a1x7;>%>7R^R?YE05n&(@dh#a&O`)1(Yct{e1HB_!5F!zs-(4J%r_+9@?>hnby z|Mz&?Le3yHI|ax`YdrLwgkk`bqD{gia1=I&uduK69MIAGb!u zMZ&nb(_vxFr|$Yzd-cN_?h6NlH`B>fFOO$Z9!G|8FQ-)L;n8w~PJOXV_)H!^^rE*x z7^xXT;LD4T%4+(dD2z6u`9XzgwHFgryV6>W+r7i){}`Y5y4rVfUEfcI~b0D zisUsSQUDQ@2QDN{Craei>9r>dI~mOHOvnPogm4c#B)Hv}Dq&Lo#N_~RUdXWcg8K2# zvXQQ}Bt}Wl9k+q! zv|e2jMp_@924mrqT@li@PY|pQRM7M`fXKE585cU4IHwM28@E)qsIqV&SO(Z2V|Vlu zrb{SWi$=@NDJh<73tcF+(4tA2Ijgomu>qU3s|}%~AQrQ*nw2<>QBtst&`ZBh3;jeI zacy+|8tb~^G$@wr1z+EewcGKLRzkBhV2(;DI}`Bk8bNxFf(5Fa7~h?1JU$ zpnkx;XbE91Edw@r7IXm)y3h`<9A`9BApus?z`d%yc@r^?)sjY5FbQ~dYoL)2N{Vw-Tk5}qJ2Gg}qOBemfp3pK zKK2RN8<=ku1Ir&Pv-C}CNug5 z^?4vOqi2({f(@_Bxx=8H#wFqiU98zE)*&1uZQiUVj6Mcx(&71s;YQYAD$h7-+T&S7 z@Uji0Z6})p1}OM)B??9tN^YIhs{@36x{YzvHzJjK9X0p)9cY7x&ohPuf1qT z{X-i|A+gGyzK)_&b0h(~mz9=V!B8DU#B+o>DN8SM%ZYGGn(hj0>kd8XK7gs~*b-ck zAKfk~K97@NJ))OUdu$6le>hthh&YdgOxoy8ikmmD?f5pNY;^K?hF|#)!Yk$}-Ngno z8y!2nB(Aa>4?&xBvAO;(dMbU00ryefXW+L>1f~}0vT*ozfoaJhd6aERe+N07wa$uB zC9r+giE0saXh<;0JU|c!guyZeOb-$?yRGVYosp)JC}jZH&H0l}F(C?vbSE~j6RXAc zdB{mwt*?loFPN7MsZIc9OfcFi2i9ZVTB|x1zQ;-xmjj2tgZ{@q-%E_?|CU?aJ+5RNdaMJkotNL<3wgeE zKre=-U6Rw+Kyy1Y-^qEf@<--w%72~KL5Jin%_(ZYyC&jOL@{>ijv;2_0(5aFo zlkp&VK3c{iRN65RR0Z{?z401ySBElUNkFtVl}dAz@{~Enq`QB)ZMhjJ-9CMBR|4q) zJCD0%L8_pm+6Q)061iSTS8X&U%UMTXip5oY?5O8r0}FHfn~2Xc!@30EB0YPhv&o*R z+D%+s##!hlSI!dO=)$sFnd?k^OJUvper4!h1jhi;rj@*0j7MxPLN4kCM*MTVM}Hm9 z%P=0CIjeLrzOQPzH60zcg%!~#;%Bu>R$bBy#X1xOZlvJ5&rPIj>(Xwd)d+1Y>V|R8{dXtRO z4}(826E_G&_C6tF_p_lCmfhBs93y%o^Oo{p{G8Zkl_`L@=L=GbyXH(34aQ$LXG7^F z=59Of#bM?UybytJ8w8*|O-C%kc`Vkt%T^kOq)7mPoJ^LL(|TIsRWoJoQHsLEyg~}p zMq}J@*Jm_Qy#UP~AKpfDRU(b(lj{k%^0r+zY)z+TQkkiuMEXlcxPr7MGK8TitkhmQ zDd0J&LQGh=@X`qWv-bHUH=4l{+Fs|B%SZN)f=ycpRLg#K6het&2N8(XFT^bgEJ@%~5HxZ(Dh#K+riUZlzX1 zdeglo^aR@bKol=QY1Uj@En{svGd7n9k&UuHSy=a%%6Grz+ou-mOuOMlRT7WbTPj3@ zhD~j-`nW2U!u-wL`%oW#vcBNfgb${NpHu$~d<<}b&|bfv|N40-C_KB)@MYmJfsr`D zAv_T-U#r_)IxlPIz&S02bJ|QCL#xuM?MX;ZG`jcLygjoFiN8kBx9{hLSyNQIIlyeO6 zu8g4>T1q8R*$qqOV*+Ww#Wt)5G3Sk2$i=^LlPXD_ipjs@+0K7-G)U7n_jfiEN4V8a>kQObd zr0a3o{~U@nBlPnpw_gy_R*8f>9g?CpCs@dIPD%{r+6FRSRWO_8U>9!Fa2T`Jg$t%a zB*izXFZ~9BqrT&gALHt}>T3@#`lxe7yA(T&#AAQb*jF>=bBc}9@Be1L@Rp~%25K|$ z4%bI(u;9nw-$`w-%X8iXBjk*uJP`TM+iV;_wxxIm*vTbR_{EtWho$DF)>FURQRab1 z1tx`G9_rMZT5yUt94q7pdq&@ObAnTD29&ocvs?bc$ z%YQSoI6Bu>aOSxPVzKtfw3B0hMCglsuEVsz|48z^`1@-`PPsZ%+4`a`>}jRmB>kp$ zu0ejEJx1noa zXNXX8`%jYfbL@ASUQ%BolLWiXQt6Z>g9#QFk-JU+e+}lCdI#|Fn3O2nGxb&iKLlt(X$wivY>|p>)-e{L?ORvQOVUAc)U)I_9V2)g2$SF|uq zyb?c~B;T)9(>FD?tyG<#Yf~tM!k2@z`uOl7qgNQ!YocdD zlHei%jd|)wW5+9j)%ug9tERN1Ey`LKRM!%oMb)*w8RJAJqmXDs6G(Qas0J|0l?cIk zIk=?Bt)x?+kO!GZ6?&ZGGiKA_^tmdPtA==niCd6}{4;lk#>vYs4noI0RWs}xjxXJ4 z-CzHPVXGnFt|?D~TclEe%EqF{O^U>CS31-_uBF;k4%2FIF=UsTQ4O?-jg83I-4W@F zOm+w2Q6w*es77mlLschMbt}na(wPphn>tELzP_QE#?>UJN>6+!@N-IHFZyCotdcuR z{G3mTIgD=?{GH|#?#Rrf82Mv;EHND(C2>X;$;9H&!pX*z9!ddpM$kbM@HVm1xD;(n z4Rs2)4qz?n;B*;}gY6s$mGTfj%3U}?-evnl&$rx?&&9z%5$)nmHEZbki+YqP(;SN1 zmztp%Quq;2I^^u3e9Bb-pEXTVJ*xVmt5Oo8dN8h%nAshSmGCy!g%R7c-YSG$ZDLo&U&_H_f4Oy@1)D|6C(4m~c?H$qFbV{;-mnGRBR<68@b-ID zFs`$0X~?Qg(7%6^hA0p>HrAy#k3X(T)GT>K-Z)GRge`Nthh9^^J!4lxUGQ?=!@=*q zQr83=X05EO3@F(|>qxIzjmZ!&p|FiyYVebPXCpt}byXH1r1+iA9Jb%=xIzSj;v++<w0Xty*&JTwDaYa9{puQ;+LG+z0XEjJfEaMA?(_IGTY_V2eBe<;h*GAwv|2nk6L zY2U3%^_#01pl?#iwKeRdLT7y@E?X&&T?i1#h!ldzU@SI!-%6U!k!hEK zsCw_GiiS~skMMvHJY{xlyO{#{IV&ubk=m;}sq#Q$B!i9ms?Oh~CtbqaA}aJ>q)0RA zay^oJtReTq*!xcq9Wh#qzceM@HK88S%sw#g_Q1s?YmAB)9mrPEJjJ0{92GjoP!70G3;9UCIUuR>7=BVqs4@6yL z0|HRdsGfN^6t5Tu&SXtC^YVp~#C%qmnCgOeC4B4j@WR-HPa1^6EOcD$^~a-^5C~iX zQ3uM<{OWPtAr(yi0>mddlEn$T(Epb6D**R>xmf{>-$| z50=cBn7LW%d6G1BF&ovP$sD6y&g&O7#6h)NX_~~&EF190I5C+OXOzo#h-T#83+h%D z@gJmZV+L%3GHA&I^_hPzR~*giQVz|pc`=aL#zD+#RqmG`Mlk+~lyEcDK4V9k+6k2O zN`pv1im=0wijG+Ly_%AYq7fZEXm=c0GN#Yxz%*IP4WJQG5-taDXXq4Rm)*PIjB8%8 zQUtf&XyaaDFyZpozqeg z=c3Ve^0k7yc7K5Jr}>kZ9sY2ehK zDXkSHf{k0hSeAkSn-y6lAtQ+i%)ce?udcg%#!4E)6s7)EgminTH-(V3hZ4NmM+AXtAt}x}@m&-c% zx!~)-n;bH#!_m&~C&I=_nR9x`?dkjq75ko!L$WTHTzF5fDk`nxWz!BS5}sE30OSw$ zi;ry4swrqx;_xC$X(5l5P)GSiLTAjnsd=$mpZ3}2YxwI; zctprTG1qRdzgb{t`Uzh*p2Dp&)Ue4OESK!ehH+Lu#AX$W<~>g{Iuio{O>e<}P|q&F zOztbJ+sw?cFl(~ob`~_F#Mrr4G@phpm%4Fwj#3Wfw{b{FJz^}X!rOCF-Az>A{7q2I zPW3?nX%1XN&dT#3px~f#&WZlekSzQ(G>J_n_tqAQ|JY5tx@f_L1*W17(%%2M>7tk` zzA~*{z9(w!k*YEGEPkFa2J_ExDHHZqU<7CofL^U}5Y0N%H}OPULx~bQc4z_$?`X_` zG%Raw&v^#d{Z*cfUp?I7kMz6E&;8hIn$NmRg1|KHO}QmU9V<%v`)U_`+HlF^41CW5 zA3FDu^gpnb=4;Uw$}4DO$uL*>zmT1$%iF9=Tc*@x4*gqfR`Rx^1DtjPjRBs#>(1xI zm{EpYDt!sPkDQxfc)KNN>Ox{1Oa+r5%N}(vbNJ7dxGx9 zZs=UqG-0}=wCjr(wV2N}#mO$2L)LTt-IcsR=qvZJ*GTbJ9@#m1Hu>!g7Z1zfmjq8z z0%6+P5@`$+Jd{S&i56{*J35E3(=5H`_AK!y=M{@rstgMoK$`X=tl4VHb^?14PwNL6 z$AV3Rsf9^bC&JQMKoI6Y+0bGgeyo5e==zn}FU}NeF{!aD@i)ohUV>iL_?}(Zd5O6w zex#usmUyIwOd=fynz>_~F^$%8ix^F3&D1tJ3*(H37l^>hi;4=5Q=3jvjYsZkNlZ~L z?L`Su&d;4jyyl-dy^Q~f>)g*REas@(zwYXSU{O2MEfQ_TI2b4R@#J5OURsjRjm`{>S#ZHBD!Kh$dORmoJYXBcbVz1Q(@rQ-ew?XOgtwQf3)xA&+^OU!T#jwg-h* z2%C#hYD+^Z@#T6)zO+K&D>TG$#6#3V6;ls5@)(OU0B(~*OQ*M!|jvCB%RWgrZ zwBYLnmq?AY8-uMgDI0lFJs$*qYu>>U@w~k)9q8z))00j!WK-$|#hDr*$TF8WL_-}M zS(qgQ=rqr`b>Os?2K~!xYXbM>(Fr8ZT?`8M>%sFPyTZZgK3#l}t+X4~rqa1$C`7-_ zn(i=;Vd@o$6Ht>zLq?wSkP0^+-pdM4`+KkZskHl&I}>GHP7V#Slx3u7x+w9`N?Bcs z-K047?zob5H9WQ0W-vxBQ6z@hP3Fxix%EJ`Yg12EDIVrqf?SQq9YZyHaQv6FOd`E#x}( zAzQt=`Xb7y8CaQzEx4I+;DE-_#HNG|{l(1~#PI!BIX-YF0`r~t9ffI6b$5Q!{orEf zoxjF_%6L_MKZiqZ{>7Ujn4J6Xm;A({>0FWj!Y`=ZEd&s;p zq2qK34!t)bC{+GooWkw{VTpzbnAAqyOB|e}4R6Fp5Kfa);;)PaKZKAh!V#pm5xhRl z9(BD)XO{$5bUCOGwU1Bc-e*N__1iDYHxA__w0L0t@I;a5D0X14q2FWVOj98=k^X6L zr7#zOwx~gQ;@9_+sT5odQ^@YAG8t#V0d) zY9(m1#`{{hzZhjS0pc5;4d9(A-ZzCc?~ypTWb2jAo#z;M>O3Kyq-~awW6%^4hxbRE zMgN+{Cl!@&={zb#u8iTUo1t)JKBSZjVECn9P^B0rB*f^KeUC?kb1`SjbIx_qC}Yro zz5JmtvK5PGB?XVDiqU4s=@Wyui!h|IxU}|om+hqS)$)adGmf`0V#Hw64Qt`$>bhk# zIA!~Sl~q@uU_UU%bGmDr6dk;kuDqwj#GYV;gnV3nVp^ACztRI(v$Nmt)&pwH>@?41 z3s!IY2IC{0bYMugY~kCrf@!qM!;qw2;cZJ_F3{Y-9%iCq&}7diG>)AuQlb`5jVOgXUI$tRnYD`#~Tcjypm zT7E)7r!gOw54Egkj~2|R;t&mlo1eAHo!Y6KWaMJ_~x)kZwK_tUTy>3MPl ziG<_nYVg8&MYvSdm{i8U&&TasiY8#xJk-k}9?ktw{9(lMY7cJS5FA9>e|+$^X!xa} zT{*@}Q$hc7xbEXrm)j0^#!7|BwfRe?c6D-6o<&g~G_ATr^*)D>t1M15J)Lc*NdUWy z{dW!ivre?pIGXa1QXMu`;WU)SHgx%?>!=c+CO#^d zE9u@j*+iQ526L4kY#=s7iSvXw?V5$M^bkeOO5=0ceNNdav~ArmQ1V+sm)<~#KEW>{ z{~1KxGnGH}Tpti7@Kq!roZ@}%I-B$`r5x%HH*l-TZ*o0>u~Q zhmNJ}txMU0Z|z$F+OLim^`~b^#`o`EsXujb!|7>l6j4{0AUNGoOXR(7EzO7!`63CXAwwy~e2ai^!|cas zWS$jW&~uM&U<#O+lyP^ihyn__QujiNz#a$~X0V~7IM6>9P`A!=A!v|1w!_5AbONpk z(X8c(5Bp)q_OwgylA)5GSvZ=fxAP91b+;W@%k0l$<)a1tDvQCf7Gq5t2_|c)OttVdXY@5^nDMu= z_LKp9jo$_5>t`mfQ{0H(3l)&6MqOsH5&68xzIr9W+zBn6BGhGTMW&LJ)hMZ(WD@ZU zFYZt^hN2`C{n8KwHcQubsN_mN&RqC<0e-Ly0!o-vIqth7?kHulcq}~pPVRAFu0+ZH zh`?b`N-XEdrG48b(4C7$pYBSm`I=m*SOmzW!fX-*Qg+B8T(h{2hzw<#(1j%em=nP7EQ_eX_lb3&d3lD68OP|5S$uY0i|kw-A2t|hqOJ8(q0C7U z#28Gx$NQWD%}Oraa57fRMb4xzNYnrd*f8tm5ggnFeU5Gn%XuOAOnt7Efd6!hTZKP> z5YMe50V3O5=~9G6K|*rl=N7hbwyB~9J$f`h?@xH+umh9w7su%0O*Ie0q5-cT&jk~` zS7}fuF%X=t>hxiY#%`oJ3bP@avrb@IU;RGE4nKSiuo*HwZI0N2Kx|PhCzfD zpHtF)n%LCT-#8|v^IlM zu7m(@@lsIwWL)Kkx|$?Cs&MunRqLW%_7)qQ;ZY>P?0merlcZ6xd^_xK|2zw2?knAs zby-6^OZerx7k(LI&CH#NuUafca3*DwLj6cFRG!q}OYH?`BmT1dmuarbgPEElp z%Cw0)XhtGC`8}CfWxW-5a3#aEK`?MJg-(G}Vr`~OBj7-L8R$W0a$k9jRhA(`fUk9F z%qGSxUntOO8e~xr`slU4Aq@G-W$2%7%Ad`#s;qyxyE*|fANFIO;Jl6;V#||n;#DPS z1pkpl4+doi-*p0%+eY9c4Zp}Mxgf2rolCRiw7KJ5c0L61>#C+b$qHhM3T5qNe7&js z^t=^wDk^LA!Hu(=2mTcm$^w|Pl-!Qs_@xT5bTs9QxU{0^+;Gk^#ei5KOQ1U7_lte0 zY(dLe|72nTD#9<96z*gDpNz3v7w#Z!?n7#Kh=X?LOUA$J9TQ{Q9HovB&e^_tlhIj; zfPF{i*&^`fhn*XNH%5M4*u9gh$*C|e1k{4ga@8+gp}_OG9Qm+2@$DESH-SvKg4`;@ zvrwX$KNx#jh5q!cdj@4>jk|p^@a~$E`m5NhRhW`M7I_os#$lNFq&`Tz#WZ)AQqBph z_~w-O?!|l3g}Eqdj3GQ$(1_@{a#}%SvgmeQ>?g1gnQy^S3X6m}ixh)C@U;x3Q+jbu zkzB6Z#)yecSU59eVMQ#+j$ZYn>4f^sGY4ohxamQrMfPQHn;42I8GBmk3*@Azb>s`j zVs3h^!gGQA(s9Xz@IP~pH(k@^wY15uRNF2yFdnmx9vUkbp|INbC`7hdw4;=gOzTLj z2)Bx$%c-~yEmdZPCXL3=W4;n?PwWUd2ZcH!`XLO^!5t<>G+kp3FXid1U&j}!z@#L( z94&Y|l5CZ@W&VBJZI95aro%Qj7Eu1d|FleFt}`ThN64%#9ze4u~6sS)9oH2Ew`D}OiqTG!fLj#@|C^zmDq zdZjg_W`5}tPD}ahvL7m4VuhmDDl<&;r`(1%&588LyUhdi2+f#sU>b!yR;!P2MVX@h zC?OO(P}o+KvdRV#;a+W?;9Bp%c-PWf#Fi6rampuY3;{bo?1XF|vxvRR)mwp%PFR#r z&u~-osD~#+z@*05pXb|W{Ji#`NkbyJKMV9@s2d8&k&=s zbM;HcqeA{)jvgoqEeu5_>p}xKLUoD52S+=F_K5pC%g(DRy+~`)0)@js`4#$p11J7L z2s(JOtefUqk?_1bBCeFdoOg0~qs{$6h1V=6aUw_>Sa?u=Wd)H^%w?-$fxb9SVe3zz zg{`mYo6#*{{Dkrm&^I|OLHeIQs3Z0j^JJ? znnyaI;{Hc{_08g>d0BB)tsuIuI>LQQ0%jwf>!e*UDV6{9Y5Kxe>k5S3Ec?Snpu+3FkgB?QF=b){nNZ{Kp1wk>ky)tH-=Sptk-xm>UqzC6n2v zv{#DcL<{%h?#86)gk$wt;N}A)U_MJIPopx#)&2;vF*>a*_P~KFo?m>swUyViz8So; z0@b&<3BL25fH!Fe8tb1Pb;5rAh`#KJ%B}l^rcC%9$5p~SyEr0YJ1s&^S%`nkgu7dm zUdDd08FY_S_ci4Rufo>49%sDxS~yucatbetVlh%lrPF5rE0-)@XYj+DbB4((xmVrG zU2^;MTm&b0l`vi8XB_!Q&ruPlT;<%|wjv?dhJ$3yTl)_l_6mqTVcr2G=Wh_MVMWs{Rw~947D(LtAHLVtyg@X# zcGKlC+#Emi$bYu%zLyB}RX?hopYr?GqYpfaG03Zy-WinFl0CwPPT=tBwFd>I?X31A zM~VeV+5F=}McN-wD5I%;V2Riz?ineINm-N*rf^d#ffNBI5mTz#>B{dyoWF??LO z$H-jel#8=7q309hT_1ds&lYy>%pRS>bQ{VIn^agJ_QL$lz(G-#REgb8ff{MalXTc> zJG{4qY!u|juOdUCyCwKj=o$HrXGmOclQYbrd9*&IrL034x#9E7KIh+#VV~M)ml;&; z9MKAwegyXd_9ASbbJ~L+;&JuC&}P@&+zflokfX&^A}>MTY{itYeKIFod_TRPRB4z4 zRJFr#Lf6Jjn4l`{!NGyvCm><;E{wsqpLaFo*WY4FzO(V#i*Ij@v^yVba%gjo!B! z=?5V%-TC+H)=782|9cL*Z`-&UF-hPHm!WSTI*j-Iyf7H$=($7my>`hPv}@f8w{ML( z7)?0n3j!}wuhhAD8x=5c?pgO1r(eGLA|5ke)>iPI|LP3o0gMnxy__*}s=zQ-(OD{fBtb{BfRT4z&_Pf6QwQvdVH!yHkdNScnQ*AOb=&%vfPv{ZU|YsJ^goIGzQ=jG5Cf1D&)|O-^YSt(5U=p< zNOEjIu9z-O9e%v-ZT5YI`x$ET|0doCV7K)5vHVyW*2U#Jj?d~*pZOF6jsO3&@1VPG z?ki!(|N3wG-5T+J)cGUrUw`T(IGFtGIkLxR{XClK?{u2{yNs6H7&qF^@6ivtQQ`h& zOxEhuyTiVNyfd}!FwTC>!t<$)$3RMuw;jOo?gPJldHs5N0m+HfyLl4>da%v3;sCc% z&i-W#-YS(xem#rru26Y-sszSmIg9>`^o^EHE;QvHt|KeRxR&e0LZL z+5-}L-Gcs^p5C7gNgy6C@4Cyg9@@d;3iYuM0Et-LSz8nFyiEc!@`*#}9@V;c@lMKHBDS^N?<9;_Cuk@S@F=PAP*xL2vv|E{k%~5@i!$Taq=IVdQ@5?Hep^)qBtf+iBns9bp4A z zwo*SNEBYjY9qiU*9j_H4@l+;2d4 zPyL=5?XSm&DX`E+^m1M(oRU(}6K9{KJCHOC4>{5w?y}PfNxU>jQutpO5ivXuYc}rs zhWo^ycI@yMhJ|~!0d(zz>$=W}nCRFXPW=Bh-ilDzYAD&E$S{YO z{7)$%`Tpuep-ye5jMt>Y98&yYu3KTJk_aTNcmETe)u^sL(~lUWvc2`+xu!70@+ z)LumP9Tt-vEjGPwqKXpFi~Q3MbMHO2@|)OeHGaR##0PUdF3AF=S8pU%Z&>0sM?SX$ zo(J1ZUQjpP-k0Y;|F@>CI^aHw1v%YhUVPV;1073S4)GkTKT|ahzkbg-84@h8VSGr5 z17KbDMUKxE8pB>#U~+$_>Y2DVEAhY6;{VJ5ITmN+%}@VZ-RD!D_5N>h no+ktTx9FMccU2QdD0y+XTG&JHD>MF0$&@i~r(9mDt z;r#ug0E&0``$BhrrKW^dJlgeTwsumLwed`nT=5*j@4dV}St`+l#*ucp@1AlIqH39=WAF4Vpn|n5T zgS}-0#%x6&JiY&m@qZxSovlj6T(&@WKR)<4uCA3!@l3kp!sGR(~tmD=v>{zuki69fZqNA3W;|7WOPKL(lY4enfz-~Zn|yVrEPrMXD{l}Lhd z>Hk3`_4@xdk_%tme}6jS<-xz8R!=zpe^7O=T?*fa!LBsSQ)>Lz@;~Zm3Jgx0hZn!!jFGvYEwfQ%`b3J{G8!EowLXcy4KX}H z9gX0v`d<_?U*`L4(eh9doSpQNMh-<{?m~^f%sSj0o^;Lbul_IEBJ4aNTq~2fLK=)F zr;v^`%il`-NNBR!=0ALdtx*u3{p8NQ&HFf1CeNP#7;`EY^%uT|c@V{u0MqX*I)Bc# z!;FqP;?R=aKf<>+fBkR=pRFlyrX;^Cc@hAWak~UaF^rMm7}kXLP99je`TMvr%=-F2 zp63THi)v#GbbC`eKMzaj4Q7a4T(pQEs!JS%%U|MWrV{!h$1nk0F2)1@8UPoKVaJ*M z?FN^8^gQ+2%Zbd@ZJnciu{PFG=y-#9vQFSB#uH8(PGfSv>;I$J&|b#(HZJIa{9IO^ zI7k($t)mqmX*=5g>f^g;9;)eG5Z`IEjx1|KL&I5LS;%gdt9!s!G}oqv`Mq&1zxNfs z&)E?JIVQ2jDRJ|A&$G%37^iTPO*ErhyXlBD&`~T_J_U)f;?cJ5q zFMcHdhoO%-H&ax%|D{z8gyU`0Y<>O{1*Sd{)#0q&NLDtbgUY|30BWKbq6)ZUAYwsj$S= zIf(AJ5lT>pRdT7bv{=t>=)FDjGx?Lj zbF9p^ew34v@Al9~v*I@PV${t2Bc96KNNI={9*4eD*1;#xL*K+0i#D%!B-@u3lchg> zmy-yrsndA7MSodopr(snWS;^iCR3O<7F7x2aFS(@7aJ~FBVJc>}oC& zA>z1U$Vk;R^no%opzg>o@)RWFx$qvIby_a@aEKPJwMf$gQ2?}a*{iZf2$eGB#w`3{4o6WX6(fTNqD-} zZ_q^_xyy}7unUMVEFLNxN6#JL-#%|=GcGMG*hH#Cs25l2@tXU6@_b6*hLai{2Ui|p zT1}}DQn^h(!WZk2{Br@BQaEo3rg*!64V?ufDr9w{(& zV0NU}M2-ghtctijv?42rYt-XPkEs70F(czgvdN2G*5eclU(CzI3ro93((b|ND_kSQ zgwV|N%j1)V^Y|(2d*zc9 zI!FpAuO6g*aEvvg+X38&@sgi7apa2+nUDyT>t8@iUbpoQ$4i?>EZXWvdhg_@jI+tB zO|BnaTF~9!3(=$&6K$UAy3Ob{f?kztINi}f(tYRVXSZ*htO=t^ly9Xsij5Re&)aDd zWEgPFL{Q*!xqXl?{XNx?LwT=rrg*;3p+x2yYR(@-+GnQL@#bm;qrL2Kwc(qr8inh- zb9JA!#8X)ObwC*XV`*@czG)wBeYY2jh1&%8MQPf5<&qDnnbzhLwD|J%@k<6zmGC^i zZ+*^|pAj;)Hxn47#`nI-)#cDEw{U0xw+tj)aDT5qJ>e#jFy6ya>EuqNQi~$d?VuIzDu6s8FtiF!w?> zd^>$aY?YCq<7~F8AnitOl?p}_l{K*i}lCEJ#&J@N$4t;-7Tm;Nae@IWD zTS>xr=2@Oz6am7xu*JWTu;{#P@yqP>EA~spCtrlfeGt*?Hhe1KmJWX1%hE?~`_X@L zGEV_b7PMp+aEB6oDG%&nv7;##Ya7LjeeY02POZRb@p-W);I+j++KM1;Df37rGA*X~ z%PuWO5oSQ)adHEm$~0F0P~#rCznnGdG<_7_8LGqANfg>MZtTFv+}TQzEpEjz|^E+zw~Ygd{`NJ zL0gTz*&!`WX!narmt}=wI|oWOBBB&w5$rxC?d935)D634Q@CHAN9A5l-vVNHL;1bc z{X(PQ0vaRT_xo~iA-$VSp&lyXFcA)3tOd=pJOwdEg|L_bJXW)og6HuPxMPMY(*UsX z-6^fVWRF7!#jw@rHD~xmu7YH~N`$=Ar?t?*1+=X!W`XAhG)uo!vs?3hxPBQl*OCmk zCgH!y0ULt!q-{D{nZW+e(w2)}`iZEsU;F+N-lkt&b{LB8xTZI*5{#!v*3K- z4Iq^rGYx-^-@+=D`eNQ0gcUUv_p82>$IjeaqWF{XY{7Y##nW9#Od#vu`nA>d7FFSIbDfzUdTfvfJZ$9RQJOvwP1~IAJMqi%+njY(i-miz$?x#m?y{jzoScK$RqW zJQ8gg1@eWSsNQI+JXl})tH}3?rYlo_n{^N!VR1g7;45ae>vctw4-Ey{x!g$oe#0IZ z!*aYoTUE@&$^Sh6P#maUHNi`y=~0xGB!FgB}tZm2<_dbCRnr4=6dF6mQILckZB= zbZ^afJzCT;i+d;*ayNH+_V#`-|0(NE?YX{JfqSZ($=KxyZR4;|o0gL}8+qM{?6Z&W z30iW)T~uy^%0-pfmWG?@B`8!Q*E7ZmM_AoKO2evwZW5L`@Y(wl&36sw8Ty*h1>@y@ zZw*X%nyIlt^DP;XLhc>BPTpo>A!G#XU&(wHSWzKj=Q&>H!ENN0n9^0y*ANYbr*@8! zg&Zwui5!|(_Fc&oCJS1BKqM#h6Fa$|vlmyK_I&O^}IUY@`l3IBOE`V!Q%EBuQ3KU($ur%w*$A zbW1U|R^jcS&sP@n)dMq6vCnWuNsA1_((8t!{8 z-ZVaA@dYJ8%zcDq#{Phfh7!XanOpb3`*Xz+30b(gjARI!o$-VMcgv{Rj#4ZF*M_GQ zK!k0E|9Qpa5kTNOy#eIR)22u$KKBa*+iioLf%x={@)gv!TZ+Z)HOu$B#OoEex}vAc znR=A^TUOLX9yBzDqGwt85C0R)~B(5<^ZUpRR2DqHdR-MT#Bccp2^!J5hCzs`C~XCUF_% zJust)1lOKQzHGQ*8FsbamatiH5iPVS5W4RhR$q*?Atyi1dPHxT)-*y_GN${9fAVC6 zD35uD{Udme+LVx-;B3*vQu<58wL&R?@pF)^H+(v1)jV%LM2X11G2qH;1+GWS$8Q(- z77|du^dXz6{z$a3e)`H?B>L#s6&qubgwL0HXMD&$mxPg_srP96f;~7{(($iN;V!^5 zTvlH043dmU%1|{mD{IG(Zo3f^Q92{P9ko(i?Njuo40BZlHTMV{B}GdrT{!)6yjf}@ zbf$IgoSux!A!hl&=EQ`B(Lw4fy4QK+)icxGrZ)cKrW#7b>qW1F=@fbu9MJ1U;iPg| zm*>$pp?9v%bJC!Pk$(1ho1Q#YNQLvSS6Tuw+|Fd2M^bEk@DQbxV^W13iDBsa6d?R^h^>cn{@H_9E;moX_62F^p#5anz6MY}|Bu(5xI zTc~DGZB5rJmX&uzl4d66OkJzv8)3Y(Gx^re>WWrZ9l-9guhDj33fSm;>uP}XcqZ`L zsWj2Km-=&IShFHp{Ks!;RwoBR!CLJ_9^zngHIzn%SS%^vEG4nZ@ov$fq2~nePxuFG zJG+`)VU*=YqR=f{mdROJW1qXrzS>1NVCn!@J642Pa9t{rKNbU>ZKd~7DNmP^$D}{V zj&X6EsHSif10JM^u^)U_o-FKfl!VJNI-Q_&$~8JYNu{jp&fk_8b=Uh+G@>}P6db6= zwcVi_wW0cliJ>KE@i#g468R-h!Q~Oe99t*aNTf(6c4M#>T|$SMa{2npc>OTx5@M*= z)>nByytGf*Y^T~#@-3B#^))_%ap7s|bR-mpcPH9L^61}wwKxy3r|Bv+Rq;yG*qF4y zYi#mH4!Zh5pK3AN;2ty3?$=hVofmC`e0pVG=GQVPTlG#qXwWTsvRA4#28{X6 z=_#vEq9wmVW5Z;8qR~x`uKb+EQXL`n_mER(Z*jBu+31$&+<}%m{d33kU~u~z?cmWm z-HKZMF(dRR0FF~9-2^b3h>8p|x9Ymh((t#ci!tE-(7PM@IcdwH+A#^!g$PeS#3)3x z-+7eEzh9gmSdIXPHNjyL7>uG{go2vjS!^s<`ZA0Wl3~l#Dk`{?)TeJ31{h_&pom*M z&Ie3_q9C^fh+oxQODj0cR#&E@MM3i6(B=iWRQ5IqI&)==3Tx}Hi<{&rOqjXHWD>eQ#GS73 z%(uHOG{t8yW!V6-^@}?}&7RZIV6nr>1K*lS5=R%vftQKQ!|T7?F4D{)q4wOJsq8CH zclngrIU|ZkXE0%p0cVWu^gcw$(2J8tlsDZx@NQ*?qS(C!dZwqe@Da_qq-&fQA58JF z?~uj7du-S$&O3Xz;4|_0!tJO>X*i>QSu!TVNGK}cmg-Wtm^=BJmUo=Rcs=)qT0{fb z;wV2dBS?3NujWn(&LuuqQK~*Z{DysaRg@ReeNaKVu7|iF91-hrVV?5HD7X~!rz8-I zBvoc44;qiL343}V2_yxl*-TUwjN;Ld(|S+48klKe@;Ed3f3={0I=$8O3e>U|E3iT` zXBxD93!fSJjhQ0v@M-O7*GBX1g6AmW1Ep-Q4E^7eO9`#9$DlD@74uyWlQh_I5p)jo z+v60{#2K`g=gN^2N0pQF7i@;N>cl<#d~>AK5`m&7jn|wp$Y$2v_B1aT0|T{8G92s{ z$os07W!Tqo|NZi!=t(upxm zB_rQW;*Xoc4U8Qbl>u}C!e?Dgs~@LCC~**(1#)7@!+^vMY3K(42BMZ^vUQShYjOBk z(f?}Q-pmz2OzDzC&Q6goAicDmqmmmwE*`?K@B8oG6-=86Fr{kpyUzV$KlA zDAr}ZwQB)gk6O^Q%NVzmP+nIL{?#q**IX!ux5(m9cIb`n^mX}D*e8XR1gFC8rYZGk z7Y=f*MRIWFKR)$o$s+8Ms<7%E2D>(veI*Q7l`WW&@Kv!%UGXi<;!KU)u=OYz_7>X6 zc&?t%15tqy($Fon(K{!Kc^nq#PvE#O*(8Nf=aZkgo~hhuOervkJhjCHsA+%Xio95+ z@fVD{Fp639e}c^~02$jt7^%nVFG%Pl1lhI?DFnDwHN$?pPIFaF(y~~6G zP?S=|eb`(#(EOu5N-?jTWUwrMvc#J1LR?3f0L|a!HP;3yvFb&euJqDMO+eEU zy);`Emy>Ho=a^IB$F(xug+t{Ql9>5yi2ro$9ru$Rl5H$!#rs#J*bf#Kgdj8;>Jb`> z=OxQJ=m$}`v8aWnUjy2Xw%KZ9JD+xoC6zH(9Fa%^g&n@>$E4015!i$r9^Vbqi321b z0VCTattny3n(zv#2bI`SNj+(@Vj9xBiL>(}25-!6^`5w2xD4gnf8y0n!AdHP2n;R5 zQP(7Wd&{kJ7$9^Tx28TuvJWIb^`+q#wdj)6iVeX%bWNqDn`mmoJ)@Skd4Me0H~*ZF zE_&mZU#g0l)NUY-EUfTJq)O{httGx~FH&tUE+$n`D09ga)?Z{O5ptA?>e@+mQAETi zeA8)?@MgaSPblnVJ+c%jqlo@E`XSCHnM&B=lOt@OmEQHFUiqtBpKFN zw=*dnBRtK!DpzmT%=U1f6tkoz#`g$N_MPrHeN<2A?#-F4+jrV9w85Dll{NCGs`%-+ zhALzd(#9$D$Byh-@f=P6brjWj+dMXnCa2~Znn;*$)k2zUmV(83*~2Ku0s(_(?}XlTrxu zmU>-Q?V{HoL>qa%*Ko{QBn(k-oOen(1AS^&v-H6}2e3(6x(8|!^5%GgsUFA&KT*f-7TxH~d%Rw1^qL3{ zahT&ddO<(p1kclOr&U*H{LG3|4B}}8Pc7Zc_BB!hvH|73kjN*B5p6$Z-ef-{UiPurAxn3^7@(a zh=J29(~Qe?U|3pbS)M}sbAJTKxi1zlTmALDXN&zH9RG-p*kxh$IOWxxQt_gtUcorM5Xh(^3yAG z#&xnG7Q+8VS$^tX$~u@$DD72ocUb@?h9Kfc-8s#H;Z}fs*b7PMCMKKmz*=t<_YDml z4Sg<&X4H{bYO;mAQEeF!(P*(c-*h!M@kKNB*kW?)kfQ}3R*K+KKgk&V0b0+1IzGp)&K}PSw@*LglR{qvvJ1Y8;?I!Pm9TFX<@Xp(1>#3WT>2k%{@tXebC%A-}?IcDqpz_{&AW2#S zG;@skiu;X2Ju&SNkn2Q`ifkRV;Eg|6t8M70jy^uD#c- z)uTNfF$n=ewMUYxhAFDKF37JvXYi>RN+-WA(( zs%RpO{Hf1Bg*Bb4<_@qf>keo18(PW;8Px5r%H9WC=`pfpkccSIM!$%)PeLYKjhTNvviBsAsxx81LQ2zz*5G~E+r_zPCqBL@Y^rWR!DTzpDeb+M0#kBBB_`Yok@&jzp#}tqiPp zxbM(YqtSr*!PSDbc%wPdYZhy-&iwW7J=wrC^|lJ z;TDVi&D-@?YDyNPZ%M~H?kmc1SG!;<|8$^-b}W4Qdowm?Tu89ssXfuZQP(udI;gQn z*62D7k3JIXst&zkzvcX#9nZ;z-m6c3k}GCIImj39NN>!P?`)?|gRQw~__(6wZ{Ok0 ze&=$Q`Sbhh`mu25cZ8Hxyd>66X?x!ZA~}8uI9goWNQ%7Xyl>ogu>fwRvZwbT8&4f| zkEk-V?@n_4sZ?#g8Jv(nN0?)02b;b+?7A1Pl>yPc=(f8eXu$|vz&nyW;g%pFN79Q^ zbqT!!Q${+K^Y$P02!qkNRPaFgXC9{cS*dluufuYLQ>mmqV%mju`8=*o6v=;jw_nf2 zz2DaGp{>2ofV$wKABq*3m?1^Npq9%h-&R**)7wviSA2*s73EmB({bv!(#cduo`sqj zVxn13o-;W&^EnWTe1KM2&0tX%+52kQjYRS^W47r!?*Xaraq!U({0{87Lgt~t9_5%9 z0uOp769P)$8k1IK{wv&J`>Ic7*Xh-2JQ=2#5h#I(ojJ^9qZfYbT_<$rp5k%O7p^@% zb(c^ZlgPa?JY7CHT1Fr5otKO4T+T@23Vh`8fvwr!jzZ+v&D(@{66HN6U|uGmAd+nr zY@eDZuztS6bFY&Lt&Kt?;QfHuNEcS1D_m{oh?a0Po1{!tGr&C%>zUgyC?CAm1arkG z-B^`Uxt$Yt#(nCh zqIO08lrAa2(g5jL^V~5w?t2`%!{M7#NvO7x>MlDS>l7(gM5>1nY_hOcxt3w97zwB| z&x$|t`*y^-gXM)r?LQHjIp!Z`PD<2}YunYhQBjDvs{kSc)U`c_m}dH9 z&vt+bceg?$csszE};2!j6Z^zt!2*cJjlmjRd*eD!HY2N{9Yus z-v4B9DSLVYeMT{sot*`ewxm-l)%pW%Rvsah!%>j9s>!w8D84tt+(e$pp~(J1N&%oR z8DpQcI2%&lWaZ#7jN=Vj&E=7Ked%SK44d}q%FbAZ1TI|G7R-kxdSTiBO=FJ+*euu+ zMH!LYP6}e*jWE%+LS#;PZu>SuWKgp;gK2z*8;dn^{Df!UVc!uA-tGSwPn5PZ#>=)p zXH_SJaT5at-@9cg5J2*@mT1*qT1yL?KmE@+5{Q3++|KX>#$>*N6x-(?0I3>aSCGoNVO?Az1JosKcv2b?>x z!72l`V{adawL5=Rn;E?tmZBQw&Duncc!MI>`-R{F?Y zfOWu&X}p#5f&4%%CPa7-3A59bTrD2t*~9oeGwP0X^Vht&E+i2r%Ko?$kmzMD_r2li z^CD&1&OcAW*LBLn& zst$xqI(6RFF=7%1gGDUloRRwl?zs3t^e*mipC4Qw9BpUFY;?LKcT(lIfZrl~e`8J; z`JG1U!}|vl%!u#ATS#PTRqn8h(O~4H0zGz-LiPgzFycVO?I;SiJ@Q$9?s4IqT09N# zQTES(VB7P+9r2aKpx^7)yRAR|n30`CR2Xq-?nTE}A(%e+vaVKKb6}`FmUW^&PNgI) z!Vj=f4|Rk!4q2vm%Ad9ypO>Cq-rTjwJTM0o%;S|VCW_>bwVF~*zNyk?ImaCNI@y4? zmG5IO@SFLu#O&Lm{f(_#qG{6>p*fD+&D3LnZrq_Tjih+1pQbA=`zNm+`n2!n2mqQT zk=HKylp`Hf^d>ah5iqD=dV@ZZVQ!m%J02~)yJAw-#qStNlZH`b=HQ0_ zL+3}lnr&!)QSHIFs5IHhUNVTe$dM%8Gx;JqHVxRlUwa8Zt4?_KwiZ|clxN2b@yVLY z*>C@SPf>h^I@L3HrinjgcChiK(HEQ>wZiArllkBeAfBa%jalI$WZk$Wn%*&t$m7hL@;f>tcVrl>3=VyrVslu zF)e7$h&P_Q>M?B@U#*mJ_NV*y{A^iMh~eh!*^w}5y#?H-b9r^${Dp1N%kx89{_>eL zQtVhYBP8;W)ayrBP1(8fS+5eA(V{*4636|*bLkv2RM#murF*`al@J#AnAom^tl$si zLQqK=ee#REfUz;nQ*XS2fN-M6M<7lm8D!e;kmv3xI{MIQDs9~wx|{Ea2; z`B>A-X~1vzIghr7v5ti?AW5H|SI|IiMaO61{u^~@y^`)++=H;!rk~^n^0nw$_H9sE zhV4GGJ{q3i&~G6!-@k`8wz})OjD&{$q}+(2di1D8&Sno;e7(#L zE~M|iw3JZKb}{X0{Yj8yYaH6x2-$Rwg1)@zWPqG!Q;d5 zCvOUFo+2pwqjUX4d$lP!g){Xdm{Xa%lWKgC3GLvSwrnkBfQvKMq}RFwkj(-R?HDo4 z9;T@_V}=*yLPqor^Lf$x+BL~usV!4I*JyLa`?#cat@(I2yT7F?-4&*a?U}ZPKMTPG zFR&Up7z8ACybbU~J#5(>sJr~KG=NjOS=i$^ofI}w&s8Yqhjn8>H$QAkz70J%hZkeS zdcIG{;%xq*mpZ4-(K5gdH!imjlYO3Vk$ZDM+5GJYk#vFzTBs>+4W8mY;CghfJhV63 zD!H^lhU@6I6pY!v&5xR@6sPB`3Kuis@&KBD_Hu7+I3ApDlgCK2`u=uSVZWKwJj0%b z-qieQKn2qVX$Nl>*vB)=e8Y12B(~?1LB;tGoJs%GPJs>sg(d(9bc8Wl<@n*~N+K2c zZbk1-^+ST+H&Pyi>B&g0Z~2eubXukSPQ)C?5{O2r6Xs_;@{;{+WLKBZowTna(_WH4 zFuvaQ@0CscVwe;84E2>iF7|$T+bIszX*VlH0$0cn6A&b$i5qT_yI0QKDZ+)!PD*iE zw%oVSDwYX5gIDM|Psf%fE}`be_QQ-SwcN70ou(ypTiz_`zj|pn5u1%q^cH7&OpKpz zO6gC79RH?!BUa6vft_I-D`ZLF9{qz9wNwq(aVv4^tN^WHj4qRzPV?HNCp~^U+!*u1 zmTY#`SkP9%WOkXVgud*x$@eD$8}l8?z*Nn#BcRJbS`Ext?siD$_oeEOpg{8(cz&u* z;7=m=w(}jRcGu+Ct8eanTy)!?Tn*it$+=;YETV=UE}~H?`>Yf*Tf0y!CB-!k4BL7O zVbjf@KZATu*^G77?`W}UaEtC2y_7Bo&V6eRPu%h*B+QJN00@HxTkTd8aMQ4}mHn!_ zq#EAb*Z1GtZ*t)fdMC^;_ItHhHnfmIDf(ph8Ek?^AM!^k#zY11orQL>!tJw`sIjqn zGtq0BO(Q=ao^rLf3Fv7wj(zu9vd%YSO(H)iLJW+9l)Q_vAH=N8%$N3%-T zRp=^xp4DBv<5QxzZgFiQ_JpVaQ*BL5P}Ff-sLmS>mve&P4;Rw?FKlj2eY^u5I~xTe z!=r7*#z9|cE|l5dQ5Wc1{Wh{sH9aAVf1gow43n2wryS%Zs=x|dKtRBT zTZPBJUSa`3U{HY;mvb3-+R46LRH~;re-0MaMc+D)N8dEZQ%~xz$0VS^bl@9lRb{7y z(z%3O*E7K+(ljMC7w=`2+204vuC2*2CX3mt`7Y!(k+!fCQC&s!Ac-%&%_aK(JO=w~ zqKIDaez;H;T?MH11q}*!jrDhB%I(*os(7U6SV{#19pCnguU9z=YD+cTYh z`XkfnC-Ouxx1~>;!tFOmOBaJ9#xU=Py7vo#f1Izs2IKMh;2wBgx)3q?)R>+J;q(`7 zVGl>4@Bf5$>}2RQ&jjMB@mC6lzS_I{UDICf1BD8204Zk3A`J98!y7jXQx6>vTO2>T z?nbL8s0Uh0BA?#xzWZ9qBBki>iIC~{ttGadi7C)mlD4b<#^^tbPE|OjmL19VYs>o= z$+QdR9s>|{|-BS?p7l0+u~z((I%W<%I0gOPXwoAtv+|?1zvrH|p#wQ@fg$ z!#UZtMb{DR?@#h?W++5}Kt3JV9>tBPVh8GZwe0C<*sh~FVH0+zS;u_qUsZv$F+MKE zC=v^#*{M6*xCw=B3Cjj~U0!tO%rgIqp%DZRhQybcI?P(c4g+PU@gbucTVB7-xddP(pclF zgU=F6QlpNJE3?mnXSu6tE}bxuSQu+0(gsT^8{HEgZ%G?NKg=ZKFj>kYP&2$ZBpOz< z;|7C)DyuZ%nG1=Z+n}gjxI~XZ` zQRzR(x+gSr5gFYz=5=T|EHo@hqBAkC2xPxo0>Llh*Ayv#n9H}lDPXG0%||HEdBs2D z?Sx8KYp#FAH0>XeQA57ysNP@pEl}=M`jo7rZkRGK_=$0bW<>;LyQAe3Q(ZxXW5LK~ z8sm_e^6l17M6*^0CQece_ypQ6s_b&^7rnxeE@-!i>FDvLp%u1w3SEATg5#aU8qt?{ z{>&Pg)w$oP^h_WFqm~r-)2JSz&RXa6cy*%=pJCTCo#9MLX)!jEjF zJkh3{nAk7aZ<(0O4{obTDCHV-#MSW>F_F2pd*qiC=HQM&?#SBs{Ha-A&OaGZ^|^D{ zb4cD4R3TiCi@v^Fj90yBfCkcLzufZ(ad;h3dRndU=;W(PF1?GrXw3~tLYR;u1^l*w~Ven^FMvgL!8w&Om% zFN5{%o9-(`x?9F6nCPtv(u}+0=uJ_2D;spE#T1NGc*|z^0U~;JADuPTXmIg8Vu=XL zly2N+YI?oHCR*srB9QUq_=vJ0yGRsFOYKKLt5tQvedC84cvRPumO=a`7J$Vo%b_EgVw%O4bMOW_ms69!W|2@0Ibl3UZa`XORUAr++Lr^P;` z*e2&;Z8WD(j>X5Lc0+3!dS+&~IGQqTRO`{9@6;AyBhxy#-Hjw= z%oBdSclP%e#@T-~v!8-}80}d}RZ(HTM{FElLa0MnYxj4Rh+yCBb5%3CMrQYRo z0Kw~8NZvuw`16Z-=pFgX27%BbdsC49wC3dZa+-e1%J=cacV@P1aVxP})QlQt&iUNDj}Kh3Pe?~*^{CX`gz`8x?&1s8V;#L0Ft z$AKoZwWu!VeQxyj%I|QRwj`1;UQIsUJ%fUNAy1X2!jr7C zx9C>S-~6bT;yV=Tx@hL59nr;Z#B}aG_6lKCCGYlkF0L0;$NZ|pHo*Rwd_)Kuf5TB( zR#+v+^_-oT@7+livsS)wqpe))^N{(){y{~IQBnMMTtPW_P@4rMZ+CchQwZmk20Pn8 z|5oq>H?7Yk{4+LEi;kDFL=}a_;p)9Is2OG8tv~@jB1{D*(M^uh2)z({%JiD7gpdq+mEQ_4%}UH2{{iNik{j{eN9er6 zsrv%ev`jDQTBCL(h3#EfCNbRcan-h0gWMJ43eune_>!GW+$Dv=aW;ju3dDG`W7SNr z;&NawxDKnT$tpvSpRs!fPM?TOJof|$f$}hz!Ve-ON-)98fCKg^HffE%r9;wBG1n!KC3JSxcGBa+WOTbKU8LlV3&T$0G;3b3@qGeBxeeN^i9WNZ1!z#$jRoiGLE8 zlR{z@eg78wuTEL^NE-*9XNj`JV=Q%$EL0z9f|ATgS(l+CR30oC%JeeGiTRntW31gl zzbN&Ep|9nb+cUs>7Tr9Yh#F0!F#85sW6QiD5hKqF22|{RR`ar*z+3~PiDjo)&w=_G z?VIq=5)VaZmZhmZe#K%N;%5^_T%YMVn4885hPGGb_{UP=V&|i^TAo?NRh?^AEWfX7 zNlKCG$fzd~&04LqN#PgGrFhP_>}<*yx6{t`g-7_MluBW@z&&dWoWnl_F#XPQ64!<{ zY~a!rYXLDp$Ur|eU5Fce%$;F-BNcK~L_~2C71ccZ0`JJ@EBTP}f@>+M`t>SZKK&I> z0ZeV3<|B*97x4W-KtkzKP|~D?=K%%_ zr+9Sv_lm7rzOn(xkh9i8c{5u^8_9$4Q)AqH>ExVs(?jj=W}^aJ#x*vl($gVLz8`6L zSKZZ9CU|x|p@{@b{D?`2a#de;-`l1vzSd28)R6xw| z!wVsFk(M7ie{d!mYeS>Bzla`M6))6zceD@cg`+u>v`T!*Cx2#R&_sdE`8%PPg~)WH=&gzI4eqWhCbb*Kl<4|Wb}%y(9<5)j(Zxbea61= z?nwU$FThR%ryv$@H6J7&7)p$UE}QS=0UFO>W@0Mw+gYvbzL6hPGDU54JBS(IMif%J zCfr5UtFBvZDNN*5;YkyDVPHk$YeRdfXqBNw4PBi0oE zfL(i}b%KYesJ+nGP&lCW>3s1Im*o!r*_GqE=V8z<6I7v5pC&&!MNjS%e9b3fR`n+q z0X@V+lD(WBS)qEBWBT3_`w9zZBij<5!-HsaM%|6OJkA=~^iP))@^H%5AW9zE<@euA zH$?#GWk&(@o-z(QvdWx;y8xUi0C;Z?S+EDonQk`B)8MD?Ov$ZR9&`CO=~+4!7bathhikV!mA2f;ndZ|rxX{*b%Y-Xlj*0xP>1UPe86mB*JhmrV9ZoGMH^1u)f(-YjB zC!3KTZeH^`YnR?hm^d>^SQ%p3mCcTYwnP{hlbeh4xYP8g_mpvqVldtYDlt@Vl z@V@GnkO7===oa5ji>lm1)l!4uO+|+^bJ$nKHrLmynz^1>9%R3qdO@S`&me5qa;TD2$G>fN%2+E>%zYUu$STIUB{)af6E&+ z*Uv|9rNffbb^vq>UeYLKlyEI5nXiabjLF!I{jwgGH5k}B{Bwv;1qS}1P^0WVae19^;cqaw$iue`%XQ>Np+ zMXX=Bf`r1gt$?tH*`yzzQgB^fJvV5G5!HtJd~ub(RZzIx5d3Wa690Ks9pF9NU%O3< zclsrBm?x60qEy1uwos$Zy#}uCgwxFnOvZ|?j0K$Tq;pThl zFk%?l?}$kJzlPW{_bhXaXxZU@EX<(N4+$qX-LvMj(8iV}yivbO+UE63op)wE5$=s1 zks^k6F~SJbQulw<$6gnVKLO)6+V;Qu6uPD+&{ZNPgo;#jQ1~sp_TXZT){t7RdlyfC zA3K3{KbU(U?=B=UV!1>%N<0I=Vay|)VaZH0Z=82`ey5?BUF4+n{I=5U?{QF{5vmUDg|pY3(5+wj!Lm(KOhA!UtxqP~)MbX&%@4%a z4a*aM`4agGuBhP^*2|#crk~;HUHH@EW3$|+HIzpx?vyu=<_kyEvJ2US^KFa-EHdIU za-Fg`Dv)~R*C+E&ulNP|ajsTHaZW;bDtHfBEv@1{4jH{{YBO!PLOzf()uKLs^ZAkX zOmN?R-{ynSJKi099W$tCGI4c6b8WbptfJhktfAcoRr=KZ$rA`<*`{^zj$b#cU%XtS zGaYUH; zy~*P7??<^#VtNa*KE5~$!{zgKbql!Ylc&oq2=Hhc-a5@@3YFXIkIu4PVb%1st6@RgW;`c)@>>(pABw7a|eyVhE&lD#l%&cWh$ z_fpjbY-G%;B0+zs73QHMml^7+dTL$jW!OTDlQE5i`Z|{A;MeldP={wS# z3|zvRq?G;2PrsB;E(`w@CK8AF&if|~mb*K)WwooXQzP+3Zr_zh)-RgAnaVGgy}z_0 zEumdwZnlPQ(Fz>i*j6mQ3D=MsslR(PaR)fLFFUrXE3yr~p?T?nW+0QdAd+QcYXub* z^0mnJcg69IRSbp*#9CJbX*uhJN-3humtkDXvw>T;Er@K~iJB~s98d2w2>_3nGv63z zFzkth<4L%emob*FbC6?y8t|9a{+*%6e-MoM{1(#6wa2to;8_*t0JW3jy7d;764o)X zu$pOJ231N^ckRcLm%I~o$F+|P{wX8Pkj>EOeZVK}p^hMHJ3Egtb|l@SA%a*AT$GIX{))ee z;8)tGoRW0<+5`$y+X+kz7l6W$aVrRuM7Z0Mnoxv@I`mDf6X$2J5+fs?>Cq?9Z;AVc z+GRWutJduznTSAq!Uif1Psg3Vnne5q7>LaFlm^@1bE^0likTxiy-Uh6k+O@$Uc1U5 zZbLpTG@K@dZJI4dlF|5P0AeIE^e~RjKlM`?lD5o}p?q8Q(M8y|gv~+6-`I5}pc;tv zU-AAe?i)liGLsJnUuhyZS?`}>&Uy0A?EIIdD#-$foM9kjv3^q?Se}@+O5*aQ# zPj8t7RYhP%BjDsq-Qeb9onRDoa=;dFdjc}>f4`p_Im?>vPR|!t`5g!wV#6}ZDomoz zlM0t@_55NPD{Jvuxpc+J0(eB&Jvd_Tcsw2FEZ+`{mGTgxS;b138V+>B9n_?7w|n!T zwqti81M3U(D}J!Fi@LuoppMIYP$(it6fITQ>jMWkh(3fCO^7nfuF}ySZS}Poc+1Zc zu>6+pJ-S04KQ9EhsVnej+r#R|3twrXulIpH3+`Pr&Fh6Wsax}Ck5`_v(FO^(t%qT4= z6YqE#?8LhLM!ubP03=EUy!cT4LGO=bBO@!xDm|VPs(oB1`EcVlAGe6Wh-DpO(iYbJ z6!f`!D_5@TJ$u*4fuK_W>6u22OHppsQ^V4ef}=5#kAcyp?8?bfrY!O}ADOs(PlEP& zznac;gB*zHFxy%D*Xq2pzbBh{aQlc7nzWjtS+-a@u9u*BZXd2ZA=VOiHW|~w=RebNf?s@L zi5@}%ZKC$4OgOmIAHHMzR2KtM7!c=s&P$&Ly&Yjp$Gp|NdL3}9cO@Ur>n7`+ekKTY zdNXe|$`-GzX{^NLcClKi#z7D)BNtD9K$C_~*6pL_cPdvl9=VNCc8@Bz-WZvqZ-*xzZ1H0*jNhG75bwrH-UV`wc2_-(!GzX8pL178PNQ z!1vVsV={EQPiG1HW8rQoxpR{b&U7LEu!o|eP0VcPG-(aa#29_sq=emyZ>VBW!l7Vv z(p-RIC>r47QnDTT8>-dVHsyNM@KG+ZRfiVV0&M?Ah)W5Sm(ESHKC~V4y`EIWwKsAK z6vPwONaq20e9a@YR6JgFShX#u5|NTT%Hli)#hnr>jtMUUJsC465xT_bW+|_S*z{&P zL)MDSo8K;@H)lD8w(8gi^i94cc)_G-+=pb@qZBl1ssI9?e~ea0^J@vg!qIx}KYuGh zGC~JyHgHqViu{1|ENl5!7Kl&*blP|-EG0!=sP^j;7BgC}_+fBn)e3U9C|uAEo7 zxfc%A!Z5j}`XucM5#o#8MwDY@8GGKe!A&J?!-~B@9gbc3I z>2`^1;m7A5M>tC-C>XDuRF3v)&Jr{4=`kKB5k^8vX4To1yP< zxk6nF7V1ZGjA7FU#hat8MYHfu*0-WU5262%SJ!~A@+XBVdZ!nKnX8jj5!XT^N4tuO zC#xdHp;4M5wU)5{f0Uo*&0N9=-{EeJqYa5aY7j_Q@$AhV8h_ zspx{W+Z)jEe|uitFDop5K$Ty$iJmu62v#reH|nEZfy>NqwoP6|jbIOb4H~L7=k}Lt z1By3Nzl01PG2Y>jIF>fFDDN@7!#mnI)98CqfBsRn@aRRTg^f$(`2|&6D-$F;D3f46NiH{5!?Ef#BOXH^meZk|*0?g5D`$!eO z$U1PzBX(|(!s_RLpqzzMzv@JO|6c+aiOtSvL7)nanU|r&Mb^{^DX-etwx<8vKl?_; zH^sZN3%r5yb#_~bA<5#QN8b~A^dg5Nw&Rr&0Hhf@Xckh7=x@VfW#}a zGpwFyR?Y@}@x&Qo?c-lf` zQX5liX861I?^*jNaTxl$H!0ZZDX&CBTo|z3T*S64&TPj26U>sOoC+}F=}WsAs>n}V zTr}|B(&=}fXcPVdA4-9j)%$b*CK0<26}z=cv`s(I6vsW?7QQ8UOjzLD=K0T@qhn8v z?MYlT8)y2d^qht9j_9P2!Vab~TNv{)pX3tvur>Wr-=Wv z#J6CE=PR`NA0!qP6)y3=P`Wdk;s27h{sW=I)i|OmtD^oNfV%%XGZ*r|fqrY-5ThvS zf7yO3Z{PnLefJVPLB#*3vCp0c%xc1#)+wz+>{rbu*F-TGToQ8}zu!Ak;xs!og zk|iZjgx!>$xfYufKA15z9gY3@*eP{WrJUrjdz;d{^!NhmdYJ;XXp--{ zaD=x_lIR1K9g>sGGFV}ZYpSlF&IFWq4YilyR?esGA4d4{?~`L!+gPKv4U)b`8+)Ax zWZA7IR!QdJoxUsZS~p32emGIIUg>2g+@{nvAT@A`9ZhWGV7y)!x`(!ViL)oEdlmB5 zkNt_u?CNyYkeGHDmAnqO8ZeSMk#{Z(_+~daMSTxUzJ>I99kkB{y68Rq+9^m&0kIB0 z#xyZL!jVrOL3`I@v}t?IPu8QOxt=O@UMbocnO+ihAq2kACIc5!mWLyP3!|oe>I_=% zukhsnJ&qX!?rr$$ydJxX@?WX1&n4Fxu;vVTa)#&rUXDF0W10(Bw(cM*<=eUs<82QM zFwGH5P&DrT;Me4>Z3BiQuihx_J*Z|c@Y)|E)mJHi3;96(q#|R-A3i#t{D5Qp7&wl4 z>wOuq=Upi)`&50|o0fE4G-Hb{$Ky0M&*`-+f^B$N80ZTtmgERzf2_CK2N*6OXV(Z= zpJ*FC*Dcp2-I&4$y$ly1&h={z)$f`d`rJi3uw0EPQ#5QBBIJ$kbcYYAK$yeEBm9BMqQ<51{PU*k>4=Bw1ReO-bs zma2`$ea5!YyprrzwO95mr%uqFm=O*n$E(txt{)+a30cF@%D1@}gw7x~UscUNbM?~P z>%gBb-DU(sNUY_?j9z8s(59`!B{?Ju?mP~v;>Qb83Xlq1#+%z}oN`iLc`s=ORnNF$ zwJa(eu3tQLKL|e2&UO}EpVf!;Xh@-Ly8^h|r@pbUN~Js~slHdHZDN2Ql}Yl@o(F2i z=NYA-*y*lCj!qiOs00B2B!tk&?q6NpP$6rYXbb1d0w2@nyB?^$r6@KkxRpl(>DQB| ze;3bK)<^Xuvm2cKfRP8{abJP4fkY&iquk0qINs9*K}7tpZMNGQPZNy_)9Jsyg*vrl z8E`{2Ll4dDiOepBgt6+i=7*Z+0w%)hvEV?p9`1w@NvU;H)t3*U)-z#Zm9Q`;uM&?* zk|8r@R_9AO&h$1ziMO~w@^iXm_*h=Dz`?~|@LsUkUP6&T@LD_1bqML~ZTj(vHSC!+ zP(^}EU#gx~1#n_;HQdj9n$mmt*!nO%uegK$Bw!HFtyCm{KvNUK!rpuoF!C0$7C?sN zpt1bZvmuXac&}INvcB}$Wu0|RGY^0CTB^PfxyRGs%kw31hle#nZbq7EpsLUC7i}`9 z%AZPKnQIwLiQcB`MUO5`h}pp-OQnVhYh(7a!XMJFBcS2ca41YQx!{An_KA((M(4}^)E?c-OzPzX-d>M3^~SmQ z!qLSK*G$hSjrK=rh5|6Bx_4{GlY1F6cCsJVyCh=`Ye$*9nxjphzXZxW@i&hT9-OLn zPGxMV7)!7gBtE|lQ2kNf%9MX*eNE!^b&(Z2jC5Ub(HgvkvmFoG{|-IoJMPC-GJZ^i zFAq;QMGdJRWI3qV%#pfq@tQWW>^S0d1XvPfUp`iPB25{Gp}k9wx=|<3tW|~s?!K(0 z#;w-tR~CHnZ01zuCD{m4(;C5=II#0y)Wl*Begjtlri?Y`day z-|#|rN4Im5#{|pbC2IZz^;Wm{68|1lpngQ5z5q2(b_quIbQFs0DNDw?dP-jt-{QNw z5I$a@H<@Mp1gk!v-C3}gPu-e5vhSyqK2Th4l6D8&N*#+XJ+YAaIiF@e=sY9CZDGY? zVo$+h$x6L{MjIkLU`)6Pho@q`=?E`vVi> z;T49QiO(h^w`^>@UYRE%A1;2fay664)hDLgx8DtBA&&Gx%zJ%!qEygJU*)Cu<9#(K z-7v+yypDQR%J##X@ErlZ?N@9AYn#zScbiJz3xV(Xa%72>)e8RzSB~k*_-HTBtbgM^ za5teK)~uVev4)z3xqi+`49Pa*Ro-^c8dKfSB%`e2-++{AMU)bCi=`ToIK4|s={N$3 zX{G*VB9p++cvr`!yLhO>Sh}^YR<0a=>g#fQu@N>o{oTD?RX%d(53SRCpOd{KZ^pWxvLGlXhAv$=rRePOeg~ z`o=)dFk=&dQ#|*IZTO>DAOSB$xcZ#RoRDf=Ko=*i-)^+*uLD^W^b=QtO5(hs5I?3jEQO zx{4h*=4@C7~q6GwF2|~@fHx}8OixFgJ zz7+Xg$^uX*j#d*J@z0*wa@oiR#K~gTYy1IabihCLh2{Qs%luaM7|mslUAWo#GSq(} zk|Yra1JJ_lna~7DJ=QNrH)S_|(JzLDh{fj%2c_y%o|A+9k>)0f3qW@Jc&2)(X6G}B zRgTHh&&d+sVlZztD+loov(LdHziDnFr1OJ4YfOZR{%}oeZIQ z8S{{*-(kUP(pa}22u_K?oTxN5k~lK!L}#Xb{wX6Lv3}GF_OiZeXf$(FPB059UYBWa zMDIpi3k%Vec2+`EIYe&RTp4^t_2Ysy(TAcocj!vUYMa68Q^;j_&4&_?i%NMKeqXZn zKS$pf4uH7Pf?=krQuHV3a;C7+!u`WxnejWTH+74W&H?Tp+J$tG`5WIVk-QaY;OES8QjBY26n00KDB<}_7MO_ zIqQ*>y8#|i{IP_~2}KbpG(X#7uhoh4L^bmcCm(_Gi!|(%kwx+qV1xc19f8I4=UV&L zXLQ~d?-tQtR&8hdT5gA9G*CC9++UQ-h_p9b3!8p)E$FxlArl`*tZ*mCNN(s^2pf%( z4~9)313G2JOy;n~ZG%gvrg#L(@YQPBHhzkkU|HR@SVlWx#BKvwc0Dm`aP~$CB2>Tx zVG75J=~b@W22PtJhHEF1=%)^*i58AS#5I*SR$`6e0qZF~%=Ffh=oGp|gkud(g4Pap zdanL<*v_k+Y3Ugp*Q%Z2g`}+(#GnA`cw;nos{WJ&W|vWjjgHTjD5RNAbkro1qt%_# z=e>ce@(TKlK(u+0q4W+n_FZi7+XQJ%vCp78LL;dBT=j?!cjc2vh)*o8(}<)3-t||> z`>%(g4?kQUemK<+M&s_mY`ca_e?_ZG0zQDk;!(DTD9rv53(W6p zHAAJwYUA3DD)|u`ui+G-@9m{-gy%t_qE(S(@>gEW=bJx|`J#ia7lT{4@4DGON)g+x z3x)cjFsD*}xg5Kyfq^2uiZZ)bJ4>T|_is9f-0)N|u++V2#`rW;fy~f%RpampnvP~S zq@TL!?+7(M3u09SbL3bOV#@I@SON0#{{%&qge!E^Qm2~m#PlvC{+dULeO7KTMJw|K z--7Q=i3`Ycw!)??!S7LlxQK^+N88}mNAS%@4yHp(vFa#&ENieZu5?ktpnVpcEjL!J zBReaJqF4bMp5B1Yt{f>q924Cy%E35Q_xbXI<%P8k5##^r8U=ZX7 zV+UZe;AyE+q>)wZNYVNYuBRnP_XDqF5J2kZ)n{AM>q11{47?x^2Kt9$rok|3jJgrd zW!utbbc@=4gurS~%$x7=o-K8*rT)KS@6Q)jP= z!oK~?(v9G>La0v>UNS#-a3Qs^Yy~^A2-9X{KdKZHrD7nTL|t%s$;PZDU(?_|uRhT5IA|1xdXQ5rg?w~W0X&?3$1jmNo!+EzK)u}lTK?V* zwAL2igA`aS5od#hjbd^!kD>CQM?awUb8^3J6X*0(biUqRPE+5~y@fR*E5V$EzRS{9M{6yUq4Y zw6uVJw@^f@Yq>2yY(>oNQrH`wxYxdbBB{HPtM{wl5(17KR1V4omIPuK7Nk!EVWF^b zCZfbU;L0eFt?Kk=fNiKmVzwo{&lG`F^=SL6Qj|2o5{0vCF-t7xHHiMs;h!)brM$~^ z=2G1{#*@7R3%s_G+mUKcqo21?7jFa9)4SP681jH-3Wl7?lY@3LpH!+b4cOF`QGGZU zE2}Q#84D)#tRZ-R7G4d#Bg8yV^zG7C|HO$pN(WZ$i@MMX@_CKg@j)rj(P-Hn)$k+! zT&bmuiQI&AMhGLDdUHe(c3uJ#ynH%d?2aQ&pQK)^Icie46CKxqn%4mm|8}>}Y>pbz zT11Lg^lksMHs}etE-zT)Crhx_k2MM;A!uyLd%>|`XnwjF$S`%zT}5%r!l|q?$|qHE z#~@Wqr9&=Gs51cB5Cn|ImEqYYzibv^g+}e?V2U~6J?6-pNeoGZ*NyxYWR5bYNAAw9 zd8?;STIlHz*WC41lo?t6FYkWnQ`l{?LW~HZ9~$yh5-plw2bw$j5{2f#-z!!o^x>gu zfij;mjs@pfRZHwY#>5LnVs|N#Z2N`!Xmr#eP}vQp{eg4ov)_F1!gVsbG{LPV{Zm9> zNo~N}c|W_r-@_JAr%eD%_b|Mr7-7_;WB%{xlWuxK?SZqumexvdqmjlRD|~rL0mA;RZ+V0#@3^ zrS1L7y!&2$%)?aLOrywwQnr}*!$x+OQwNk}n%tv|R|3?KBRr&%;nBmx8mkK(Ny1`B z58wGj$C2ROV8Z*mj8=vCkScGHlT&>N*3wm@U*vp9wV_$^O-KbV(?)~kXUGU)r5+F^ zB-##m+&oW~T=qk#Ym)s3~+`O4*m<3de_U?(C3UTr1K} z=TNYKYqt?Q7n$$h;De0PE$zoTQKN7ZK)SnBJ!v7si_}p_h^3P^cj(m zs#nBPNL7i>J1JpIGFWP^9KRpZPHu>-;Pls6HWCM{DIOPA>orld?<+Dqf2CFHr0fF$ zYkokg7?$GrBTCDyQ=epIX$#zASJgO@WviJ<;$S9Px$tA>f;c9N`JIF zDQrQNR~=}q=15~nS`Z$+AX#L>xR+hMQ7Vapr7@9eW?}mSVQBi(b`?cLD9vZ)$F3CA z@$^l(rGpr@pZ(P$T0(u%`rRyF34tL2m3%_n9fY6B$Pl0el6}_6p4iS~z^r-??kMAUs56d~SPn|@=CJi+E+chkM>2mc+(Eh2->~8e`YKE@F+D3Vmxs$-5l-6w zdSTiy^S#iJ@GoGA7tR(9d;x1|OshyjZbN5dCS{{yn}SQP&t(o~bSTDPm5A*%KM28f ztwf&-I@a}RHWMyx%%e#6`@$u@fg>5$GdM^I&09Va?pPCJmP8}g1m6|#0adwN*de%&3p)Z|ELScuR|b|H{<68Gd5$9K zqfk&3;EFRi*j3xqakroK8)Ss;WCLB~U>OHWdF@lysq09!7{qACrRrbH9CI|NT?4bG ztf%fpf1uva;(!6yI%BV7BQ*OaMi=@Q3Z2MTw8JIP`cnWJQ0$zwhAy-}N+ETGb{6Y+ zb^<6JvfYQ)LQBl;@yc`5LA(`z-&Suo;XG7i}4c=54yk99F ze{AvDbejvwnbkT8z3lG)yStwYwWA}DPHo-93ARU83?19XQW`BXA&C3wN{oNPJS3ny ze8Eo$CK}``5>W&M>-3F-E2n~mr;4zB=rF9FtRsgB7!BjRW}CFG5E)7um2 zQZwXxNHnT5Z;NOpq6ZJ_)&k7yxy$O#Jk)~9rAA}Cg*p@@ietc~G4}mX8Ky4F3`*xO z*iSqu_P0)zdi6(Nu~e`nrm`L7^+JWrND`rfd#-a~MOP$IyP-qA5dDxAzD6K@Puc=_ z-m&lmPg^(|guK19%?gRrk&)(?Yl}cGMV%{N(3HlbgMy2xa7$&84Kgm)l5;Oi)1NFo zl!2)CW2z91UBmtXyP0-cfd?-DT7|92f}-xc`0eg4`X(RUOr>-sq2Bpjp&i)94cP*v zIQD7m3qO9Eu~S}Z5=ZQPRi{+|t?rsI+m}tE{RJ6!L`xKT8Qb!9K$#meB6C{WI~;~w zr%^jZEkP>Mckiku1Y!El0brVH6M5>sjQv?h-wN2m4x*g8D)>`m>IT;jM-O%Z(7u2| z6d&luNHHd*P=}y^b=o0YDH{%`OhM&!8^Yv9IMuTz63f4c*{=W){cRSZD>)z@#p$b+ z<2Q8PwW^Bx)Mw}F1f;(8c9C=Cv(q^cCR=3|o^NA%jXa)wR9oe`XfW1yE6!N;PQBtC znZ3R_0u}@f)D2dNba$2T6=Ly~$R$f?sVL^E1dabt6?spj=&lRDsI<_5r!Y;z1I2Vz=z+uK>p+D-@z?ZOTPEB~ci`XTWwWY5ImOJJ-g%_7RFE7ezm_ zf?{0`uh!1|kylb>K4t|}Apxxl27lg^&RmZeHa~GASm%oFeJc;{kNn0`641veKG@Ia zmHZfbZ(6$&!G`}xCQ#re@%G)fBH=7D^$Z{iF>p$_--bBaXm7 z$NyaOX1FZ275E)Ws@%Q`ks=S$22q+4xR2WxXib(Ebq-s`Xh)s|nW6@yd<@iED2J3< z)xP3bzwRKxxpdV1B{h2j6yT)|PX*B#hKDWyAt%-oOVB!AxSo@G-iHzlZ-WS_}MC!%?~fibF~oj!k8s;yR% z4mp!16yf!{nUVx=IE=l8NgVklM_lds+y=rCm z4=Y}Z)I*7?ZONW{v-yy!XpYRXc?sdDi`PzpJal}D)~Vp^X9MKF4f@&;N%JIL%93b{ zp)G`A%a6%hsjIG9n=93a@@>eTC$TboBXZIRQR6_(RqMU?e4?XdpPR4uZN^&7Lk}!E zwp5t7g^{!JXkxy!(D8eS#=5DBvX7%GhNjho6|)LkBHIjF97A5%tpqP00sEo){@PRpiMT-eX_)h zrN?*-wNhO^?0+e<@D1!8lPMYtWh^NoqGfe#aAC?qfj_p1G=Xzi3%G!R5op%t3bSob z&dpFHgskVad>yTZ4(>Jd^DcPTA0Di>OG00Whb0zEoh=@JH;GHf6;51Rl2S*ygMO4S zx-(xx#37pOoNoOApmpFS+l48|pT#8h6rEdXvT)nA2m5&YhKx6%vQXMZi2u^P;m6jQ z>n8CmIElr$uWgz_&l;go%J&Ei5rBmU%a89ti!C8SG&14|wk~XhyVd3j?Ra4ylq+O~ zYKcM=C;r+>W5++w(&mSxi4K^`N3B9I*(c#=d2%71oAR zf{!qIq?Q5ud(x3_3axz3WJI+lx#cjZ^f$yI`~a-+P0EnJ8-E$b zC=*YMa3A+$bgDSD$+n5)RSmh>DrP7c#__$20!+gNcLh|cCIzf^9BA+0xEvS<~&gAo%2E2x$GZR;K%CO=HuJ)s$6d- z>i^0osWQP-R}_+_;XaNwt)Wq3waJFZeFmYysul$96_UD3oA?lX1NF4gjju7~?%I13 zaa_@#DuSkgg05s#F@9C_m*{|c{W3!0lo_6OYArfN2J!WXBAR5*HB33*@fk_&^oLhu zPfx`&)q2jwMu`#TBFYOaL5?JLBYpM2%H^I|k&L7g zTEBr`D&Ry2AOImnWZPxjoOdkPQb??cyJQfec1I|(HE2KDZS?B4EKQQ0wyuYz;}uyM zSGxnYN+2_f{?YX)#6cdkw}D4>6oODp+s3KgVVbexdb3CfYC~?7@n}E#3Z}PF;+qF7Cdx4>hzSDhU<^{t$bF=HNC{arFt?T2s z+!Pky;0`S@o|PRAz&mGni>+>hCxVfY$3=dTqBg+0db!SN;o-{oASko#^@Qpi%J#7C-? zp8ezWnF9k=y0nz2@=~6?40UOMZk@UYM&9o+d z@;=lc3y7bJD^5qQ+(dD}ic)m!E2-p_ZG1&0g(lK9nO;H1=q@7U${+L>dRt8R<4rP+ zr0y7QVGsC@FPsyyAB`c0Zs?e-L`R#uR)nauuAKTBnK+Z zz@d`F%2PBMOQJ$yhZiHg#~za~hhvs^1} z@_KYSgyVPy*t*86|EL4{)sD*A#;lt5A|U-$L2ISUsTw2f5GJQksDe6m^oIik(!cXo z?6ggz8}rJWfcIq^_~Oh7!r!L*t|TTqUHIO5?Lecc{=9Wp;x9HTE%CgUg&U3}-FDSM+j zZcB4Loh-6Q#zYFI{zk<5kf=9DAx#F?I$*I%*mz1 zqg#4_oG3z1F{z2zI2!_~$1X^^;ARn^qSN6>M)byAP-j0Z8&GO4lFckomit`hS*b&4 zE{6-zIfumOO)r|Sx5i%9$`;8=)5FUlW?cChM`h$>u6!>|Cr(tW81#1BZ z`l~r_{nB3xCj9L4YePwjPfX)lSIqu+Lqf;~b| z+!+YI5&R`18%Xz99EYUMUld16Op##l=FLTxJ2iyWVu)gA=N?jJ9V)fSyUg!s9BZy`0LMU8Nbm(CDrT6 zt5~(3?ZHj7D$Fq=wvbv<4@#*e<;u@)Ys};{^8Av>s>#u+mUT>`g4<1tupxBummZV; zjjM`Q51x!9R#NOgbcgL*c`~BHs1cF$9i%llk@N$h79*2G?z&Q+4qaagNaYE&vPuet zVVhEZmIie_B4}Yz>HY5(+B)!F*LCsDp%3O%DVHUOf+kga!16<@i9dXF4Ebyt*FuMy zJ_H5q>OMB7ZNuvOOd&ewUpU@eTp-pT1aWE-BDwy3Go7Z#slcr zKDWD_C?kuZjoq)r1alU?I*t3)zl?c?CEyAWPrkI~>!t@Xxs2$pXP17(393!(rXH$< z!gT>2H>Tgex7QpGOhh1$%LjND_+jjz?S(#k` zxb5eBiwAjwS%dyE5bW{*C}ups;(R$?{YtKJqx=k2n?EUvhnz-BWQ3va$gW<*wOKOQ zn&ZUeWI@P&Xj%{M30@GM-Gb9;{3$hpBwnhid+nGR?2ttn$&V-a6-z2dm}Jr9SwDGH zcHe1t(F?IbY+y6tbAoty$4RpCbqrXK+^4aZdi1r+?WQmvd=A5|a_x;qekvIu(U|;) zZ*h`rDWZI2XEE)_MTud^qTq#T5(VBy0xJ2lJ*NDXf)#B8ea7h!8aY~BJf~hX>u1gB zS=kM3I8f1g7+?N%_S|RGM>$r{w#66;NzS;p8l*Y z1Fa5H%d$b36&!@JbPYIbhP0{OEv34jw444U$oTTX&I&1$6Pd&`I~bWMF&OX|q-Zu& zq=68ApNJq*SE=MPjg#a=X(8gl?1U<+)16D;C9P@B$T)iu4#BXd6c2&jN5CYZXV{6f zVok{^RakWO%13|lh4=n#e-V{4zCgfuZ{tFq#^o2kh4*22O44!NJpmf-<#~0GN z^@sXmu1qLv#1Gq8aN1>d*nFgU6B^_D5#Ph9D9t)=e)-a;XkpBC%vaq<@e2Vu?JZO% zYt_W51n9j@mPp~1s%Tp~9XWU)!8vF@a<=VH;QK*Md(YR(u8R$bYmzaVB7fj7M4xq0 zDHv=5^vl|h8}HkQj|J9@LU?lz++`P1OYuUlNq+Z&;2vkE_xz9MLVkjZu_f!laAP+5$ zRO=LLpATh?GKsJ@=ZodEUmh+j*goJ-#N@_^)v55@wO_B-ps*0@vZBM#P~po;cNtal z2b#sr@aB6usc6lxc@@!#m^@W5oo zeZx0-*b*KmVHwDxZp$A4SR%aRcrZl1PcCA=g$MP1QUTe5Zkr;{guBM%wb~M^Q8stj zuyNiYj)|to2aM=hQ zFtdJ#{7&N(5&!x%D=mX!Pp|L34;G8!Ymqtpk#->(Vr3PJuhdnEtHp(LkshH&EvYm*5_F?hYnd_ zYESdUaV1iPreMc;{2^D%jbIQ)Jp6loqU?$=Nmcx7Nn4g=epo9ZK#yNvz*L4m!7hDP zAh%#o%oPVM(yiAWRIvfSx-8N3+58TaohYh}vV_F3;BNw0nP2B5(I_Mk7OD_Le?!Z6 zD3q|jBt(LIf!Z{NkpNVSh`AhQ7ldM2Dm*yUO+Z8oAt(1&39vE?{fS9? zb^B{Kbh1PzEqcw%K?x?N<}APR<)XK%$1i^%V2!8T5}^WbgFI!iu!VS9dh0AGo-|Dq1F1D zne6D~nlW0xUVSI0K#0P>TNrmwvaUqhSDwvN$ZLg~h;pRQ0uk8CF$1)9B3*d0-VR_h zl)F)u%Y)cZSZg}##Y@j9S`CiQJxesgApo$dHvVC1~7?VHCh0vQ_Yx_*^b+C|{ zyMAOR`ozqu`b2d1F;oKRr%D@-wm=^j6v%E#g*N>9JSv{?3Yoh=q}l5Ud*hMw3C$}g z2A5rR1rc=(tyhYn?{=ka02K0zZDllNSU?1`EfM~5BE#k609QT@2`@4^0xvO11{2~Q zXFj4hUL{is#P};zn0yXtAT8K0(eqwmL;I%`vRYq~^L$ws(o=Qb+;@1I0*Qzf0j`vx z$GQ9^pRsncv=!3iolw5SG5to!&I;jIam`MtWXOXR$-{cp)mRKT@ym4Sn$Ql#~KjzvZ`q^u9ga6F9`M^ zJaKcAUP#a2Obfdce^Rp*tuAbGWYD{;cEcTtm-{Kc4if#L2*h{+`OGqX+Py(J={kqc zrk8FWhu{4?*s+`Bt}#-A^~n`j%)?`)I>=Y5S!T82C2NC){iRD-w+EAXeDjS@QI2_% z5gmFQ5jB?20spf#TNMj{EiBJbt`)z9M|xD&W1xQnk3rnOuDfu3nQ;QG>xT~&(_Vv~ zPMqu#@6r2CA|l}mmHlhS{>we1vrge`P#o7+JY|Rsa&Oa~V|>@Ax33 zB^~;A^}U3-NF7f^l7xhpDi*MU=}Ic0G`@^EWP}Hu71;(Iu#BE=6^gVp@VdNuTE@z( ze#p8TQDgw(bQ@A>M!jnzUo|YIdq$-7G3P*NJ0(a^=H5-4i#q)RK;(y4)c$u|yst{gI#bJACa!gSi_*be4{K z&IJ8!z=)zsSI@=qKj&@#5KVtCK$xeRR-#DDn#YJ{4Mo%#_}WiXpIy$nnq?u ztTg*zrl5iz`*(OH>)!JDhI(_QR#=A=wRT52%vaR{h3JLTefU|sfrL6hEhdf}Ig;!s zA~^0rnY+CkgMGdqnfzBw(Hz}rsjM{dmQ-MZ=H8N;x3UaFLg1`u}O>w2sT zB)RP##=0*SF+!#t7@aK-?SI~H?GqogU|zK#MQzCZA_t-o!AIn)_z#WQaE}U0-tmV| zkWl-ck@0+#=FjU_AqN@C@dfwny3cz(N5`+tIln1&x6mA`Prc-#s9%|Ro!D-k;VaNC zl`MLWMLq(?MZ@CX?o8QrRuZgB$y6G-?V((Mj|XHvWH&Pl|OkF)c}kojjQsWq91fGZKlEJ)-SBzmG^f;Dg?i9)0~46 zL$(QyFy>1(If*HB`GHqok*--r_!uBjI-#G7wd~tLzw}AQU^V&kMro_ z(-G)bFH=Y)RFQzQy@y5!hmOZc;xmbx0}_uoQX;`km;!A-=F`lyVaTO%C}P*=k$g&m zeI!UN1?v>N(iYL3_N~ciBSu{kRxUyO&LbN9)w^1HzCviT6wz2WjU-=w#-)_h=KB&w zWR)FJylCD-pY~_3j}IM48VTbRc1<{Y?xdPaeJMgGvL++iJTlLaFYW-1V{IqC%g>XW4mm9!xN$-Fw{r-MW)b5DU-O|~#WzN=0Px>W>O5xDDO=SI z;^Xq}4kpP|ySwpvt&F(;3x_~>zx}xtlUutJQf)!+L$Ww84o=7DzET+!e?c>>BmvWNblYL)VX>ZUa8uM2_(0L5(`3 zn2X^z!Do3X?qzhBD|gdzL2OT+!E>w`OvfjEv4*5KEOoIpNRAzzCQU-aDi9WD$(tLKI40yV(yz zIiilq2%H;Ta}X~}nJ0K5)S5}d6YT-hqP|!CW75~y(?wpzUDR+VC4~ao_#mR9sUF>y znONPHp3S;kK_qhpLU9sGV*rsu62^<$Z>w9L)JjP;9*p{ExN5N!6oGE!gCVSeCNN?U zen$PfNMD7MrqKi>+RS$|ZXQNNRDvTy!#;+)c}y6iX-)qDF(~HY_Cu z?a+R;q*gZFx%Y*T1+1AQDEMo0eO0>@LJ(*Wq4M!V=r@&G})&5USw6MfPIKb=p% zDg}6iDL5hAqMT}!p>zf%24l;!eYoR`QDc_FxS(mg31<$aQ8CXV8a<2GX~cblJfmeW zaG;t{pxb4*kX6ue7ZK{snEbH#nEboyw4`fr+!}D6Awk~;pX_-P=-mVi0t^bGR}Ss= z#!E63r<65y@nivgtRp@!1a#!){o|0Q0XSh>YCQP40r?rKtw@$56yO_wy(ZhY;xeG@P7*%g8rC|4BHNT$4tpX%C-YK-(wry$|%G zlHc*+px_RaEK}%f?$n@OV?e;84}#rMT#U*^wp{EBse?RnzZXYN$mrY!#L1*7a1vMc za5^N39J@&4$`hC|7}%zqm)E55U{bulD=WLQeF0bD98#yQt@P+x3Ld;jW4ctH zlFQy{JRv5N$wfGLXe0ERzgg3%tc?a2Qm*M)C!Fr-@7qNnQIv7e>sW3@jtwm7NGK1N zqh91zS+2E6!LV|=eGN6|WKKVLh$Bf16fc8vNz|GrXAFRbbjbQWx-O*Y4m8=Y@Q}yT zv0m_V8u{SVd%=_{tOV|XQS_ig;3QB_{O`E(Qz(N$AQzZ&m*wCIIMe5bM26YtaDNau z@^FX(x8kCn73jn*P?T$0xS*ST#ejGo&L*9_Ja~k>j=K?Z{PPb$JlZ-O1P$ zDa7GG#^A)%@(7aP#O#8{P$qY|5ws*+sLNeFbc!mQ=yC8;x(`l8y#ZN(W>|v8+}ss; zbwggbcw7cn7bKlPe-+{~I=l^i1s>&`{yZpiS>S-2#1qzJCVNYALvWJ0%m8viCwdJ$ zol3OiVr)PjPTr8Asj|dJ5HhBqi+A8mFU6#cdKPM%Ix<^trDU@P_pedYU2^PqZn584 z$;c}Rj}tMD@s`ng?!cPT5wqQTRu}0rK%DSy>0yfunplUO)r{;ldv&Mem*LPJ=`|;x z|HWUDkNCeIo>)$%GPfkgvqCrwzfnR|4WUDrla9ggfJmI6VQ@U@ZGd4fAsSqh-prmZ z`no7*<+mZSQxq{MXdX!f6Av*()CIw~4yNMV<#{j`qi;qV>tN!Syt8m%#?jfF@2^YU z%YwOwp?tX}n@R{yE3Rc?H5dp)$2{~cxuK&Xj9v@*s^sA;Fe4*DoR0fI2e3`x-mDLXhak`IOv}zrLHGG{ ziHBjkQ#Elthy{1m9l{jbu zC9KA&7mhCH-Ny=Y-=mw7e0Wg2lQ8lyeDS3n8SaB4cOMLXkB|G%iBRUL`+Fodl#xWW zB5BUAo*e`ezzf-{OXX5U{@vyul5>qq>bMYG2XwtSD=$Hva#8X!qL$}Dj3LmMYn@Ot zv>-0Ka7MVux)*$wg`!=DQ%0wb>za6;PAXNGF&M`)LpP;&91h4B_z%uUwV06Qts(hV z<)L{)?uYTOaCn@Xw##_#x;hPXcoMK{T=5StL3TEC@>=V>^j6n( zYOh>N!=d2`Cft8j!{2Qv{cS|*P(_d-F6ty-v=I4@#MWdShNv$C#{ka3L~|MPxGU8r zR9A(OB;{lWj>!g;J(MlJlb<-Pm4wdYxIqeX%#pv^4isb~2Tk3O47aJyq$N9@l)k}| zjO14JD)nlkr9A8!k&~7Pe%%FIcaXK_FJ% zkbJ7ByIklXtd)l3>;8EJR8Xam!92Kux{=Nb*OK&b`VtP+80yS3emIqU%DW;TPS44B z&#JD+Deh(EQuVxCshp6#8XXeQ9r}>Y4bQ}Bp-=l82!>%lXdgKGPP!(czB$_QqgT#I z@+#!DwW%XsL-BPDcxx5tA_SK4KD=k)z`(htZmU8bDC;@MSiFE|rQkHfCdFY2Mte48 zGPR&<(;ms*)a}C5fpr8~)%k{$Z#3lE{IskWhd@_^>(C23jb6F#%}N%AA{(!WU-R|k z==JIlT0&mrI8p$&JKmIXONrU#bL$ zupQYoC;!tg{-XTdbWx^;p}Pu0~3ynZ_8K@lw)&VMr&&_otTr6 zDMa5>J|asPBt*d_5SbGTRES(8#fYSgyRSf)PlDx6U~o!Ay`K<-tjXwE}I<$@vU=9Fa>4oej(Ai+bn8Ze%=F*bl~nsd34ISEsQ3V5gXly-^Hh*(rp03C15|!b#oZn!%Mpl&qyOT z(|!3S)FU0hDxy8OY52s5fstYjHZeHUU=uk`;b~{_nVVIqpy;G=4xS5_b@;v9ka{hF zI`E8;6DXsENHQgVAJ6(2`lu%rEdGi=3J2rSad@d9Hts9_s4OpTJt)1UHCf&skr(zJ zkkeyxl6s(ks2ED~TX5zUH^h5n435bt92F>$NyKW83`pwXe(`3YDNYVZ`U8WK`tZ2K zP9fTwg5xs)holH)%Hh`<>bC~o*{Y+@5V7>sg$FBfJq(Y_zUA}KDL7;3S2}WxX*o4U zJ}lzzixBG{!$eJkrhRZuI1<5Q<+;{~5jQnfxd|m&t4pr8p}TK*2wZ)oDHj)J<@^4F zpdI)gJ?WQPvI|Fpi@wt^Ch5VL6be-tPOM5_i6@6n$amxc)BtW>V^9rDq742{)MW&D za(PbA2$X4FF2OyfOz`y8Y5%4SCe~yywklJxc^#IgGWvV|8F?Ia?eE>wC@s#Yy3&$8 zIAO2gIsX}s?<8}=St#U6aOC0W!ueT%^!z9EZx6WTSoNBos}O@>rFSb2#k^9!B)b?YA!@!fTdeIsz6S z1JzTY%wk#A=6Zx@ilkvgcnULjDN)bl2O!DWvW)I6NYUs1Js!E0gb{sE&cm?hCWFx& zj?_?*_n~c*a8c--T!aI<1B1&kN*>b1=#dTq&y{9AMwuLWeIC+7L&fz`Ts_7qS5BAm zNPC{ZN&2rs$$t}+hq-~Aab&Y!M;W+XoYOuux^zG|Dl&{R`am3xV(K_P()hOrX(K3^ zd6&>fXYuJgYT3g@&nLm#h?r#$7V*luG%j&8HYU9=gf|-}!6&0~Y;;j3r`P4g)T;Ch z*VK6aaN!YM7c@8yV|^woXHP(!NxXE#U;!Fz7M_O+lxXG$gIL;ot&V%<;Jq@P9wbV=r(NmZPm)2)oVCAk-SZBYZ%4 zT&T*UAGoKFXDpR*M@GH}O~$dMsnne0hJz{DmE950Yg6JAkSPSaC_<-v5=eSH?K z3KlqX`jfnwM;*C^mu2*$9?4ocSic0>VIahjOdc^mIlLzQ)6gaHrtHqg<-+FUQYz== zrQ&_iWy8|9yr^fg)aIJ9wUCmnYF|*#xG&eL=j7F$(>f)iTYyz|K=~Bv*9XT2M5%7a z~81f8}cFLJL-f2Pdo<& z*oVPE0US+fAb{lVyjhto-jHk$>B?tsB<-o$g4q0r$((E;+I zh&b@fV~RL$$>D9D7M$4A*KmL~B@2jJHdPsMVQ5?+i+Cm% z>~T7chyPH~@;4f&+zM)xxyqgbyae%B@F(;-&wk(}-WUw&AR>vg2`JCxo|LPIc1!om z@xBH94JI!S`r;Yf-pI3Bdir4K`|2_@xF@}*pa_OQLpT<-TX+VXnAkAFh#}A|0p(do z{}kbrt?%*dZE3u?gGjO@u~{he0py_pKtyx%8ySgj6eUrwNDYp{%6zZ<$MT~xTV2qo zgbOLT9^n-jaBd!@f~A(&^8+V^Q)N_mT&~B{GG{^QA<&7@H)FNm1h3BEom=VWym37Q zZm_y4X+}f1mjN<|fBT!8GM?gj8)aP#OTNp4XF2EW!|Iz3i6wc4?+MH>!KvbThVwTD>S+)NGFi733?~u1arWTl)H;)Zx_iWp|Jl^y&dUcvxJeCOiI+%;Tj~4WM!ceae7l z1p0z2);OBNwMc&-PQ!qvvk{cs!+;9-lGS_AU0{RMpMm0O^}E1)a&6X^^S zi+|kV6-TK_b(JV{G!`i|jVoZd=Vt)#v)(Nk9KtiEpriJn9DfY?eSTZ!u8+b$iW^=Iu{^=htfVrjkrdMaL*A0I&PE&>ew~3@fuol5?o4`p2m)m zchJ6sTm^3zK?5GiPaT7nr~|{p1YbrP4{95NbC~nFqnb{ZE~Ms6JPK z6AnJ|uWZZu@7AQX2xkfH=Ljpek}p=LP=|3mEf~Gz2o{$ET=1cbP%m;Xoeqvh^0elu z+@hYq%4uKzrWFGd$|!%PV9HyRVShyzp7V!8xIBPUFC((7YZy21oM-&|A*8BXkFvzJZv1=#Py8%#-5H8zED0R+m$|91KpSXaX5Jh%v0d8Ttx=6 zn>xkD;B;-VAYb44fW)EFQe_Agc^}?g0%i7aDl}Hs^=n85t%G-N7v^+x51z@9$x1CF z{OJw$2OSpvL;xM9Mk^`1kZOIN2k4cnanQ8=)e{tiINnC;HxKb9~3taf8!QS{_q&f(Jgn-v^;e%&LQhK!jRpn zl&-bpmEE(FX;EnRz!<)4;XX3R1n%KNPcnMBlz~X>NhZez`Fb7)59G;Jp!CvE`lug0 zUoJjMCK_;F>f+ym<5NmYCXFb(56U?MM#`mREVZGFMd>-;sGpF{7B>Nd`NLK1oEzun z)@d*shaVSw#?M^xn3M_(6x>4@CL@A{xqOfFu8g;D;V$%m9EZ{495^>c_QDb25!6(; z+<-j}YH%Ej`$f2n87v(R&q9fzT$mqRW1g}q8@6m2&nMkepiKcZ80PN|AqwY?f|)d8 z&lJa@QI~u|M$W)FJKm=wZ2X}_{$OJ67=-W)6zLe0NqtKfr}5kiJvReRTxp)uF9{er zlvBYA3j5}_Vc=dxS(n$Ph(3yy5d{w-Kj_DyS=olNUV?fm?;(;zpKau%x)+xc1a+dh zuBU!hs&ML>jD_LUpnV+bC&f5+$Hl>%XD2`MyqzhuZ5)b)!}5%P$$i|*zvKH? zNA=PTT;Xq*z`F7$6Lh2ie8q#Jbo*ly1~%zKIYHSV5-YFAJD{j`VRY03%j7 zZiv=6ny?E;m^%5yfn3fK04s;CD?Nf*nL@}t%XS%vz% zihAHlpm9mpASBS|trDEURZp&#PsmoiUoz;wGJ+QdK-uGPj`(Aoa8B#cZsr1iP7!jq4_#r7Q*iek*ED%>25-pF7#s(#lcGcMpSPsG0?8ZCO72`* zvX2xbe>N+51by)nz2Xg`z5HRiAMDDl%}E)~BEp6vS}P@VA-?XG8iRX)K9CZRc0hks zhqN#1)r7RrKb$6v!};TSiw(4q^&uU|eVZ_9bW%8N!oY~G2sfS6@N?XP>#@jfTwKht zm34f65r&>;-p;^j8^aw8E=QqzIF-X+1)Iia?mi0)EgS(j*STRhuRWkE_%sHipbM^> z!IWNk4!QtxuRHFbmMJrrTW8=t^q{OYlpSmipyL!wy5;2eeEvRDKb)Y39D~C*P(Wap zZ%MNb9k!g7tHl#~px!WoLT;tar%K|(CTw~m>eO?y1Q*eAWLOU^q<(`lz*AmJO*nku z9qu6HR`ysQoXrvF|1s#vevYu=-fC4An#bkk)^RxFTzy1mh#M4G8f?M<*fzl(fa9?^lK_N)1qc8*o&z0qjRulNnZLf@4=|$Jqo3aC@<64AXFd~Q7 z8WkucD0FTi<|(-gnd_L<4A&s5mAT`IIXAUwdQ%b;Z4KP;a=!dIwusD z(@+UK1A<#Wd7u>AZIm5_eheYVAl|2-_IN}+uQMzeM}8ir)Pr(JTdG+)9o!$n9}DFE z4*pym)i4z%r+T>Zp8vQAa2a(U!FwO*$Roiyg76aZpruIV4jL#>+)t&>-5Q*?X5?8& z@5$sSoUW5lsBj=;7h2}RqO|s4INm=h-svHD2k6^tTW~gDRw0Z6$Ck&Hb0J!-1_e2Y znykVYe`7^1|8PWF3vi8!ySSE=Mi~xGmHUZ6I2cJfmg&;2PB-j88Ld=#{P?aMPb{NV zF`27PA+mwyMy=^o@$e`{ZTzhj3NJ@Zcoh8rqQC(d>I5!w{EH3@1)Py$7F1lZk`)>0km>>cK_u4u3=Aw@TCUXmw4}y}t0| zRsAgwD9UmJjwYicJc~o@$CGgSMxhYT^hjbV2O4oYr6qHFQ!t+6!r$KD$*)hr2y`NSXdPAL_(y)^yxB|6f87uv8p|oVIlFE^Az`z!62XN$R32ga)=A9aV)0UTH3{K|Kwp8KltR2_|qFaL5DxiNK?6qvg$Cb1zix^)7l7rBP#7BdI*(uioTFgA(|pra>qdIn&vgh;Knw_?vHeodRF?2ZU!Y z=BhivPMHzdvWbj3SV{hxBBxHPS=nu+L8&t8LbqvG@6We(b^4c6qC8%Ica1;NIf{Q9 zpfuN6!dZp`LZ^hX#1ShUNy@}!D>oveY3?}WtOJ4#a1Gb$FzBv9d2Dc0yj4UG@JC#e zYNzN>u0sz~<8xs*PjyzE4Em15SM-b|h_@b~nnbzrnY{d?S1PYNVzT+;*W|*5XXKe@ z!dGcfK7OCbZ~yaOksoi)%9DTh|1N)U;c5BIFaL9SZSemfKlgL9vhvk$%5}NdYj=l^ zX`TNj(I4~gLkV+ukjIEK zLgkdjBp7lkaZ7q88seRR=rf{XR9$UoB=Y_0Bhm{8p7g6THBpfjrtuN_u*egDNGnqg5mes|eT;NF>a88}W_T+#>3*3w1YyC=@!9hr{h`<@H2e9?Vs$@n_}7(J^FR(2~k72E{~5 zsKMeG3Ec|_b+|r+hN&NxfNOE60_iB|Rz9$JFUsM`uVhp3BB)K~P9oZFf_hvH&)ITL z%>?&zn3hA(yLe@hPABG@vMA?J!U&u}Js=Bx@C=j=N2+M1UqWv&VjQfmiC=EXeEB$x zRKE`0H#4*vRJqWe74*v{lnv ze{)NAUSm*^)-BtdpG~G3vb~v?)>2hc+uP!=BMQ2>EnD-T5DZwYnUby82x_(t+6M;f zhw_M?=fDvlN+zA%J+zX)PJ_GgsfGMSU04TFL$&mS=X#;;il8x9z$anIhU5xb%|(zm z@uJz`K87UvVyv+w{6*A-;L4rnW`L)ecs*JM4GlMIwF zBYut?t*`gW@3qd$nm;XRdjBLGqBR}G;M4`D9m&h{HMs@nrrF{zL36QPT~_sU$O0T! zDr)`$H54ekT}~(cns*;mKt&fIQaSRd>>VFQ4~@(u(I1GgxqY3#aQkxYLD{bL$_S!R zF3tr>^w(SYBM58dAxZCXY6`Ml;5Pb2{Vg3%H?6hC z3~oCel;4BAje+0CK_C8P0;i}*{$eHE@qm7&Q_nqGmq7=*^$Z9YDAB0*qs_xGxH+!H z!i^j`>4A~I&!55_foyR-99MU|h$mly5z0Y!n&6p};J$N(%aZ*tbms#T;+-6p%!7#7 zC%DdzMhY^)E#3e5y3`Pz#s@$PIK9nlMJeyaWi+*-b=}*}=npJ%QGWIq9UbV79t4TV zo}Ay5@=8p8BoD~OSKLyMx$ForN(oZjHH8~LB>bLjYU?OYM9SO?91 z53S&u8|sCNXbZO#&miX+l+_CZPJJ|t5=OZo9&MvW+Vwd;%Tl-rnRyTv!4YVJH@Iev zXYhoSZgI@t%{(N0W5UePGVU6cub~J zH}$9l?na~o#6$L0{6I@)N+k_|a&S1{{ps|7aSwHF!DgUuN8-COomi5Q*fLt*(w|YG zJn}~{8!a$b6WY8Ay_K9-VybhZ8l(>a^6xoKX5@+bc0kaO`TCVj zxxSE=kA3_PO8?4N<(nt(@!DN_Cmqhory8f^T76d58zZvRnt)7i_ihag~ih#`mX z*P-Co5b=WPZkA`|uOb@yn;_|wcMFOh+=47MpGy$AA3oTc$CU^dph!71Jq8oasETuc z{JHQgC;%=z<4?f81#M?=Uq&Wdb2^llD8dXcA$nXuG`o#R0>1n_#j&b@125t<_$Z_f)1_z}3fcAw)midY{y8)@86VuTeKoP!x`s zj6%@iz{;&X@vrTw;o#O;*{Di0^ z@m;#>loZ~>T{n4k2x)v%8YKA8K}+%?Pp5`n$ig|{+6zh)M@P|hZ=1kMR}z3{w^&5AT$*_PKf?vw9$6S~QOKM2Xdfpm#8xJ0p2 zkOW5KoXEZbDc}-OPH$3fc-GWB>d7;axx5qF4Sy;3dB_yE7E|WAjXIDJ^6sz~jBIQ` z;=ZS$4Ua|R4`YI_pwHG(m-+g%_E!VE$6aL;r{GXOjCxOj25AI{)uN2o=Jkks8c>cn zT$8h)^Eq%jcj|z-@J#O55Ush23IZv*QRbN1%Ioz#4})23u3!p`#LL;GxCo` zXLNr5r{v@JEyy$fuW-Zz&dZ~p`YD-Qydbajef*xT=~U5Opu;)&Kf@E`qA4nHPAhPf zqc0C-%5?^-C8>UQLpHxvlL`z*vjippLC=FV$3bW=X62zyRX8TMyb}=6Nw6=X;WQL` zlRi5mEWQV46x84i^Or<9M|}#6!NcYGI}-UAA~;0a$O1OyeilwzaLF0?8j)UUBO%}0 zcvR-s!B~i@w|4T{sXRO^1qGzT?~4hUYs_l?;%-J>EIuepm2oKkEt$#8OF!3ya6dSj z#i){bcv#X1*pq|PTyLO<6+sZVJ7jL}gw)o-Y^WO*3fG`qx-=uLm5Lr;PDbNzTs+(Q z{csz9g|?2a!d{UqSr64qTFfJw-?u08!i;3LCmHQ0p(gUn1?72 zfL>@nM~=RJ^@B3GcU2c;QE^tE+mH)aACT`C&x3VF<>Ky1S=$(p?fI+}mY0GBaT&Ns z!&yn4gjyVfTGVqe5Gn8ZF!H^q!+E^-%UEVbCMMQpcm|H%F(}$kFD`k z;7M-<_g#hafIjJ~Y5#E~Y`4-Quc1yg^vzIeO`ThA5BB%a7hpX8xEvRuJ^)2ImfS&~ zfX85vdD7!Up!uUv!P5iFGBUC$!{=(jZ`JSw%2zk#g%?iA?j{^VL`tm&jIjr|5K-&6 zN=^y}3JyA2#94SoZFKnOy&3tpsP{?mU)rZ@N*6Mt(>DD1h|4~uY6^V7A^W6!7e+1z znH@-PAi6@mTQIUbX9YC7=uJza2{*2}p(BMH+2OE!VlXaQ*c7(!a`Bu_N%j@?Xxn6~ z+AqCm=fLs0Ddj-#-yT~Kx$>Rgwx(+pSi~Tk4Bph}q&)u@rRvNN#Eh9@C zBXID>ujkuO|ZxwrcQRiHHF{jDrrS^-7!hwYOJSD827l~sP0wqhQR5WqaCuptZ#*Jnd$;rl zOZB&n*2>a?^S`_~1iFskAJ5adC<6m~lIy8~JGP~_&Y*$||LJ6L`i2Lc@%-dqB!!O3 z4WHA6+-*cH!SkQ!Y;iLK7ynX%xL}ym!*x&^fehr0&M>E>xh0!p4=kU~G-dA=Y{(6~ za~hx19%GFaN$1f&bVM>79YSea&<}7#SIZ;13lPQ;;E<;2?T9D z8+s@o*KPccd_>YsjvQ}54}m|E9BqYs!X90!otKNXS;;|$G7#7g!FW$Yf6*>+hYode zvLEt@z$k_8;Eyg&48Wlqh6~*T9?q0yxUeCGzDl_5-$#JDDft}q@=RL1`vyf%x<)^4 zy(n@SLC0vG=kY-5TIkmS1X%p(1n3Jr-L(|hg&XP7uxIT$Tf0$8hru%g!a)f>>aF0;uL2T zk~-43b2A~iN$95shUFhVUsPwrtT{2S+c7Io{w-_N1Q>kekHg8>mFJ@An3M7`I2k>! zJ|o|e58ueh9dN(H|1FRnoN0!fMC8j&rN5bu~3!fb$H)bDzdmTq{nq%uAj#B zemMqZ!7W}d!<$UhFxZ*fDi#T`Ve1zCa9u~{F`h^VrI zNE==_hs8z*pb+6OEz}Wj*2bmQU|a)%0>kf?^LjGu_G((EroPj96e5;`QsXHIn|LnQ zb#TIhi!2LL;V)j65QT!KJoAOet@Dft{%9K08TE1T(E}q_^jwr!FT@;_rICH5dQQE0 zp6bY*OJ%4{9{D_xUxp&tm0=jbF?jX`?r<4w>a@^!dPz<|31r}aY=Kr+TWj(f4C*)i zOE8)I`Eve<9qPsx>Pqv)!;uCMxs1XJOhBpfyaJx@kq6EB`#X#UgLsfG4}biR@gf8R z?>tlG26~LAjZ)2XVe&8(J9Fy~RKallFHnD%ywft*JfQ|xPpIs#=x$0zKqqv96M z=dKAJ;?7aLK7SPkX+i!BofUsh`Xx4vxpC;5G^*}+a-)0#M)w>_ zqG3aQVIQ~b~zHoP=wPA zy88&9HoPI-{=3~k*s}vewmKy*?3|PDl+Hs5PofT-Sb$>+e%yppx?V?Azm-RwJf-pZ z!mDyNeNhj?pOV$es2-eHu4nW& zY{qy7M-OTz1+C^)+7c+cU#F(4pd;NC{!SGqP5M#eS;+JV zxQxfQ@%mX%n$r}d2dpw#3CaK^(=a#T6v82VapOMu(arnh%B4y1mtc6QF#7N$2=iQd zN_il4G9v?zCS>NJlAJqsQ-3*}BiC|yL*y2haEpv*7v-bzAIL*JugK{5mj0+|exN3~ zc<_toU*{?fe-xiHXbq3^@6|>4fg8)M!_<7NAp48!tm@GG{GGFwx(OWBUO;=PXQ;P% zUmT7q7t#I@jZ3;${BgOmdQx^TwxkFnS$we~rB%?e z4B2Qw2cw@^&mw9;N0NQXpAWbO&b{Ux4^BSqh11eo(Vs3k?OoH6f%Uy1*;>i!=xTKr z^=#xM1{xQdd(wpS!fDr01izdL-G<$Gq5OdCK;LuSR15SkEu+m_H9b|8JIDB=ll+mr z%f%DgU)}(Cw?8KN#;#o7Iu7R;ij1Sa9N{|FD?N{A<@f`j=$WMCPsL?;9D!@h*9E#u zH+yCN=Ahi1ACtL_8U58|9=b>y#YMmzc}~IcUfml;@b-W#qQ8r%KX(W5mm9 zV`Z5+yCKP0v<*6sKli~vkpX@|dz9;jcs#|7PNnJTwIi^vV>!u>!WqOnr>E{3vmcQU zPKsRk_OoHayNiyRb6eC1BElgUMxI*7NQ1=W4jie&RKc6$uPS!L#lG@%ZC`I@iVsAjT;H3VN`OjmnCf`?xrZKODzhE-ag#I`m}lY@d@t zJi3=>(K5CV4B=#wza6s);pszklhUCuD%D$?ZU3r&J|PfE0d!!T=T-ATW&w)I-LGAn7S9P7k{A@&clt?G2{(A zd!}(06sK7Dn?ft9IC)e#cQ;i)Gj50DA#MCkBQDB>@x=8EoDxPxT-3XR*Csx5-N+cK z(T{t1VgoD0D2ON^rH(s_eg@@o*9S+bctkSS4R8^h;Cov8fleLor?Xb%sf}nCe+PoY z&NnwE^nflNn~lPybh(LFT~7d=^Lr%YQvq(l83?AWdQh`c1@&I&m-$O@ie4R%?H6HC zZy^HP41TJB!pVi3Cwu2)s((>OrvCHRtS%^Q?Z9DxaM!Dd*8E@&oi}m2DtD<+0S`jG z9ru=G7JST|1w3`Q7ya2AT$kso-xRDt^5 z1%3AtvfDr;s3*$8CHHt#HfarB0uTKrT${k{18<;SbcmPhh?ZaoxqgH{>7I=t+GI2a zdh+P&3%6!v`&Agi>s6f}?&U7F+^!B+#~=q8U7tnQVHbV4A!qSi(t&HDxHyg@Sse0y z9}F>fzwx|;WV}YRuKde2Jg0Nl<-Ytioth~_S#wHI4LhPY@-jye5|Gi+4L5yBG0eE5K_JiUKw>v zDF17{A*Wp27&ycIYB1+plQ3f(upb2vr=WMXWd^c32>PUsJx&*-ITrGX`X>o4!nEOv!GqbGD4DWGeHBCLJe@QTM}!A9@~n+MIE*=@W#j`e%IBf?#t^7YL03HH zO`&F}9YwCv06}5)cR{y}U1?p}f&c$U;g>kw9KuINv+OB$8vJrLkcgWq|e-j&7)xwSi~8!{G(6Y_1?BaV=a={90c zv*qEukEtV1oydjhL`#1(6m*1d$LW6B1MVbbkc9^#xB$O$+H4d?ej@k@jwF9#gU3ZI z7sbE4DUFqyWJj7ZbsSoFFpwdxf#Ff|uWp`_SJzL;kJrw~?`)lvS1Tu>2RR-)5S*`q zV1@@3PD8Ktq&X^0nsd)jzbxZbfj&l(>WcosJ((U{l0+6&gJWCg4!vpt{WXX_>OpYI z@o--U0rWKOKHNK$)r|_dQ(Rz;%Lo?l7PBAuAl&K;V*4gn<`(dGQf6j@?=m}sZz2nG zSMK@R-6G($5InxT4B`~QC^SbpgbYR}xY9a1jOZk{ASu5LWsp@vKx1}JZXsH@rAlZ4 z3gS0?c(foMe?gQ+j0`}<`DYN#_JesiM9d#ndku<-E0Og_$MT52p&(DBu1PAxVZFeR zl39Kqn06d&%QX)vbOaAJqlM(DlANF9PrH%1HtIvNSMSktR}v@TiJnWy$+27VzWfU^ z14G9Z`<%;5!%$>mP!=ekEPJ2aKyAR(Xf=)90Lo)zO_tF}6%iGklVT|&D;ooHaeG8I ztNqY9aIp5kD4T<_wULz@n}|@>Vf3MJco`;&xE6tgVjGusM)X8VF4(GV`m%E!QOpMTsF9T`sy6wv=X>z&ugh%m z3i|OD>OL$l?#;@}duQcpb5@F5X{oKER@)HrSW8Z&uS+fsa49ax16PZJhQ?fKEQ6T=r7~&gyPbzP>vud%M9Y zsvPy(Liy|7h%BSeI70YeCSQ@BudmQBf`WQwH7L!Mr~-gfVM?bUmE^ShpP$PtrR zCOCh@+~hjn-mARpeV*qo*WC>u5#xJhCCMYAY74DRw7V2IW=}QxUw{tUfdRy;0w+Nf zh1z*5;WkkOpaaS$L5(kvPYlHfFyCpEY=E*>C)mH5-m|Cj58W_AmB&ljs~lHGL9ZUM zX$?&m;K0?$Fa)5j2JQXRE6xH|x850AMbM*MhnbY6;%zHMKAhAI-;2MU(ZPQov7Sq; z_X_|ZaExzJ4#!N)tq9eTt!a;to!ydh}-Yl3SC z1O_=r4=|FnXL;r-&u{Q-o_&|Wy~zDv<$W)(o)>vWeT1}kO78V9dmLjI)YdS2cxK&q zJ9aoJ08>D$zuVmO3|Y5pOBIOP^3S6^al)E4>pvn-1!&T>T&biA7K{^6*KMZ97KxCds{ykv# zBu5mcs3m>|(5{T9H578e7DBmn{?i$cl zVPfeOe}bGt-tdiZlt$mBO+!63k4Oc`cYyFZN7158F&R<1*fFSi; zI!IdeGV7AEF$=i2Je*mw57_Y??rn$PHGELC7Rj2$H$zrl@7Z#4A8>of?oKft680pp zhRi9=5{bK>Yq@Z6nzP~Vz(&YD+*-qe*XB`I$QS1ncKv^$8YkL*MKXF_S2vHjBRag*=IiY)BN=zfcZgR`#yiZC+FmU zg~fL?T(N`cinA_6ipo-+l|-UAG>D21#p?}-tAGXB0bU$f(LY5t$)i$4DVFU|gKRhX zEnOUd0H;`=0lU+A)&UX;6Q?3k`WogfAuNwYSm;pKk0N9tMjenkl$7S2(_oa#&g$g1;v&|$mXUO+{GzujzuwDuv?Rx_QlC{%T1Ez=8(Y9N^tDKDF0&k z30rQTImNE}{iE)Z#fQ8nGZTV6l=c})Pu>}MNCGZiiZu`c9Rr%gN&+dJiy*tYW>0(t z9i~{5>V%m^NJQ*4AYBZ>nDAl{ref;|U-n)$-#)*;!LBI|E=RiZQ&eFfH!iRaOG0sF z5B63BUGMj~+5ll3WsR5SM$U6S%C||g=khvK{39zD>uy#`X})Ep67+x-Bg;p*L92_W zmV6G7x`1WY>9fZu5zazMc?-j?9eN80jDRf%0f$8tA4=wyJ!fxWO|)5Gp6^-nwy{1i zPB?ptmin-r4&TK7jRG?-dBU9}fW zk6nKRCEBXJJ4fO8OzYA#)jRgI{ZsDnCNC&ST6-u;R^>@9QFpl>%I<&QU+1pXlLas_ ziQ9pH#8y9Kbz4K5|y%W8nCgrqA2f5D<{+xf0cATJ*=gbO5}A znI$I+HH~0T0~DQ+p+;YiTh=&GV!LATeJpPdoUhQQgII6Ni9=h6omeG$>N?eqpnEU@ z3!6HR>)-QF>}4o$fO1mYT*^_w6y-w53!-xpJeI{Tz;YA1)Hy0=M6K*Y89;v&fWjFs zk5Fu*mZNW)J<2aNj)PpeK^bBX||C@mTDTUKw>F=;nu6^wXTOtJIcAv%pdWS zhEFIgI>(h3P=dNgc_-zkQyzH@zQ0vER0Z)w(6tkvi#w`Srfp?Q^TYgPhq*LnlDVDdt6UXv4SbcK6+7 zJFDj{KEMX|W_c0v5LYr)tK_QAlP7OL_bgqn_w-1ohqM~%#}Qw zwdz;P`X$ar)p_T@0eLs$tVO+*1wsJ+$m2JlpJ(}Wj(r!;oxnp!?2bGY%B_=UxCdD=|#0{C1XTk}X zH0e|$_P+l-l-ULh0;ZA6;%ymFPjl}A@HJ?x9mt@MloNw70ZjFh3007u!E>S328(;4ylL`Zt@U@f3?d^WPzJFht4 zQeuBsJvER+7X&A+%J1-`Y`7Se>9dZ;a4~ucYA5u_5JO$puJ}=*%j}h6|;5YCNQ$H_O`uh{}}-3 zGuVEM2y%5{5O`?_QL#%W2#osxhd(XYf`U*X@-B}%C=I7%U`PRzr&v##dsCR_zE^em z@CDu{Mcm*VlvT09`&RgC4d##|SeE!6;OJ{uF+U4@xte=m*{!kbbm^fGySIAw+b2I} zKehA~i~Z~h%vPScq#LaLxMlYE(x!JW?Q$<_hy5iNOP!lT5EszUNcws!ZuJW+utC@o z(SfBBFnZhU+xAIY1n4fZl2FOBV!7j5*H6wz*4PhOd!RJGB72av{PYswc<$DE4gk7? z3VQ66%haCsc5T7mV=IH){dHE9wvQlsRUCZ6y595Ffk(Rl&_|s35CO7c+dPybF>8A# zi(HCVBjhu7&!4kjwr|;gYOh!sK)S?Lw&D+5NuWT71lDVlPrx%#R`5-ii({dX4bgu2 z48Xh0exsY3SVC@Kpf_@sdpOCN&LTWD$e6{%zD(PW(lxUnx3%5 zJvWWnP5ea;bpX4koeDdEOk#~Y(3?ghWT4%(#HpKns<3RM?mD_ya)N;6bldEoiZ=}< zp?(=x@(*3ZDb3NjRejdZCK9wQ+h$)ClKPX;-?!{0yq{tj7iP!Xvw@tdkkdNOM-{{? z_ToBVXddCqx~C@{tKJLQe>{4{e%X85B79xD8L>O#IZk22egn?^2y0A3frxBS!qh$u zNG(BwO0(3IY9-UArY*bRK9v7+W9_lU7FaqqwHS2o;L zuU$E_@Ft+8+OeH`Wy{4HPAS%RWAOef&`#KXa`~&Sa;kUa+r9lQy9L;y=|xTq5F&5j>1mpEEJ4#|Pc1)id4%J}ERRG+r1}{te5^)-W;$}cT?U9WiHtlpjZ5>S( zhANtzO@lL=^DZz#c1<0$<OiO^fNc@2YU z5elvL?d*2MZVhkRQ?ZBkNo1)!CITlvOh13bbMklWF9a$Or4ps&&hK-yT^P={ohgUSY)LoRZ4Bh-umS#J_3Ld=S7s~k_$iZ#;Pl>_qr5r_Q5yXv|W%4;HOQ%$!j zbmab3h$Dhot)^|t&5(OvVJ793XqLdde*jsJt>%|utu-t!ge~`|c%>3?kNL(3fF;~- z+q45wfl5c`+}~N;cE;;4M+plqi1<0Sg+kSGMJ#HC4Nw%*&J+cwtOHf@#~s*_`j+R! zl?%fda+RubM8a#CcPbw}6_GVJbwn$7csz)z2RpPbWA?iL5@Ky?bD?7w29axfj3IR4 z!=!%EVxyj$PolXDnuCoXcQu5P_ed%n@rb}t3YF3oWz5Pe^O$pL^KPm6B_3InuX>~^9aP>G z1rCxT|7#1MP~nETwha@7%XfCa6}CY7fv-$^T%;HqHp$%>AIv4j!kqC90X zDMvvwL$YcF2sOx!t;z$9dr&V%u^vD>PPA<<$mC>}qUQ zDvSmU^oAA*u?K;Pn^*JyXne$;?g2Q|O1>21b?yPH;-I|(h}S)jomJas4H}WIaFPIs zs=izWT!hcTBl27bSaXCNalp0io>OC|7<`H#RS4(a_y$5+*++t6*!}{$^0pzwMJZ}b zCCghsYWtn@pzl!Cm2GMqe}9^C-YI>L6udl}Qh<)i0QSnwk!9QM0WumRXSIr-m4CIA z?b+5Ef_)W*fzU1oP;0}wl_y7l2zYn~I61LYv}1Xcwd?GP49NiSa=Er8 z@?1N@w{}s+7sv)*&AXJ7g*M?)V4vLNSrmyXE$Zx?s(W&9lk$st2!6HN*ZbAsS^HBT zqt;N)`n-nBiB`JsoW^as24>KUO6%o?)Qayx4JvSrW9X<~kT&F)S6bQ)*JV{D?J9BT zaVM_d3wNF4uN9=-8it?pz|_c1o=bT!lZm0Ls7Zy8>*yePdB=SS9!o%@D%|g!xAImV z4zD4zrX@#NUVSwf!ZEsDVM@A(r4!F~eDKi>mR{(L}8ifTe2sui&|VmA6p&6YSYwCmYu z4)mH~n_7@23a+1quvbt5!qcMlei<-HBd^A!SXVH9 zXXN6(np2_@X|)L7Nbk9!QQ@M8z~Xu+irxO2%jiFF5CE0Ly-5@m<24uO<}0jw8L`{b zYmm+WA{aS$o!WJ4Ph`1BILJffKuk}A`{Yt?TPb+%I(2HZq{PnsC8xw9ihr=i9s)uE zm@Yy=idP^?iN(5y7~gTh3Kca}%v(JNq*MeL<#0{1=fVmS7N~f3((GNxTdk!O1X3xn z=I?a*48|P4sWe&n>zY%cL|2Iy6gRJ^zv>2ztC>IYY-!(PC_A}YW7DB!$CnO7xP%z> zW@xa7SpLC}E|^!za&ZLpdCMkW-Dk5#u0&XI+e1LxkD^qRIHnwxcQ3A6u8%+~`OdYf zYYhM~YLGYLoc<7n^IQh&>EvM_s91B#Z@az)HRZ?1Be~< zGIk|cb?w*nqXBQKj8V>tK)QgqV(kh&6%f+;aibOhim?xy7!LYj+Xi^Ypd|t2bi8W` zz+D&mQc1rWyQ!<~J-dc_PC+jUcO+Pa=Fb2C0RZWE$HJ3=UBK5d6m~Y(Lpk={l z9t~_BKFROsM8Io|zPvTE>J?8{`}SB=ou{!hp&yk$D?}uo6gUj4leKF% zKhNoPorl-uDWLRa**YMiK11-T%BqzQq_BXJLdSl@0h1?4D{+UK^eOdT%1l(HL|B2b zDE~=v9blRDxu;p{HhluR<@Hl&MWZ;>BthXGwfD;Mzh^vvVjd3OQg0GC3QIU%f%*hk z<3XF=t6TpVrMb)wyim5lGjkT+%2;}CYT2|p`LeGCAW$*x%GNV!lvNa?M&mlF32R{( zCPoUgLa{+5z_VI4%@8)@FIDBqFyY%-uf|(wXdyeRFd_G;#NH>v(`f zM-AGVm$C&ugWl#n3dhP{l5u>eeHB0{qW;xLECOI&!C;ApfcdGeWwM-Y%C~6%z%~lO zf09u0N{!%A;1jRMu;@KCZp26%H;|i?6!(V_0ccP&H-RMif7^b>&L^rk(s%c5F?Qzi z(d3oU&{u`0R8SGmOf3aCQQxE>AYP5pRGO>0#Cas?vUh0n>L3mPl5r(qGwK8T2Y@k! zHs=GD5WPVMqmJ2Sr(|E~6>SNfq9pQ*VcAjvpmZJ*T+CX2X=sI&K0pgYEd|&@k9E*H z?Lo#;S*T$vXMyE3a*#Eiw5)?1)0B3NbvSR9?Y~40l*h}~^;JVx0B#gHoiNCnJ~UppaHFs5XM)pP;>lnV-hEi}boKvY|$x8px|rBENL2``z9q zKTIG+4g&WhyGaMB{OMCa%Ohj&a(Dwj43Qq#JV;ZdG6=+N5QJf12A6q^fKe}u7S{j! z2*d{v;0#>{oI6V{Yc=6g&ATFmO%#v@`fdAVJMiaSM*esbbU6%1{N40(d`3EO_8Db! zmqQ@L0yg~O#7#zCE1%d#;mFE4EW32WGI1=5$T|1YaS2j)2OD;`bHxt23)~47gLh=h zkwYs)Q3e^@SQ^4oR{s#g||x?d=PZJSTG`E-V}6b2Xk zIOp?9c#{<mN?-w2`v`!bnP6NsHIH zwgmjR&HE1eRy|4BVQ-G}soEU8CXc`fM5#GZS=Jd>UKQ(+C-I_Nz;aHwMB-`(YZPl; z9;i~TX7O0xbvM@B9gPVPF)PPFfm-EXsa#mx8CPXq=E6#a*~oU~nTS?3>@v2BVN!;V zQ2J8Ia|RXP$yL-srrC5{}3SZ zIw11`try{309dNr8SElYBq!$i{sln(M#;P@Wxm<0e~)`mm=u~*MO1t}ve3wipHNrgcWaci~fw#o>jqC~%PVL_H zoh}zrvWb&X1*QY9d{}xZ%G#B$qVCsvsLE~*@H?M6v20>CGW{Q+?$dyomjL}6@C$%4l3*chgbF3P z*l6RuuIq5E5@oH|0Z4hdc&gq^+cyUldv9`$U0LNEBpX%Ag7#6*G@)GO;6 zJfaS`qGd@j?$UXUHGZH4;C{qjRr^J|sOD_vpkn7w0OsdVr%KpA{83ovhbgop`up%_ zCZrW-Rhp+NL4MEg0XpQCo>|^)H1tOFk=-cWx8yulO#vc|tDSBa0@BFrzP$zOis03K zK|-AUcK=a&(<7djvs@J-JN$iyP*7hKCGtr)zCU`}CFCljT0@em02sA=SGldMgBZ+N z{#d|1`||sC^_deZTp3$oxo@wO-nGxEJUt^LeHs3j1 zrOSe?fKx$xj?>a)V*LpGsK3W`Z{Ie#ei~ukLEzn2Qn0^e4**dUuB9Z(6I-@7YR{u| za?XXHy@?+BXig z517VL*v`(=b~s(NK{(}N%YSX-9ZNnPH7|#-ls5+Es%Z+S3jzq-DW1$d`*&?Mv~BU! zz;#~?1=asW6<{0AJD@O^&b16JZ23@LWR`rYA6>`66xJuJqzzCx2PCRSNv_`lN=8X` zvf|?{jol^#Eex7tzNPl$_R;O!h1n)6~}!?lH$n zU2`5xfvl(OF5v9ag&);^Udh+;D5^=7?oYPk1{`esV}P%K&?D7Rk{eo$P=o>=2wdETDs8ZUL{h|F&VR!%Au9YP3Km= zjLccBmA2zY8SA|RG=5k&|Ga0N^I5l7gT7zrfl$@A@22}m_I{?K)ct9-;^dmWVg0jD52hgMdBkSf~)m0T+UGIRp zX5kJu;Ix2&5QqCN0hZrro9#AP07?U(rt(kqfg0TFnSb{jC8qG4fGyYAu37yKzdxwi z^dY~$2Y26TxT?1HXr{>ag7el6<(=YFzoo_ig%k=~I?!^RV$-g~x68UOP+b?c>77}{ zt6IvhyHa9#szvYeENI-t8S-A`@y|dpk|AArmRS*Z1>GsJ79Qfg^3Z6~@0HMfR}m(U z_H^hYyO*ue0eHY0>ICa0kevduA*B(sR`%MRiP}HFi+-E~=lj$}TENnU>G@aSUC`nT ze(tIFj0g~aDCUcl)p)e)Py&0Q+%(B9Jp{>=oT~~Q zlG-@vOm!amSINUCgGFn0(~jpV*>hVA?Lp%TO{+Q+^LZS1xjc2&`Qzv%d4d~(qD!WK z(75V4+}fU6?EP^5d^fD~_kRBG*q;eJ1xfU=18Z`C+K+iXESfzSwgIE&>{snm_QHsR zD)%g0&@2((l~3;XR_#k{?x$f=mDtHOu0~jz9vMz5MU4M7L~hC`N!jnh{2D2@4#}u3 zw=5jh2W*CRtrR}DFvPATrGz^O2n%9OYNF^|5UZkqg#l5hAV=?^O63#j86|VcN$7#uvD5)#N<@=Fta&Bd#lk$` z+W&>Ui}HKM30sAdRI^e*WT>acRIQc|5WYUwt9GpW&-U%kmkX}c+-nT1`Y+mc@qpb# zDF5Esk6N?_h(#Dkx+?*>e;I&*ZrJPo^Y#&Y-4>RBlPmD|8fQCZ-?@guUOMOO=-djm zBlcm#ejTb&t8NLo?i69%=iUHjEXm@N9$*W0$C_3rQ;kUYEmX0Bb%HlaKvsyc22IE^ z5zR>eb+P0WYSa1^8c^2!vcGMq(%4x;qOVwBU{{9Qv(i|7h(|iuutM;{7J?`CYINIj z*$>NAvGf(Xsh(tA^RIlo;oP&$qo{57uA0WMKbv~wfJ~Tc%j#7BkwX6*V5Q!iQ_F`g z?CJDl%jY^4N+6ggoNYgi-MC?O&Q>Z=@G>GW9R$`Qcr~g)G@!1knx;ISD%3Y;XDE4r zZY4YG%%6BSTzl?#ls%SkuVBdri3x$?Nlc)!jgqmJ8 z0hTANIU6*B))~ z<5L%V?@X0cya>=}z)f}DAF*4WrYI`}Ja8^@c{i>iCDwua>a{ADzC6gs(9R$7rJHch zGJ74-A@NO89Rez`??Z;)y4lXQsUF{b{DDcT}iu^$ihOxwN_w83d_$gaHIkfg=)V|XB z6#H^u&y^lorF7}&=kg$K|FZojEZy(BFtZexLY@n(M4@xhx<>qKy*g7>6e?FuvO?w< zT#HgWMFuJaKETL)#LktGH=4zza_NYDob!;4U+@5+e5s;q3I&RG6pGIUkn0oi9*PBo zqFg?e{Qq9}vo7?m3@4S=ujCIcyAG|c&%(i)DfMRSMPAb&(nCkb^5JU;g$A-}9Xi80 z2Ee;;|3d%FV&Pc>NQF(u7;=Z4(j833%W>I!3|rB`9e72aaDjNObuo41WO}#+5K041 zkkdirjdu;5k^(L5G_1>Bk2{`y4n0zl7gY1lpj&yr9XN+(Jmk}?8D7<LP#!|q8`$z3BPuE zPGcuJmM!&Mqe<~+yi;SnVW?i3I)zNcXoOc5Ia^ot%DJlY?E(8e*G5O>TK4%y=!ZM! z1VVgdd9UUc>U%0V9auK1#I&Jph0m-MZ9)7qt5I=#Pf2;bj;)U&ItWkg zX4W9}rQnezb3>O9E5V`|-dK}SxuVjzQjp(2v^VVI-hyKuwHBA+8ciZ@nxvAM4!EKm zfqbj2^!MH1FbTWy?F;y*m~WB8#argeF1~ zOHr-23%RDnVklVXMs0;}`_FPmBR6PF7LU4hs^TIF?}!1$mlLaBB<;eR2lWf#cO6QN zLoiC%(=aDl#R64QWKx0>o`>}%*N`iOsV(H*M+p|NToh(fTWZC{6%zQi*2gv77;=zO z-;41)-^XrAB(gHgBKQ_L54D4nrK4v%5)k~Z#8-8(T=pb9l53 zi3KXNhEdsPgBICB_~xOT(8y8=>|d10eEQraH!8vGoy+H~4U=XAbr*)4n<5YcC}8L$ zl3~55`uWv&KQ3aN<4bXI!rU>Ff++3#^SE1ZO;7XLrF&uf6RP!D0& z49t3%47TiWvM4ozl|N_a059d^%mKO*xv>LFIqpmJt6Zr^)hm1_dkx8`=gQsg({^XF zVI3?oHB(b=in{b_A8IEl+v#q&iXzR0k_X}hHL~q5TY99*E7l9}2x`)DdR7HDLfCh! z5!-HV*;%U$@IvSp0NI>@8t?Tl%@Vw?5U#^>6X(&%Cy*x@_%Q>rhY61RTpuu)tVl>} zUl1!@d1PZQ->rsPQy&8xxf+q4VCo9M^ zD&JMRc|jM=?NS1)24AM2|3%&>-XB7Ld(dOti`W`6dciw)x|k6mVhl3E)AK!iQYrJpjY?@ncI~;ruuApc??%MbnZUOv!=Lif2i# zNAU4uc362p0#sU;vVBCyG77^86LsEhjIwqM6YAGNL_j?B$gEsiAXE8Y3c7BfMOJbr zF40)CXH>~KJ|EjR_FsWd4=wZzGEaj{kJ}EM$PmcSL5F~G%}D!-|0C9$WbLT8VB;#h z3b<@PoZ9Pq&)DSLw^Xccl`;lo0X+~yGY&D@;p=Xn?^4%m_Cfcmq+!?Mz7#+gDd!O> z*6KU&zUDE@yCl#onXF2+Vh&>vV^@jbDyVQCvIfS5e-+uLiD8$Vm_m0dT!=!0A!#Od zFlg$&zBG4Xu@|%U`Mp^}>SA&hFPOu8uE74Y!QgWLD`JD3QTVTk5?PFDIFjonbEg>SyTD=tH2rNL56@20@fa@Z{N55Q;_uqtIVRXR4gn1jECTzv#-PAdA=i! z^;G8Cx(5Lo;dBYXH-I#8giQ*er2GbrFIUsMKqYRGJl}u?;-wMzMc`5~Wa`YA)F^T+ z5MTaTEJJlhRJ-?h0s-y!?R2o<;?+_}fw`FZb4d#>CoDeC^}_7KdB?gJLD%_lIajkx z8X?1V#{+qKijFZAqLT1Z7gz1061H{cs-oFONvj0dB~N;@_Sh0$Vs2U3N@P{F*{s(F z77^#Cve5kGT)$ec6SDoms(o=Xhu~Jee-c=eIRBU`0>Wb(^Z^>9WqWO~$zEc4tLdD=GCb1LrZpx7``QH1FjhVmbUH$y zP89ZY+2RN<-s|W^ZRvg9pRv1>H5*P+04PK_bfxz09oDNb;nsB9=E|s{>tRc70%n(? zW2`(G>Iz+^N+T4rDhLAhWVGdIQsFN(yDEDa4-wE2YwI?`fHL(^RXA69461HPA^zu( z8B<$`o>+8|Gb^EN2LO+QvHcItXDv2N+hEK+O-8J#?#@qrQ&jz@64B{2Y}qt?Auo|S zcMe9D$ztJaP+Ad+hL%zbtT_)<9|7RGPlbV-081qge<#Ua^F}J6Q`ePRN}@B)*kB?! zqIb#f$sWQ->c%OnT3&j4z+^rQ>CR_)~?>xK~kCfPJ~cSxdsq1KdPEJ6uV9lc0RtV*P5mrn2!U ze5)N5+`AlW7E;M2p=v^}zv9_CTsCsM zmi=VHf-lb7FTL4tpyZG3bMo)uj{vFrfxNNIu|pvs5ncjowh+H`ZXsncYuvTQFGuH5 zesduUsCi8mW>;rOPELv@mqzKtc|X^Y&9C;rY9^!5j|8UD@}w}_fG3pS6-Y6~cd6Nq z8uhqNfIL*E-hr?<9>9P~;Le?}@sbcw7Fbh^xh{T6e2N76v+jKm+q9*aFNh0H6LY9l zy4E4L^=YGQw=OrWaT#}^pl&tf0Ec=$tb`7%n5?2W5HS$2&zgjuHQjP>ZY~U@vO6{N z(a#a0Ny%D`0rplWXHPn-w$06DRXbWY!UXmgp-7oIuLNv$%x9I`_{g28ZjB-UxzA@g_)<+H{-;51W6838ksSd8}Fq--`|F)5+NVHFBt zQ~;oAC%)_*U^(tuDR|~8J|e3zi#(gP@J4b5?zoTn6_nu9N%x#Qkm>@g&!{Pyz*lpe zb)g&)Nh&vR!_pSBC$<(>x6ztQViY)(hE&@CHG+~5wY~%^{4i1nR^TlFLiASeWbI_1 z$1c}JHbl8E^}LA9$#ss>Y zeT38pII#A$=uJP9HU`a{*BEI<^fU9$o;lluXR~EFN@L_+>9|@3yw=?&XFZomcG1 z<%%8m<{a=AZz??fj8}62TlAnnwbmmzru&kz7o7@n<>6_;Z9&nQGVq-umfTSVpF+;7 zynBHa%EPV3dKrv3H5!zEQ3Ep{PuFdCw91|&Y(9Q!bGdV5For=tVYhr_F6$NdNv4R; zMCd0evpP$iZ?y;5ysWsHU!$|JHIV6QOc*M%S}|mIWYg-v&c+p&S@cxC_H`2}vt20#&Tr@RiCCcbie4r&VV83SJ$Va_3Yc-(MdeEBH_)ONaet|p<JenWYpFfgw@^|fj?mguMl3e%d?w3r0y$b_? z2uu_ek)tq#HjBJxE@;657VCHfnCZD;U{2WtvC=zdwg;Dsu!ZDAe4S|qx$i5(bBC;l^tovd7r#1Z|OO9WFXpysZqDN@kRQMUKFnn-wo zdr+F0lK87gox z2RAAlqP~iCgbn7hJr{gvtC2k`rE2z6;KZ_%8cYduYM5WUXt7$=V`} zdjQWN79$Hwt)T!`fNDzGYz*zRCh-X^xNwuE3(HL(0X(SFP6sLicA&U)Zh8OG)X7(` zE3-#5D1|2BqXNlYZC0LJ94kpu zGeqLkj;(`?dw$B^_ZKi4P@{ko28L`N?XIUg{yrucN8BR$*fD7Bb?S6=d05GoPC3TH3wZm)&)*`4h61~YE1}hKrK1=OR{6=$6W5!5r8iQ zpA9gW1k>tVX^HDx^XA}3jfqh4XBN8BtgA6s!7$)Xmbows#w-vU$iv4bK%?YMBl z-P#R%eS8i4m$o4Mb12|COuBMzDK=4q_C(!r3jk>u6_Uf}aDE#(q|kB%>szs^0c#YW zEAdq6t{!wI&rcEFQEwB;5l!}9We3*09p@2LD2b)o;dt5JWGB_RTG@Ka5>k&J*A^be zs&@h4tl>Gnuc8uf_V&=5w`Kpp;u=gBLl7vGvOTfmj&g%AF5pp}sjBCOzIw?BXareT z0eL;^S)^P<^^|$X&$FU2C(z{Hqi#U#%e*%QA4rxdAy^&=d5NlgZ+Dc0H&7bL{8}5y zHx6R#AVHOUe}=sH=83(3cf(T1vjE>C52YX^uweW9V{1JI$#)TQVP4arqJ&gQ6J{UO zW>`Y6QH|OE#%|b8j@hgLEGy3i*zg}gU@uCDAdt#D^C}MwDN}4+T@_W8Bjm4aa4}O3 zLRA}J5qhHAaOIM=iS;Vw1u@m`diMMFX~e)HfQak#hOYFm1E3g;6RyjuV#h0iJu4?K zY<;w6d)^g$%YPb+5`^y^K~^mrVU0`yD}#2}J{Y}Vok_-WCzarwCadUd7ji^_KuB_rD)KtVW6?XbLbB!*Z?maxjIYADYMhvh7!P}z7BVCCPyw42@&Tg~oSVPj~CEv)tU$OatA7bZUiKQ7q2w%pRz>&A041r__}LA|_U7MYJUe z7z9A88cB|B2^m3~1XhV+w*!E582S}G#<`ZlTngjKV-$}Adl1m-;~_OT?1r#zLawr; zim*mBJ7J+jR(VRw-BYZus+BUAt~ZRlb4h67N`IHzm;!d^U75AJw~4Zd_#eto2106B zv#rUgbFrTx4DWNAceyJKe++SU@^uNMCIBU}gtai`(5RVOB8tFLG=cN@`sh=ZY$75P zRWtQo$g;lWs8u!qCi$589fx zxiU!&6f8Lv*K&C?QnHc}>f6#j4*}A&i>g9Px&0Do6g4JLBC^C|$~DTdDJXCsK%>%V z?Zc=Yu;b>Oz0r8y{)gt%wt0!*h4?hFTn0}Yj`>@BAer*}aET`LY8ak!F`o4n?W&jIl_H-xfg0t24+|@5EN-Pb~0}CFGToywTG}2^{M3Z|mAjUPuGGOt zuPOAYDc89iz%(^+$)2g^C04(}#Q}SbYdtRz0?-lw4(9*~T=A*Vwk?H^Eg!zL)EI?5 z#eh@Ut-Ot6=p35soC2^$tDJ)x=d0>4X1BR7KFJwrB%|&q!D$sZskRF(sc+FMQ0D@2 zPq||XUA>Czo7M98@NA3so?0S;GzB22IiJGU;7C_3Qvw|3u0r#bBmx^9x7V=YnQzI) zg>~S4L>n{~uquY*KKCJTT?=R+VbbL|%Ilf{z$|m>FMID>W`R4*&1B$ueBTP0CdLNq zgZ69P90tw?yRX88x@Cjh2M3_JVCU!Z#%k^w=Q0(j(xBFsEdffedJnCzfQhio{g?Ze z1@QPa6?-Tw%{>Ih?)vhq9CeqiJ}%mL?D6}G1rGV1BIi7xwqN?jtbP3tbLnr8(0KPb4kzx|K#|3m3n?hG`VH?zd+dS~a@(++h7>CcgHUxe4a%}wQMu^$TmuVhGnee0CPZ$zg18; z)}Dmn>KKKJV1$lQ#;f-0fS3&sZCt|AF+|Mz}H>=IlCxWD|u}#yZ35P$=z2 zYS&hm&Mp1%gvCByu)vipw+h4Uj_vOr|Af8R+IF){ysf+i*06X0uel+2(dYuDwy-)k zEj`urW#AR^L32|AN!F?9pQ>ay;rYPOijk_zQOG7+u3fw*j|~3{b-K13I<`u>YRSA@ z=w?w+QG|zF!OA6(gE!8)a-6HI#~{jIarTFH9gw=n2_b~jnh0&R-Fp$xnA7U-DdKner&=BD`b7i}#gTs~$ z?|1FN`v9NQEk_T*nCE~|X`-5^Q;dqoRw{IyQc+@ab1!87s{L7vi>B+CtBJ~!o(~Oe zat;VZ6zydvXWyE91X>5QqVyC3>ha&-g0Qwy?0LDxMP}-IeG_lq}z<#6olje_+ zL;%evwqgH0BIUx|{Ll&*_hScpuE&hNt+XCM8Q?qnb6Bo00;b9fcDj(&FhL}E=F|U#a6|vXvA6WIjJ+=4^l;38-wJ{I(XM3t# zzR3mL8Dg)lVURonuwRb290w)stMpb0nwl?_8&s`0J##WRo#?o3$Vy5LVb!Y#&=zW4 z6_yv!`0v2Yn}7xt97yI$ajOfe11HloCp*W<#-W)SbdPccY$?A@VPtu2XVQXug4$LA z1F785NFmh%qj-QwXt=M zgLcuDaWE_06+o$R_mY8Exu$xiDs-xZ?ec{4;dAorDt9lQKoSFNg8}In7LmKqigLJ6Oc*jg+yh{Oq?9&s zK3U}aGzg~1dC5erI}cYP!#{;ao#Sk>_H3!C%L5mIt- z&q6Kg0U%fNLh#%ciYRHUWtTAYVXj9|RWx0AupPEzEUva+cJ5_0o#`WJ-bO_$fpgA3 zhFAtxg?NOFrKo3F#>(r6I|Z(MlctyA8e({e0l~5oKd^khV?of*}!hmoTbm|sf!!#VeKXG8eeOm_(7N17iZ_ z8jbD9U$tNHb?n#8JyhteojpD<~L}_|yEV3BNR8C6)3QZZ+ zsBy(_!SXPdfQa14MSjmB2xP~oUy3{`unNDapNfXtO?fD5-^04n)SoQmtWxOxS%PkO z;wmfD$?;hf;0pI%3L(@Y>@#bWg7kVQhNFp{AEi;e(8E^PLf1k5s;B|9NFjNRp2bIf z%K$)?XAI!D5juAIxzb2$j%;&f zpR@O-8+HkZor6ErI$pv|?cpU5mG~Q#l?e!uP?2y}Nnv}Ewq&U5s*~Kl_j=aj9-U_k z&@!4vU757Do&td*(SvMR0ey8WRZh|(w6M&*YYoS0ZU{|br$Y}=7yPS4NQFAv z)2ypx%J-`-gsKKj2e&e|y;rf&QQevsQD`@A8LZn}w(Z*LC(_V*vKH8Ouk=jWwgvW7K~Cji zy$=5fiVB=0pf#;QwASVhq=Z$DyUg{Uia)gIOMsJN21zT`i;0m%<*&xgOGaGPh*w4_ zFV2!>`;WOgU^4P5N@g=_fdpV30IBY{k*x3X?D!(9*0IF>O_Ebn zQ%6=Pv^l$>Md95-2qQ62cLw7wvQeQm^=XnMQioj8l?>5)D1UWiopp(w*lpyrY5)_? z8{s=}vV^Qq&mS3KDwTh?^_-0-G1vYf6Q3Cb@^+2e00`w9b*9!i4A{NS4VQnU9zH55 zhCu*jUF)`@_PBq=eieQQaGv7L8vFVl%apHo#XChtsL~P>E&}a!MgXZRoK4EzlNt&N z=uAdIYe4%lXq6+SQ_z{H37rPbDu+%UTg|0kIcnpm~mYLREd3*Q7b4}cow68po z;zUDF0dHO&o>PBa8G2!B@V%n3WpB^2i_pswbjp2cew9MIQB9H#1+2I4+n+y++fQ^j zvp5f~8^e&+UMmrM4xWfcxc8{e=~NKEEaQ44^#abLhz<3=|o=oBp;HqZlGwf81mrI(_?CwdK-WUHA`-dV<(*KCx;lGG5vYz^E@+9|D(`>I57|A0{<#lgUEu+h`KBOGl`<#!9yM80Wl`q@5!c{=hcFhx z+yM!`M{W9LO>V06Fo@Nig1|Hem8x#1>u&cy+HxtbMu%!P$*Yuuf>!mc-MY(zGqkevWVMsv%o<%icY`X0?YQ8|$xhQ%i&`P>ecIy9FI>=JqX{!)n9|O9nKv zX>6^du-)HXvPZ{DR$EUG=*X`igo5@8>-z*W^c>&t43zW<1hC3|m1x@I zZUla%b~Qjuoi*#DyfwyoXa^OKB37s3abs%JGk!Vmnt$B2Mm27S-330Av4VHu5>O>n z)t^M(MwNx@z7#um$?c7(?E&89_Y&Z9Vcj%)5AV1HS?)uld+C{XlL|C2266dSF zugcy~tG@=jr66GsV0;1HbvPB3__`mrEDwXV>#TkmFI>W&4A$-I&8O^NA6>WV4xsNs z6?FizE=EB=XoD#y%UNhGqh7$e-I%NLxeKodRO>sWki=t8w8MP2s<#BiVJ96Ev*_TdWou%}i>rtSAuTtdD}RL9)Y~1kL==6 z#NIu-X2<;ncv;$1P3APoSpd3+4ts3ZBM+^TKDTtb>*V<*GPHkRn&aQ~^BMcxPcPb= zzx(J%0S*!@cx5`{~6a`{Eb=JNMjIzVJnO@D=;`XZ|O=_I>_*7o6zl2t`)~ z7zibXP|z{Za14TQog_hqVuT)2NHaagipe40+z-Th#hN6 za?^o`ZFU<{x2qCgu@l!51tZi>E=pG04qN|G--f$AYn)?E_sS4j(+X;r&389J-O7`Y zCrH+t;=Cflp9+Ls663S>z&1kLE`LHLz>XOqu^OLK+hw`otBt&?sE}2wEb|KM5+gXq zDq)3|QAE)?-#3OlvrhP_E24&t71$pIb2>1Cl*=q3N(reFf}V?S3sk6$)Gb8EF8}WF z%tKz?XIB+()ArxGZx40L42mdpR2&V3FKHq5fgbs$U;k?S1z9 zw200t%@pq%2cS0e4TQvg*A3WGr`?ybx80yF0SYO>XEXP$k~(+7s5&e<>sJHkR0Yy* z!~uQ?p9_@GS=gGVD8|O3U3ha&z-lytN=6kr90yfzqbB|W9P-I&|Awqqy`(`s}yG`cZv1A@4N?x-V(w%;VgHZi>l3(=X2JCCb(}6T5~;L;6Js-zvW-!PL>?s zDThV?bks+ncINDuYiTBvfPlVFxiea~{?)YBQ3N%JEK%vD@^-Wb8u#va4_?-1>i`gW zQ?(y65UKE+Kzx{efQG!Li~An{GUSDA1oCzQP?@E;CLD|uNEIHHw?)^IM@v0ys;Dj~ z&c4BW_xSuFf2;q8LPdiKq8>h!x9tOj*L!};E}={1_&nlF&UswJT#_jv;G$6y1C+M< z%pN1(E>Lc>yXBlw5K8)X@=1AA#|@kAV9s9l%zt=ktv693dziI-HZ>l$=q6Uba#7@U zxL>n_w?bBX;Mv0(v@?eBps7$UXayAqKraHVstD6wE)|Y!DXms&T&}0G(=>9fVkg6j z^`|IfK(TfKJ0Fg~!kS}}w4(p5X5Tzq)c}P}?4urK_6$>&l z7p(KBy7QNJt~Sisj=x}!M->YQAHFT zdl8u!<3wVC?#%N5YYHgKaMYmK0pRL=&g_K0l+3Q`PJwgvbW%;Is&^#6?{lB>PNT(G zgR*a8$ZS>VAanh52dd?f(pZ$V!ch=QZxqKkm}AAWT(|-{>-{zir0~EzDh=PI17ACH7QN5nTnjgDnOk$Q+G;ftSqIjil$z^j0RLyK^ zY7cxIw$5?L&B3`nylI(W)3q5+1zXm@s#Mah6t5D!#1OikN~*K|1tg6ih@jroYXU%~ z4uDEDd90azB6nmQj# zI7*;OIPxrDvzpB|B};*}d9TyI;TR_Aqt>8z>dA$=#YY z{-9~c-|(z)9Cg65+YDOm1X0zN+XKr6;iHCjHv;$UdO)h7NN%^ZAvD~Cp?x2NbqGVDBh&fO785OLN5Y^OLHBJ(^&BmLStZ>dt0FsqB0L8aI zb>&-DdKqi)xs+u;m9+ol#W!s32C5Yc=_0UXnouq46AkMG`_XjO4g)K$^nEdKg2gWn z2KR?v(S+3J?GC_1GfScG*pbbrPplX^WpC6CQUeRsE2qupItXjdhdbNj87Xg-U}~mJ zj}=a)A=k@C3P!*{CEc=SJMggP_y~ZgN00iQ?Cj6my^AXjSS-(-S*|Q=oxKhK82QXH z_ZY@#k@YFQSIhhNrq9_S3hFyRPl9~V1r#7)^_}X9dozcC&P|uMD25bb} z$fLA|id;wa)UXiGyagvmq<&sP^(!|^ibs_k1Mc}0c_C0IFYG4+AJ{A2ZQgeq0R9fE zMgg(vbtlobEfb`OMQKYJX5v3}Y<>wkdyUUt@T?wR=fvOT zz5CGPsXPkor?OU*#yEo{0>1)LBY+zQPaA=v^7tr+SVZ}M=9cC^h5V%GjO{y=y-i{J{Pr zphG6wa>_qZz(`pcTW+rF64Ixja(NDX{*|GiNzy+GXpyW_C{JDw^{4u2?}3}CCP0fd z>-I_VSpZ4nRjxn1Nj1HBR*CyoXfzR?R(4cMFmbT zx;+er^bc#-D2*Dx1#qG8&@p!-Px^X!&#uisvQk!s5KSjzm6Y3>W~{~gNB7${e$cS- zy{2_;_3hrh6}z{$X|+@I(g0w`ruLCbPwMM-cXZQvtYqlO5J##Y+Yg-vR-WdhGquKk z$j;7k4#y@c6MJUmzLi!1bAXj%vSzOY-epxai*PmySt(Mmi{bGE6G_*Wm&qA3oRN$w z88|wd@BDmcw$67R-}|!&KzYr6@vr}N`{gel+2SjI#XiI*|9|`WBRMC3$NsW+jqTSM zeIZAIj)Z-C@`7E0gyTq4xlv`2s^>?mpR$9_l5O`^tus~2HKmQJ>`p!tA#Sw-Z#au{ z=p%ek;EEY5{-@aAT~AX%Heq3TSfVc3=$nw93de3BaEhE7wvKb9KL`7iBM2I8G!jnL z9!F;t`{v*yw%FWvp@BF|u(FPjc^+%|O435}VE2-<+5`lJTpq+^5^%)%ZA9QMictL} zgw_{=`4Gx^= zaip?pK(KOB)HQW6i0I2Sd2nB>bC$yeSQ-=#SKwFQxdr;pHRoN_#*dqYDyp@W5E7>j^YuX|J zK9QLvQ1&j_=axc(N~GSNb#Pt4gn-wS0IBMx#Sv@hR{74jqc!d2umc#aV@bEM2-yzh zN$4!Jw&SL{7%jPcBX!f16{-0qN_E}w7WusDBqp3-1?VbhBJJxwTwuAkWh4@>!M)IsJg^*JzOuhWXyepifsv|?l z&_UO(OrKbwHL|Y^K8;N3a-FU{>EEz_epsFrS=<yi0e#;>pIe zV3`ph9e{$Z=8T}~u*4a8+~ElT<(?HPfF6ahLL=++eyR@UEy zSFtYlGd!MH<1FrGZb?cj_;Y`9)v*37K133;RZ1)e&hR-8F`}~Kg1zTG!%I=uz|jo~ z-z_X~D_qJA5e zn1HGmre~H=n`?+5GEfqjXAuZRvs==!Hr6hB6@IugeA)oFmd`d3OaOrqO0X1o zNXj`^MU#NN1VBSg#r7-~8ae@8=LGiMF3LB9Am^b9d%0VcqNdoe4DWe%5Y^{glBiKc zk!N4U@_Fcg3!+`&EY!6??oEY!LVQY0q`Z?3;^7 z;8SjdtYT&KOHpKHUG=+I+8aEUte}b#HNum79|Kl3`?*n-eFxHx!!Rzk3zmTtdaVZq zAwV+|2%Y^>?D;+ahW*3ox#hT)2jFV6uXR7kT9pu4ciu2vN6DwE22dYORclCKX?9S? zYnl?B1sIjk^}1c>J%Elenp$+jo+aTA%4X9dIVy$2qE+Xl1G% z(X&QxYWO7+NK!(H%;HQ{rBsR-5dcG3pAY-j9UUc+6#^i#P?bki#!%2me0hpgk@5QU z73V$FuuJt>nRRA{hP{S6TPZSC3ckaNipaVsv?1W4HsJyv@<$2+zUyrx&D5+=A(JR) zp#C6gScV+)gZ63|aPn!u$=1AiF%B9aZiiL#@1EM^UfrFsa)8>Wd@B~Vx(7#M6PIt` za=O^p-euShn@g4geXBdHW|Z}I)mfB#;@sxamo{Ijxwih`acNSslI{Ztlcd`(EO2-y$XeBsUn)?k#~bpVKwql*k*BX! zOITx+w@kck(H#6Lx0p)MQH%nB$_SD-`Tfpw7O8g}&xev+v+rA710jL;>NO;}C9_E~ z$0=E_>I_;vr(Po|j6{X5R0Vn2FW3qEaDrT1m(M2+|3Zh(1Hw-jnyri*$YQ{l0Eq|8 z;UQ@&6HuO(737>kKk7RY>v7%~r~=BW_zLw$_GSN?y%K(G$?NEc4GfAnMwZ5BT&T2Q zV)J2vy_VxEwa_0-lTNnDFvy2%u0N9cK;=RW=jrYAlXeV9MB>>oyzl@cNLhlKlB}vY zjqniA6X?*nOCG2yOKZM3MoP6KF40{3+Qy*Ro^IKH#|mz6j>?ZySL8>24xo1ES_g=y zRHA%3Ub0M}ZrR0={mL-%eSnj+-TU&FY=#?VK*C=C4g1IYYrM8-$8Uc4+SaG-b3bvz zj=ub>_Ai<*{6MdLzZE;*15W-LFjrtfM52Z>DNVP`wJiraPAD56#tB5b_FTN>6iW;t zlf;5uLC7zB=rS!L+}UD;AC@hkaP)I|NgTYS_0@#~eGhp3u%BtgnolfQy39ywA_F+~rU4%vitGdF+Gy$~Qv+-WfPPbF` zxW8#jC|wC&H37Rlyl&+QOjf|UEutV|5Md0&if|S}S9jOb^OF76;3{gg0-~2F^0+&! z4gkn<>fF+KfDA@)CW(CqAjyWBPT&qvq64g4<-}-)ODfW_Os;2M0zuOlT6^EKHwMqL zTPjhOouG9g6qRg=09CoHa$5WWNZp%*{ZzoL5&i>v%inb@RsrB;Ajk;Xd%$ZV>;iu) z3MnP4*tZ%=X&%Tb0-%7P5iD05rW1ba^ZPfs)(y^M9q_prJG4r^ZmY!}7JJ(PFIf|A z8ZGOWXC)tky7-=9&2#Q|fk9O^#1=WDxs(MmVXP1=g_08XP&)0A4NfLDJQ&;MMZ(@5 z0o1sXnlF{27fzT7gvg5~lEn0U7^RwOuT&d(`p*>}mNCXF+_f0`WSsGnv>;T(PvndK{%g*--1WS;~ z23B6w4Csk6V+iONBCP=Za$fASyh9SCr&!u22!&;Kbs>$_l0$TDmZi_7L?I1h4G(Aa1W5)UVGKv>}^`4%Ygv8+ijp;FUGlz zOl`~!1L4eDvODaOys2ToWbH}97DuP9`bzsHFG&w;QlSHd5&P3PfG>lbXgI4fgS8z6 z(Ma*A%1!&L-XBkoTRMEUO1d|4pUes;$g}XMmjq)s@?DAm?4zT#E$~!;?0ocbq&%WJ#!KS^L z9O({{HX4R36BPYukz*SGOyt>0UJF_F<%GplFO`9iPCB!ru1=Vwy?ok>nZf489_(LbCpRic~j1)=bH2h6c2~+0t-)N zdZuZ=lAGT?eb$PDs-+cnUk_TO0u+h?hBSsC4{tzU!zQw*t)`UnAa_Km@Y-z7QIdNr z!fgU4GTc->sL-d@a4=l5yVG^d<~b&ASh_T_ zOl4rn9Ogp2X9?)iV;`0CCU2s~wWM#2;n)7Ve*OqJ`FZ;*5U&)Ol&6}9 z0dy^l6(^>N77{4|G(m$no_aYI<@-LQ+IaH0R6ppM_K^a+qm%NZfLCng`a&`~af|Q)sWe$rW zx)QQ@1#kk`kW%d(`gXjVa=jZm?Kp&yv$@EbyN`AwW)COVZDDW(*n+T9KyIE7sG+F}Rm8OlUwK${?{AXE*TDK{GK^++ow^BgZbZBoO85*nRm?0Ak#MK*$lF zD)5;ydm*riz$z;9 zM8HRLsA#@h%&wB)&~OAD%#tYcFo6`I8mCDR$hu1@ES5u&sbzCL=vv)beqwf0(Ar-ktRcke@9m;TeT^AeGPq&E>Mii;7eFWv*9$=9T1x`?Qe%h*j9x&T? z;T$E=%44JapynWBooN(-)Nx=!%2gdrL(o+OAs|avU_=2%DRqGk=fY*TkFkp7=5{4} zd`dv1&VESg$*U)SgJ{)t{sicpa!rAgDxVcq3K#?5x$lYH3_P@a0U^uYH99)esO9H+ zZeGn)apbd@?GM*2(>Mb#K_?uT1a2fSaNdUYc^JU@3`#j+%Nmxc88<1u1tFI1Hw>8u zFUmW{9dkc&dhbuuHXbJt_L?Y*5QV?gC@#)gM0cu^x$tt(Ov2uD9)_&F#6GQyt7A=z zr3J#;HW_|sa+-kLmR znIUjZrINj*_4+aAor6v(G8#>~(=j6v7^-uSygpH7aB% z;H8lh$tf0k8ep1%->{k$Qaqf_adI=jEW2OltzA+AWR+_u0Gs1_37re{R$!ksF(%`9 zQ58H6vg$?3m9Q(> zT652ihpToDkXA@t^eu3!Dlq-%w+3|5;_e%)OLVP_8|?rv0x2cGqIP5Et&OraC56gs zEr70Ypyr*)vnKhlH(0c#)P<#E(*NM+Le~u^a~h@YxX-BDD%j_4ex|kRJ}QIo;&5#H~@#ytcozrZxVQt zQ6Zn0R=Wf}t;P;4Q{c++5k^hN0S*~DZv4qOXvyTjHr4?~*V1lCqrcO%>U{v#2uWRJ z@3KgWa>(V5tK0S!X4JNR;?LQi*K0_WFaFJ6u;0{6Kk#ec-_Li!$^Z4|f5H9&*19^Xs!zu|Sj}Z$ zF)xjVZv#$XxC{(1!L|rPs0-K(S3so8vr?>>222J)nFw4>r&O~g`9-82l!u}$X=gq0 zrDKHYBX7|$`dswX9eQ?rY2`^9^5@zdXu{)QIA93@shM-fC~?3^0AV|@rvtYvx3104 zSa=m&jN%#X4(<4E%5K-LTef@Q`ZX-3Pf>(3g)j!)%c7L)KA8fYPO((ZhIaWFELx3N ze-Lx=eKkIsN5JH{zcPm|&QiR-jD@V4bSS}9o}6`H9+0j?kxE|Wf`{I?LsfI|JHlDX zl5WNYThnR9xertb`%&dxsz*|z^*A4z4}f0P7Me9H=6?n_QBs{6f2+iA8Re;-1IiQ; z=+mAk+!N<7rDe{Z)4(zV7}oin`(VwggOb&d<{s4SU1Q5j2&5%m*+6`2j}%Ujdszu~ zjdsd99l+pJg1zZVIW>|%b8j?^t{yJh*5ZLB5kTtGFnEAMxW{))o90*hcJVM`_v_c~ zbrf=${mloewiGx6EH$ubV+h_gEA~!ZM!FQbO8R7Jr{f)$JS?RHZ?S_)vQ^61l4-(b zzKAfR=v|tGPqI1y6DepqQ7kTuQkSwb$4qO84 z!Qc`u>aU}@HBwA!Xr)7tySoW6QSx5E7evK+;T`gM$)=VdEwQF@Xg0G(KgSmp>-M;I zv1yoSD0>7;9hw}>yM|xtcQCq`S{1+&X?L8mR9Wt~r`PQj?}3|aDvzFq9V*Pz0e~cX zbyAS@(|?x>UAS_#yRhKOEcNPf7|}d z4IdAnkfA;GkvJJI+pn|ct59=|a~Z=!i~hbVG4i_OCc&am5|3x5U}b z4-Z{pvH0q+zKr66wzCaD8pOo^TeT=g!&A_SJh~c#01)-^7zhABt`QZmVlNeJ=(EpC zNmX54202yX4HeccAIf{khzM860k95v&!dCPzkc}|AVJPK897~F-i$fwnj zHAdWTRQXghMZfH!yoLbzY0mx$l=dg#Ay>`!`4U}o-3Q`APf>k&hTGs*0{K2Vh zA1qrraqjkh*bP{%r!;ARmO_xo9?^-cd>M!u<8a8THLCxB^++CNxve>FZUw0W)W=v< ziE$YDE5NK$-;nhKN)Odgy@s1sFVB_25vwV{{sQY<<({P65iksR=@ zH^NYRShJ(!iW{h?P?o@=yhJ+3!3CnL3#~)6u#L5%CCB#>XiB`&yofuaG+CKgwlIqn zwEL18Nh`|`<9#xa5+jUr?ouEvlLB-U+ZHN8PeEk&kvz+M2Rxw4w3UHUtyNhF?*sB9 zA&_i{{S6@ZplPjlAHAdbMWqx*=i=DBr;7IZd;LE?tfQYAVB$+(urGW;4>e2sBPgQw z-v9X8m%jdC=;ygQ!f#9aXfea3&w#SgVTBXZnr+kzKSC#&lP?=+|CpUQ#L0orkWhpd)jDyY!#J^yt!#S65RYF{s5*Ic%KH^(F z0MQyMp=rgCDkx?`Zg+p)exv>;>`LPa!g_|3Q=?g!KyXUdiDm|g2qjcB3uLdi;n4g7 zs9zXy6AH!q`n)ig2Dgn&E)0w_ufbnUF!FE!lK%n_+`d014vqLBqB zJpUAQdJToT0zX`biq-!`;ie0|=drhDOA~k_&D!Ev=;@}FiVgFW44dIGK(TTl=@xXu z-pgV>sxR2TX#Oa4^T^N_(AUJSj+RZE+2;NgU$=w_lXM+M#q}8szf-= zn$kQNjX_Yb4!y^7@<=+M#R}Ba`?q+{8oy^aL#fyTtInYe)J0dqTb?GBYRi}TwD*wp zVdYCvpa|3ozgTKpW_@arg|PFawGKk|hqX^wrg6!6&DN{@m@CO$n@gTq8XAz&Q+1Qh zA*%8B0Tjh30RP-@0O%Ujn2j`Mc{UpMb{V(J$vo$(d`v)u7M>wC&(!NDs0h}yg7O1Q6_L)upn|Ez^t8RhIwoMPZ*4p>%x2u1`vhAAX zbIK)>2b5FgwzR$<7rzJqAjgDgT%HPNr!bjA&g4YFLv?e{oAF4)0wDVa>HcgCA+1u=b zh6gAoO%*j$*j1fuMe_kbvqI~`_nLO={dIf${HA4VXAW?y8}mQqvmfIO<~?`^np3fa zlAo0a7U#^qJ;}mF?Hle<_GE)Sq$6fx5xi(aPsfr&mZxe{Ji}i5y}b{sIsM! zIJ0u8ZYy)AmbwB{mt!tgo{07=Ki_rJ96bo|=$m1CYyW90TpU7tfB+0nHQZ4`V)8@c zmOEOkC1<%hP6%?y0j{!Jhaj=U)aF-xCDcqu;6!~2c7Zk$44O%E17&&zb*mmCa-r3R zMc3LqmyT zuX1+un(OVr|J0MEJ}GgP1k56j#EcOX|5jqJX5ut#sQX0^IXeVAjS z;FxARtH%Pg&3!3RQX#zIQ5S9r9(Ww)JlhzFMx#m%tg`@ctfEfS0Yu=@7gBb`( zmS_1P=W0+lx@Kv=WwFS>DI3kLkV0wIWA=9MB|GnxtvEhKI3vd;Jo*)PRw{=(wpIJq z_&HnZA0a8HcH*zNBvAGAP1s=)OdIugnPZOy^K!rx&DaR}^54y} zQDn_X;H#9p%0nS6ffflr&3@Vl?joF^*gw+cRrD;RI2L^aniSF3b#-yc#+WZ&m&b%Y~)D+TYAyyx2p zertDucOHTfg(8=>%1)Ims4mY6=PAFXKFnKdoUsFc6*FVa6Hs6#kd0` zSNKMr9-XP0$3dScdC69vbP`Ju*K6mE)*=j(|nlm5z787XszR* zHQOoZYKb#lv#)a3&#0NOp)bF} zCzL)N7Zss9c5N|z;*>s@cZQ;RF=@h^zFR!497#d^^5hJqKeFNJ*uL`URm;~-EQ$V0 zT@Ty*^{(>_X|`MRO5ElAsA{ga>)ZKu!Zknh63|W$-svi79;%2V19R|E5}FJ{9|86q zU8j!BZ;xKGTmE&ZstnB{)0Dk ztbgVsY_Z&922gMD4)j#YW{1yR`O?Ye1!^fAXde5?|at~wp9yD zc(T5W>73m|Sg6uK&Qy)|R-$kpCe)N=IK_4JeC%x@d^51VLI`nCf65jR0AjQfhbBQH zAo2~~^%T~R%C5Cfhg?s;Da?~lO+Ei=DzV19XSur!doFwEpZSllDl<0kA7MfAjC!$z zV0M7m0(-H*{b`U}flteFDf%^6wWW$D0W-~pNk^K_bLQICKfJWTj^b7bn(ov_6PPO7 zbl^mp@UEu^3R~ekUA;ER+uiYoRmT+zp!AdksS2j-=+c2XHTvodRM@B_HLQ>50E@e6 zr9{IiLJ!Lj$XpWMYT;N2d?6N2YToqan1kfC%WCDu}z$7c_3zO$LZFqwBNhrL}m1WVT0mGWF zaj$p7eyg`)xo*sr1_uDnsv1z}N>;4sR-i7}8`!t}mn}3!;A34WDNvJz#RnShrYasK zNJ?THG)f;<{#KjCfVC+XgkfOa|i@52QLaDejEl*1;E(UR0uZe~b;cWsPi-WmghSuNt6 zZ;ZP31bLm33#F>UD?0-&qxP)zxk@uT+8Is66^%w5EgbohT~G)6UGu~C9xD3`u2U}% zwRfKbfGG@u^nPJ3rMEg?8I|%|y3rn-fkNLhX(dnPk&`z?AY9=#RUBsEdi6+AX}su49{(@# zU51RU@g9u?Q5(K%-j1y&Fmv-5)bO7A?OayV$nY%()0AwWd0JBJMJOaXME>`t4)7&Y zytV}dc!B-f$N&!6q$dsAy^pDf(Gq&5Xr4kqoMo6J4llD?5xDteXnVC4mrSm(jW?ax zxb9o;*td2K!@CQd8s?xYL92{2KNXl)FP=Q~>{{0ckR2ny8i2-C3_(Am>eNnKWjple z*s~bGUio|GJff*-6B#ma^e6Cs7oD^?I<$0UY@e?O9Vq#?=bZfe`6C{8KQ4xxKyU#} zM$?FWFuZ2>n_Kp{x@zq`Q0XCvY(Ib%T(I6bObbHx(^&LZg0@lsZGs4cFw<}(!omiH zz=VUpW2ef+E_+^9oE&!&m#VHf>@C?|a~Z*=Ha}|Tt_%ysbFmV6D7mxhr)&(0SDl22 zRMkGYSi^!zxEuj$+()cnwwgP%r!w1C%GNB7(2ZqAE-^?U7R5G$zTAq+T36#Y?ul#m zCvj_zv(8#oDW4MlMq}=vhoE716rWU5t9o0=Iu&YF?!9s85mDqu;Wgv(Q zz$H1dR2cBYzCtn1`c->!5Cpb_{N-VgD^_WZisvf(MkR^Q!jS6#lSN)x z6-Z_{HxhID&}6`wE}<3BDb*Cu%ckrBBF4xG zc`}mefn81Q+0*G=yB1e9&kkqMw}1v~BXZX}!m@ z%=+Xi-@A?-FgF8tAs9NhF|pOv3(I7?776gp2(?t0_o${n(&u~lJa;^tgq_e0gaKHY zmgTYllhnxa(VA^W4qYft`7z4lSx3mK--QMO$Yrf$RaJYv=_9NGW+H4cs;okhxz?>S z)(!~vD#SDMKPlqfXHV6}Y&K+18TC3_Js>{^mIN+RAXWhhc^Onfxe!0K6tv+06KABs zTv6Vu_^`!+z;h{!Y{YCXCm^a)UG{|csy9p~&WfS8SaN9dSdl76e+PlN-Cebd7VGI1 z?IC~P8eg}IQJK9_zLAC;D3Ol+XN?-n$;sF;>lST`H$*?T5nft-K6+{MsdHP49Ju>a z%YC`cH&X|ePIeq%R+vBnTl=nro=V`{qD9uNnJ+nje>&`{bCmF`JfUQM2v1#dJ@m^E z#nw)`@eTcE$TgnQ`Q}l$D)CejYTT2Vp+w!F%i}rl=Ab>n>*`6nDL{RfC`VSh;EpCU>5<}ffggo$ zW|Rdhu*OsVRXVkDp7dE>a(k-qq#OKq|H9PzB2Z?Za?l|by1ZdhY_3wO&7M`lw)GJd z@5>k%bE;HqbLS@({Z76Wdwr*Q#qrug?%egM@lSzFJKWjv#8tzH4izTT-Kl%3?oS2` z9ApDzm-b$>Y6MHPM>1*DxykooWaV7R&O0tQe zp}8~)&B${nul5MzPCO$|qrPh`uxrctV^_r^OI|WnoZ~tkE3?ddFMH zSonp4C0|YQdy0buI8Ibqny{VmsvBzA+6&szPR{<#$yIy3@s#~x_X_u_u2LQ7Rkec} z2dl6%x)&bMJ@&RocKbfS^bT}!3jg#u4Q@g5N1dv**V3kvaY$vZvdBtT-G0j3TtPkx ze|qw*{W zA;$AQM7k6{cO$%W5O)wFmyM7bfrK^NgLRGpCt_Z?0bL$MpleI5D&nr>?n(9Xf)7#> zQgRD0krY}I>raE6B*>+JHEC4y76f<{OP0GcfI^kq*@cM!1~eV`+_S9v@o2U#mBvn* z6C2gdl?O*xf|goj@427OW!QnT=nde|e88PeCeXA(v1QAPb(=3E995QzAglWs%R@g( zwo{99WwXmer?Od9YBZ-QON7`tg_Br&tCO%NSn#uU<`WklQ>V^5?A*stO(phAIRUD{ zD6wPexGI<|0VsE`!k0Qj0WF2qP;Z<`9UAMnCUZIu&BRbnOt%xZ$D@*6XHV5TKAa}Y@kEpLAbh&M?faYd zCU8^Z|3XNiIy`Y}dL2c&2&kQKZ|s>JSuRj>VNjLTtEt@~{342a4%MTH*5bE^0JU|s z7SCW!Wfb^1Am2%>WENK9b0w?o;!{j{QmB!F$Ozfmt zviP8FtC5-&LU8p&&8bx7r^fy$66B3SG=fW-xGM{@Gfg9N6!c2NB?v_5!R;8RRDfP% z97J0|gnq+GwwS$$B3$4(g>iraP?CpYfRDJI6lsnBiq~$Y9$NHOY=6fa{x1M;0#-NiPWavM@RK0z_mWWvnBbe&`=D1YCtQeF0`3l zL0%xEP!bk^o{~#QneuEF)#Vdkuc=dIdWMXsPCO4XRlT9dlNg4bv(+$*KYP!O_r#_vIYOx1e#1 zT!pjy4bHijWuFp&X*GgW-DiW{57~ZT*(Jk^M>ues!^kKuqx+sM*ykS&f4Fl_{{G+o zdHdfEu`D2RSr1DHx=gTZ#UM5*R;=r$3gey)7F;sYLJ^c%=FnEU0ulmNuCf|*DGn;@FAlpa$xq%5xv%v8@`vT1 zQY44ostW<6vV8{-{JoAU`MjZui5Hds0s$Hee<*X36aO3r_r1!0uzO-~2 zafMKAjbH`@x3Zt*o)$n5xCo^^)6lbEQUVK50Aaj7eGw5R!KxV+Q zr3MIx6bcQHqx^$+ThH5g5{FsOoWRydc{R~_09ndU6r4_A5~ZbX+WK_I&3Ooq)I%cX z_V639k*X6U=#{~uR4~ofeTZhMvL^-hBEf#?^*#^%CVO9Ll3xa%$mur+!z=T?!fO(y zvShU1|HQwvPeRPu0Rj`oI&81lp1S?^5*F{bU44BnShLj-R#FaYL0RhjsM}}lG=Y^I zv0ND|el2H#A{NNBXM-L>oHfaUkV|^!aRtD}xqhT<-Vy>CD^HaQ@n=&OeIaj=r?E%N zAwbEA%?(d%&<@(&@iQ(LBhu^IaD+A94%UaYbL{%>sB^NyYAn(LY?aij--n!eowK05Gk)2==09Z>Eba90 z((#sD)CtW5DGHS#WbbzD+lMb%Wq9O(f~r0OfU79`+Z`tCA9~C7=|I)F&E@5gutfazymVzi3UQ^(X*?$Cl>iQoVQjsD`Ad3HD{qK{40-CDPe_q0Pq?HTo$5kqL5Vmu?6p}7cjc` zcO`4_&5-LD8mM-xeinm-;6fC59iF|JY=kKJsA-S%P7n)54>{u-r(*xp_|VDiPt0BmMU^ytTQ>P**s`x5t{tY z5KQG>6yYH0l;$97`@MDNnd?J;l9M;QV`Rkxn=98Wxd0qpN?KqeYtfq+&rcz9lbFa) z+Sa)>8V0dFF%K%%5o?5EFYmz4bQ;iFGIJv?3 zq;1`K2M=7b@Wg><$%zi4XJVQ=CK{fb)^y$Fquis=1N#E%K(5fl4lH&Ut;=#9u<=lA`kQxGyr>x8rdc#h^d}L zX*`NsDoIbmX#oVk=0CADgln1K)1XYn`ITd$81bw#hFp1Sl`Q9PmFu(-HD?x&^BpKm z#cfr()xE^x;vM37SBL>N+%N{&?QT-TRtuQWxNhOuBW zGteWYIv&YAuiR=Sjy7FWto87or4Y30O`wePP+Jv0G4@BLtm@_&!m36%@oi5KB~O4T zvLLeTkrH2J4StRPBI?*~WF%&pR;7eX`Fb$IhBeEYC@p z=M@H%I%@ItQwO#+0MP{^eT2kt!%n+%D8e&q-zm<3jA*vJv8Mvlzj|6JRD4Pf%D%7(Tgx`u>!dQr+JM~rQVj=F@6^%>d`jU<^lLS0k9s%k!RU&;>EE=k_#3t`oVvukD1avi zh^fGrbDYHzcbO`o`m-offbg{A+gtW3U_W6Skw+-F0hTuR0pgUKTfCDjq7c%vUPS-# zulu4vgV+9&s zKptF8Z(HOeSo_P+dL)bq4m17X6SF!>P6IJ>JUVEd_Rwq*zIquDzlabW0el)Nf8jN? zxUTXYj|TSo<*W9(J!8R%fW(O#C@R3OQfr@ws!on4ij#1k+3C`x~tC zD(h4sg1RM(R<@A>|AKqJ!TrkHkmCLe>{5~coj`|uF0#P7*V#37lg`Uf@CqJG|0>+S&m*5ub zQg(^P6R0=QyWEp}=sGibPt?U%`Xj+=mbj11g2MKa2k0O4Qe@n>q_3d@KKH%AnPGUb zR@ZG7LqQ&Dd4$`X;9Km%2AV?oO7eIrCvJFzWPq+s-Mj%Nw%@Y-uSV>&Rk7zcA6VuS z2@3#Vyw1=irfP2mC?>~!yL=R~+rt-Zr@vs$5{gZxgQm8E7chN zz<$uNCa`A9Q~xb56boocy&sRwl$(%+)Q81*k-`pi1rfQxueE zoztNGlfftL>FKWPZ(^mSb0KN2gW`sYsY~!#Zvw;4k`((8h5*KGh+EVR!!7+ehkRot- zQUsPXXwcQ?w{ouYV5*443#d`3D2(OdLr8qUeNM_Q9z>{fUA4)+(|Z~&4#Ln)geL-R ztiA&|JMtD_x?`7bB9yKFi%C^ZtNR_b)FE~r1e^z?i6Heof*OiADi%CN%%lK+Qs$m> zJqxC|LGlPq9f1JTkl)*ULe)I7^3~ApHX=YtwpTfi6%<6Kh@vY@T)&e_tcrj~*`@1F zVMvhdjIUb5FIZyGa7k~?i6(9!SaR&U1YmREVxpr44A$ydcp__t=V9_dE^L7q!YMBI zC-A5cadZ+e3iB?_%pE`JciW%JEQQ>HtBu z-`}+NU|wYd$dXr9k*X$?2T(=;$txxIvi4S!cBOC>yO&j=zQ?EI3eQP}1Ipiq!~DyRRyOV!Gz6I2Y!G7F04F8FgJ7 zX|;SGo@324iuN1BSD@ufD@V@(`&d*OuGt1EjsV&bSz>Y`ldcU>TBq#R4&u8G;2QV{RDgzPRRUgJoi$xp_t?&SZZ1r36C6asYip87h+NFQHj>-lcEri5)@s}3SQT1T|m(Q zP_GW1>M0{$*$85pMo@$y1kiBgJSW%^E}SbbpROzZ_j~M{k3ki|3T6M4D|QNnd=p8c zkdMO48n&t23?*R-xG2b=@M&>cfTsjm)ZlOC8xaVT{8Ey?dJ64u%?s}N)Nk_+fRnt- z$blF5w(GG+7E@SfQ78)(e$g^}>%as?RPkYFU2A-)W=9V)mL6VMpasI%Z&>&BroHpp zlEvQLF*|D7^tfjeWP)buWZ)5*Y;%>mg>GWJtias>$Uv`Az37!2JdxUs6dJjJ;_Rd$n1$syCkQ~ukGUOVSO``zxREI3sUA}MC%kB>}(Kb6>= zg%S(MGnM$~vw(wm%jPgT68#Qp3UJs3thQse+u5*Ky@ou%Fkr1pNR^z*Tn||CBN+=` zEx5!~<=ClLk;;Ha9dzOu`fZfsGg1RG0w-4V&n?KMU7f0T%=P_2q4lISr3!R`JPdoX{mP2De_(* zW-BN$2?-s@n`|54lu}JuP(V^1hQ)q#Px=~ zuqBd1=K>7p0!|5g01)*9QF2%d1u6HVJWE2x`ivTxWfE#(uLffXV3k_J2vY9l;5ke^ zw9YhT2mXpBP%cWwbh9>wN$1AYR1tZNP_O)^NvS@Ji}kCRFpqmMf!I)W{4mI$MKb|3 z1ldEBfxJ~N{{^6Ma#6N=HR+Q1`lGn>c%%X_+yu+@@yN~}q5!b=)xa;13c2k5(cPvU z-(tTWv~0M|{?<`^Y|s)wHJ9UTq5v1J9~gI>GFNG~`2hriDiwlu>8qf;#C<8BK%FE3 zo&E)Ml0let0Uh$d=^RxC>c&BHt}~%C05ErW)s-Pahw_4{>DVFGmH@G=nPi}C>1@vu zWv(y}c+h>r@ZkZ1SJi0js}hhE2KjY=XdewAGO{S!7^gL1fBIP9tmz>F<$PE&e*iR8 zp9?IcjpR8rfs#_rN3UY-F{e5eh|HSuOuEo)6`}f`3m&L_`jj(OGG+v8NS2qXzxXge zkRUvg<}{^F`>ZQ%?_&c?!3x-@dMSmV&Z3+XQ9xH3Xzx6M>;qPq5((#n2!DX4_Ndi} z+kRW^v{4WWQ6lizLPrZz1f)C|%}LJ1wpFbYU`??IK$O>&FgzLrEi|2#cS_ByK%Yg< zF04=qbSZ_X*4l^TB|DzZqZd&8!>DzJK*x!u3nOX~*n9qhC6$K&e@IR0NBK^%#o(-U zzzBK;_`N&1X}NCQDMIzOP=c#GUuw-SknbZ)RPwJXGkH#B$S7Y*39y&!k&3Ukp^zi^ z@sNGi6q&l z2-|VJY_)#Y$-sgdbpe_a*Wst@0H67^1(WQ14<&X1NSj8HNGR_R#u;K&AY2KQqHE=c z2ztrfQSQsBw}^}`0o61_Q~`7a)kNJgZbW_B0m+}SJq?8}2lgzXq22@=UcH!wKa@iz&t?ER z$RUd>C|oxvQYgDWqlH`qu#-_F3SdkZJ+`0E+#2tMj^&aa}iGg=5(-4G27B$5lGLz*$VE;;F35 zA?x@Vcq`!mx_U5Wg8)An!Wbf>5%e}u8wl3US*doLkv!S!TxuUMYkIVTzD=~&!Ov!xSjS&F0oDEUj(bJc$%K525gPp zknNw&*}XyDZUio{0AX$fmD<5PLX^7qBVZOdj510?asGK;m77(FSfLDESKp-)%iNU1 zMUhCs3neOcuTGq5_^v7lxqa(i9t5iJLcp>^Kof$bhhiL}{JSXslktM{Mo6+=hH_X~ z=N7|a6cfeAUGy9_5CBX+0ueRkoM25Xh7S<7YEq@-v9i1Gz!;*EB@!FCG1k#J2}l?U z;G#s_aU^1SlrbWj_f0K6?Yd5aO+V}6*NT6~Dq!IR$`$LU*Hq`#nk%q*H% z+>b&~{ee791v~PTGN@pfK!)-SWXsGdab&g2a@*lLawDhMqbQrGf{OzP{(xr%N9Nff z#3Jto7-_BU9wl&sfW)y=B1-%eaMGF^-Hh$PR0^d^hzl&LJWx!a$_Q0+g?Qt^bdi0F zIPZ|=!l-R|WT04Y)G>eNQtfLbD|dG3O${mWY4j38!O2qv*~CBW3JMycUJYeDlJUsk>#%D~2MhEvQ5E5+np(>i%Cc>mcnhXB*y z&Pxk$kmX>*67bYnc;12`&(Zme-vA5Y+59H~PL)E}nps!6D$k=zd} z%tC1ZlR`r&q%8a#>uUDW0C@z@g!m4CwCeIytSe(r)mq|FRXd6|nku6SeT?n`;zLb(pH`&p=u8wAZQn!m!04jht1K-M!P%1#yZ#yre=BI@aVjgFw`*JgI zk~8Dkf$T{NgGKY0I>fF`}+y5xt7^;J;1^k z%JPuAyu47|$$kN;fDA4GN*p>^p2~olO+-INQp?@0Rs%Xe85-jIlVHv&0I^tJX$f5` z@Ozf)Ele%}HM|bEJTld5hHWWw;Hsg*c|arltVH8z8Gcz6IO%*h-{gl0qtWk_HM$8OX}IRv1AY zK5K|x>^hDWiwGqlg?`a1yNU=Pgq;TFu=>;>3+pX*VhJop#p6{1#{|uoD zY~X*2PfB>I5tV)e=x`3I#7VP19RQnvhw2aHEzz7*0Xu9@t|;qAmcGEE5@8h@P?n5J z3=KixTY6A)0z@H*o%))+)_cyPJ-)Lyal&4uf$}aCL)evAucKbj-t=EI8BY=fd=z$y zac=VN05C#r2hJ|Lsy5*J@=a&;Ot#zBxX+tUSP66!9Rbde+r32I(z%|cwt^NY0d6>> z?kM3bG!3na=QsyQuQpcuei$yI(1(U07VHq=`kVekyTYEY2l95{sViy%I>UnCy#iIT z97QXNV{6ycGvW(?ikG0H99EU2hD3sdwp_x>ce?P)c7#%1oa|c!?KrIYR&OM&R|}yy z3+!E#-EBIT_NOIG0Ipopg+$Izb8c3O!juYM$Rl!wvb_@8wTwDcMiGhtf%9(OHG7gb zP?7$9PHdag5tK@E@AK^K7-26rw?K!4)vPKB`UgzcCwUaZz%>w5iM6~1kttwgEMH#D zfuYTWLMnAE!+^az*13-07v-FISg;{idMmF-zZR~CmoP}mhJm=H z#|poqtl-yuK#)7pDO7#%-RJ}RB?g<#ObS#(LA&u zXri1F>?KjQA@A7}b=C20#f95~fVeJvCy%grEPz6t<(vRtt?lbv!}cOvo!dg0-b|;ec=+kS3Ew zT};#)DaP3=wHkQLKFCKQ4_*ZsrxyDPmuWKj*M}dq{J7&L@jCAaKxI6UkDS#*BkDz^ za`kWP{8|T=ERM}jM!B!lEc6p)2awtRy-V9U1M~tsmVu(`+mU5|l_!=4h&bvq~! zZ>M?hy45(>_qYb9Z3h?$4~MJvu(N3EN5D7l5+EfL5568_)Kri8oahA3MK68|THng; zSau7TFfWjS7Q!Hq7f&q!h8QAkKu;JHFJ2Y+kN4FxM~(O8XQIskVGxn~( zZcp12OE1IQi$T^s+hcV)KbWlAJ?B?el~UIhBk+gvukz4!AK9&WXY!!TC++k1XYK3% zxSW&E{MofLqf9oIEU;T@E?NhdOruO#kV?X$7 z-^b5)!HL?}|0M)`Mw52uu+UUj4-r;RGcFdEL+uLXuVjv_GGDjg3TS;PVYy_>mQzQr zi(a^b${dW`{D;TBrur7`TUh5JmWK#dMe0jzyTT@q?1ueQd(IZH2nKyDzTtxXuK!Ue zFkzMH$t?bkqEPuEs>YW6LlhHY8UftpO0q^b5$SozQW1H%nstx)c-@x5N3MiePH=UG z3=LEPgAm55edOIlV6G$d=PilV73y|fXTb?FLP@epfRzh50w7T%us68JIQN_BDhU-` z)@FTsQ`_GuI5&SBz!F9%I2UR&#(F;n0-p!Z)=`uXEH~e`;5vdR8Fro_Df$qoUrIqT zrF#*wJKl5lYhD>~v5YtfVVNpikznnD89}ZTu(w$C>wcBjl|iEa$;y#8MAnV+O_VIA zkeKGEB=~M6i^`wl!{TA?>w+t&tD?&FCbq}kF7ip`Gz7aS!V!DO=Yj}X&1$Lg(2Nm% zzuNh0=$@(rR6Vij?YN{m&2mw^^ns@g7JYLc3qNan{)TtkO3$OjKZ+H#i10pc+xb_g_SMsm+gA9o1ve4m3xJ%0XO#q2W31t_jG`~neHz{{{M?S``D3d^52%!@- zB!Ans*ge*YAex7+w&L3#CjJF%dvDR+X+H;8Rp;C?-yng2B0<=w>u7Jn&iA3qang>U zEeU;Dr$g>X3QO~3@)d+3;8$LT+FsoL$>gU{fZ_-Ck)3wAFg%{-ZzYGTi^db~>n;A> z;M~-Cw5JuI7}YaVGr-mKYcz(g`p_6gglN1wRmK+9d%RjDKBHOx9`F<3LC1N z@~E?9jcyh|KS2>L*{|BGcAYz3<6ad835TbyD&|^X2SqS*p-V6-99xWES|Qi4cm`$3 zcQ%@7Xa*~vH>qMo89>S>QQ4?0L4{8qva7mh++VQaXc}^wfbL^@HH5A!jjfqnbP+mvW5ues^v;UWU zz}n>b3PJ-TtnUd|n*cEDD9b(jF|Pcy_Ugx`@;mGV<^Kp7b_kC(JF~9W7gMY6 z!o$NA?&Ep*M6=1yxz{dqRRw4PC;?B}lOcN~L+Fwf%2zEAtnSdjT|S|bTSGp~x=X1@ zTEkYAh^-`Ud3Tn^v*G}Gp?ib4?N6`pnF{|qv|^&>csztmy_VXs^gJdK`h9#bvfqB= zBer&U%OWMf$%r$>UYoqyTu(gAzksc!a$YJ<4;d{If3s zE`A&eTd>_(t`eYsHvR-dfVJF*w-bYb6~pY|ht3+S4fwoo?WRoSEWl>ho`L>Uu_aSl z>)k+dlv%TDo;XF;g*l*V*BZBc`}N6xXdj8ZXDcgbwzyeGhGos$$e0%ky9wRBGtX|- zo^#!l8=Z`OwfTxIG>%+JxTxs7TZV$rfAGOI|313w(w)Wcx@;wAp7nerdB-x)Pe2`F zRf=BI1nYnuwU(jvYn-pjDuP_)$mTJEU4J6<%(OnX_A&Zc1taNzeg1GZ`0tPNm~4FN zbN11rU$(#gi@#xC{_>aY%isC~dptuX_Gkare{P>W`XaCWn%yt_to_W-Y}wftG+p=y zeeL`E`5thx;{9n$OoCR3a)`1t04LK9%3}(-$~uIfAbv6%J%GZ{C`!Hc;Um znB#0@jcN?=aTN?4V+&O|GDYb5Jk*3tZLBp1v(59G7L$ay6roMH*(IBNGKmtB0-KCx zu2VIXDMu0Fc_qX776nu&>sbeyigqoT>bo}MD#=p0qY___MyvK;1AuNL4r9}trAOES zL9Cx?o8t^)3H9CQMZCLgq_ViveatbwZ-gbN1U=QY7g6o97T@LWB7LmKCc+)$s#u{C zZI#O+??D$!;$X1u1lVk5&Mu0%X~{+QOK5#CvF^R0{a43-(iZ;T)ctv^tm%E<2mapL z_w}5rvoH7D{odZ$+)0VF%t@jImd6<|17s8j19-+jY#@^ugBSr~*pY0*Gowg$VjCG_ z0`IgVnbz1;EQ*xOCdH+jz1;5GefP6(b?Vf;Xh;0u4u~fIK@42U{-g=+s z`7Phy-bFx+2kd3Qg>?22AYVs#e1iK@vp1Da3UnxwKs^)WgjE3Ng4f>TXk+Z2S{}s^ z9ICu=)*BWTtbuY>qMyP*oFDh18UuA;i19br-CDdpLK$pwZRMgUgrGCf_em(rf_UJo z|032CZ1S7@cA0msXhunHE+j6hSv#I=*<$L_;<=HP!WSqNtQL0h(pTWF2%|r-tt=LB zd1S%0gvD~cm1Ado!_*$PZ&(%PET=ClxC8BA$!OoLuEe;Zr8?}75^G%u0;W9dTJIEj zj$&7KzAR@22&G^Oo~pZM>`xrZ5-YWx^(`?gaCO3N^Z*r7L;_+GzV{K=@|L7MwRTs& zfZ(0NXCvpebDb87Q!F-=Mi6>xLi@Y}yN5q0yx9SJ4d_O=AA4&qRHVCj)I) zEi%CpRPNAf0BdklRSbX_EX7VG0&OnYXHgU@;X}(V_H8L$wdWIiwh=#b6;yF(T<&%$ z#}s#{{u@f%)M(CiXkZ<%u6w!<$7uJ2j$5+=YGIxIXK$wnRV*!esU*aEgE)7#43NBT zXWp{o9*xj<{W$?GS9!IF#j4Px0F>IxpZJ^3d#HRH0kAh_uX61rTO6x;M{ae#7FpXI zWwGK=0PLrR!6_GIJPNqMYRd1BCAkLZ&}fc6Jw zkA}DGyOZYtL@~#Qp|Sd{089b%=Pd!kq!qB_T>>@VD=(iyXnEG9yeu_=yo8oDmVlMH zUM77{o`fjprqGK_oGPE4Li1VZM5W{tM@w^0qe0E^3V&BP+W=>&L{ZVdpo)SBz(KCt zv3s0H9cmN54OnZNpYO0wcL6D?Zh@%G9z&hGz#47tkgGQPW>2d&dcSRhx>_DA*#F%A zj9u&OJL5$c)4smTdC3!zo52$TdNFg!p~{!|V}6Kpe$n3PUbU5reP<{PssVd2yvzOM zZIk`H20T%YMi2mT=v}dIBFEODJLSD;rqqF_4#P3dufyM}_zQ9O^LF+MUur)D!4^14 z*L^9dkTC{jCuHCCKIU>e`CLg^E9Lq>XU<>87k~lv=C?OWMvPf+*z?-?A^8(JAfJSu2KQm%QfzM%{#VK zp7$zQ7m+dOD>+=fS0WfMRW~2bCF+kyHoF+v?t?{uNy3(vYPPy@X@yM70k2*Ux#umg zcL!W^7a-%=|GW2Dd!hN%P3HIFn$Fy}?a(nGo}G%Jla|qaDi3dsY<$0I^$+GcBr)xp z#*(PZ@hTLSkD-GAYuFdAqBT2dxzc!5o~p8xyN5qIw(UQ&g%V(`G`3L6bNOp(*U>o( zLMKIg=wG+LhmunWe}~YtHH^RefEoAu$%4g z*L3c38D0G6dIk4!q!osaSkVBOAS4KKlj z6Xz0@0+iLUKi#xNzv94;yfqT2Aq2+L*@nx*SPGq4dJ#ko@#r(XO33#8D=?(*gtR)A z%KFVE5Y}k`ikcx^4qVMx9sr-l@On>NhO6!<%kANzH z5x~SE!ZeD2MK`d++!LbcjMqzuloa1D)DM|*rI3Bwuku=tS0`3Qk+=Co#c%O_8Q!ae z(HMK2a8{#2A@j*P@|UQ&lxDk*>;?bON_qCH$nWC;*V$IX$g$x>}D5tSMEPdjO#7T0NAs zN=IceDar8}l$d9a)af^r55e@T6NR809L0mn@rvtQtI&-+a}WFtelI~jwHiF63@HgD zCFiNhmZp`)e$bRnGdAFbVz6m9# zOR0X?QyTF-cosf1e%x!QblPp2E<#Ei!GjSAf4u&R0Xue zbCPCCnw7UDiT$hY&W|j+pjsa+`1j$!CxF&k16l{LoI>OuT-X@emNHdXE)f~naS{16OQjmW$jP%x{={t1j-u=abt>S!|T%@jiqo=~X@ zY^`@|qLqRZ%D>{HE_@_DD0vzP=v%*!k%g?&kU9-^Jq2LoL&&%cZ`WrkJq!=_w67d1 zcv&HRS$I?S)mOvB6rWGKPTmR`g`tB@H35VsH5WeLDO2VSgXDo7sdyFD6ntWocT$h@YPcjM;F}^cfv(PF4 zBp$Lrs}HCe+Fl3F>=i6AXu7g{CH0OfQ|q8NwdYy%Ln_O908k3^D@>)z9qru&J)qQu zXmEbd%IT6%QTdcxfFhOjD^#hR$lh7Np0qH##^^Y|Ncu1eZ>G^9 zEzNNQ&`AH)BQ9+9Hxu*0fB);}zW^%*d*R;$oZR@>hasIEi$0uB5#IUi7wro-PwcCI z?L&`8yZS`*6 z#btBJmVGj^hmeqpAEGphc7P=)hq9V+Wy1}Ng>;uvVe%VD1Ude4YgBohK$04Wzv$0_2?V(13ua_&5Abu( z-{x6zvGPxQE!zOCmqO=Q{uNYZ4TjTXW09eH?FJCX8LY7-b}oWd(6jqotIPLEtZ-t8 zA|<`4(kR6Ks>F8wyL0YHiG2G2mAbE@TPH@{dPM;9HdCw_%U@-1*Ln8_s!9#PK82!N zjh{MTIDfGBnW2?d8xEL+chVNwjJX_x!^xVZvHCZ!R4w;Xz@lprH{9>h!HRWHV3ten zr>BYvh37^tkE8Y|WDoBxSmoWY4UW`H$2ZmfN1! z@T9X<@}|NC1CS-L8{R5|$%+S3bAsQYOPD;b_+FO@*4(HTXquN{*I zp~$;Z(3WUKPi1B(J4dxt3f@JzAB~6*ublH5Z9Ik!9?j@f;!Vb$O2 z+UWI)^&brEsI%;>U3t%5T-|qjBIk+}Qz6^5=W>tSbmLsPZ`snoVjBSR7t^-zQM3Q( zCC_eboZ8LoJ^*Jxn7`lcV^tUIbiBgOY4?IC1x@h+ut9&29`~s-`}r&uJFj0`}hlEsJhfDH~fhX+H~|7XxsE5tBY!-SY5T@Iljq{5dUu!etvjNHX2 zR2PpMfCT{-O^>fn)Ws;tDTscdN48su=9>T1Lyzd1r||OEkgvas^n7!$W9O~1^W@0j zRz6VhcEJL-%I4i(wD7H*6}H%m!l`9a%8fG&l}+aX)3^cG4YoJ7{sny9-f>=*aSQ%E z^z6xllAS$6cL59&3sXyO2Q6_sVyRnU%dAf=lk3luaxv;<%+_X$0Fk1#eYj>^WK9|+ z*|P$mNJg1tPpy`JBr(6@z9>wqz4@<|q3T?^rs?-Lp^PA%DY&nFF0CI*w7aP-nSfZ615;_NcjIXQz2<><8`mY|)-vu44==*`B|J#gm1s z4N}(}0Eq(4)72(H>=H772f5tu!6qV0%}9_mRjz|ts85xN2C*rJLAe2HS1*e|ZrxEI z#T!h!*)K^Hx~!H_KvrJDPP|oDbMBN`W(Jd-*phz=QLEX|nmy5oZH}`yjyuBRqfXpN zwd>IJ3$1e@cxD^HLz_lrYoX*YAyieA4z}$M?6M8Xs*+*9UbaEib0xysHwkCOYu^O% zN9CgAoAuhX3Zgw#xGP~#hw3b$2_VZ@g?UIz*$^QtZ7g*Zdn%^3Mk<}I*$zNRf3Tu~jfCKE91vZd!YUGx}ngP@EZuJUaU-(^^ zE!MgvR&D|ycauPj=vjWs)sy0UM7$i8WOKyzxx zwUPs1ft93rSM#pP)EEVJ)?TzhOFch=ZZ=b`lXW1r+Q?h09<#G9jM_-Ka>~8-lFgz~ z=l)be<=~RfV@0cDZ)A~uUIq~5vGlMm*=F__>zSQU?+4wzCR9l2=zjJ5LAOblriU_5 zVC9SEbn+6=TCZO3BgT~{Tgzo!?0<#&E*Vm%f-}}<>lyofaPOOE$wm= zH2GPfC9P|XGggV|R%p-G!-vj;sM6!HA8}O&ngT50c*8q_HUM$}jyxbnbSdwLz{xSn zNrr-w$kTx)%It~j^CCdknq}=>_+HoekD>0B5HK+aScqQO0^me~SzgaE)~~!rOX+jh zgihe~@-pjsV)$x)m5Df4xfJ^`-~TdT>Q>;1E$BK4fOG7r{rlk)dx`H>4vhG4FjfI9 z^vHS3TPu&4OZbG2m9cikJF(|^zmhFQ2O0}`5m>TTplD4`w!da&0g3@)^D%T?%klji zaDY4}s4f1Mj8I*KIug(9Gsv3jUV--pc`bzGQqG(5Tr`*ydg5LjH@2O zX8~p(FInj0OIZAASHUFja|;XkptoTUrZ-$4pLab?Hop#-SaCUhD)UxflbU85dAduj zMLwy%t@E?y1~0R&b?#lk=`{PN31p~7yNkRyVwaSg^2}egU1a>pY?Ytak%U`-plxLD zy5lERJgy{;9Wa>$Ll%h7M^OX?LXjio*r~JX&yF&-`?O${GdQ=8#Pb)NQR{}`VQgMZ zm+h%{g*7bMTTrk<#iyLxA>V(--mCrK0W_)ayy-pV9X;z0qsXSLT>@I_zGlCx`?J{- z&h-R3KjU`_ZC2ZPs}FNF38M!>$S@^137hlYaVTaZwba1aR7mvH$7n!?Ih~~5Oz^L0 zwh~yha-w2u$wTM)K4~Ju>S=eD>MR|~0;reb7Py+T#ND{v*gSOLQbvb>t4rBBGe{$o6zKIK22zx_AuKl{sX@QW2&-Pjhqq1MWe5Gm>!Se%{l zJ}i@F)V?+SBv(wKXu1{)j$Djixf`eYJt7P#W@&t|vm_0etH?mTmD zNY(Z4ngqj!{g3{UecH=&_m{k1$+)0-6#H3k#lCJYvTC`=)eI`Yw+CP|C0?Doc|m&U z9#qPF3gNb7o3IWE$s|fhjiAItc?9Y<-yz^4>uw6c?z3ac;u-LcWA?Sly{h+u3X)~v zwfVnlB4)fd&YwJ=Q%n1~4J~UcYHH&yKY->>+(WT$aGiXx=Ft1)S;qeR_D@^34L~@l zn_mNXwgCkLuFzIeW5c=VgGvm`O8`P(qoJ(_4p8p0Y}kjHdT{v8l9zc<3Z1#eZ|X0j z()-r!->k#P}fiwX5t>sposs$@7QzRg0q@sQOY|J3Bd<^&$KqR#!=YL zFACOc%Nyody_>cD{uPvMc7hCP8sF1!^NCROt* zosFzH^;0NTY$*UJilZSw7-|hJ*ST`A7Fk<9R=3n5hR(vo z(n*1bCSYc2yWUM}`gvE@FA4B{?*=p+wh}T)`9%fpe*ptV<0jOKK)^{41z~hQvR3yw z`}ct%g1C9@5}-su%|28j(DF#(V6GXLdxM9vk7Utl8f<~zZ^DCX@Ql)v6;{0lV9E2d ziqt=bYEA!i*1Q)t%-c{K`+_B&PguUxvCtWY%xTbpPIc#vtc1-gB+P3J9o?tb0Yjfz z#j;<3*`Z*F!&~M|lw6w!{LeU>!?q3YwQcWc)%E^S)5dd7br;Is7nJxu> zFaKo7C343CD&_U8*9>EHKrP*Z^Jd8F6<5PPHcrhQ-yObS?*pWQvyi)14+BbPC&(mv zQdnCvkwhaO-4^M1yRAJBNjX#warLO7n5^B7M6_L=>DTda}c~;~-$w%szn_k*z z5_Wx!w1YCmV}p(*3m8(Rpyk*4wz|=<$d!bJieU>6xPEJDJ_caXJGX4I<0|gd#vu#6 zo+0^KoZtV6*RGcKEdB}P@JCkcm%r2aUxji04Ug^5*cU&Rwg+GRiv5oFB0sO#=^I~h zVIJH0tbOqdH|^xBf6acq^^?8!|98&GFaE+`urGM8SZdO;Aj(FHyN9LwPr855V%@1F zr;Q)>62QJesUBG=aAvU#WG}uQ&p0|nzNhBSirLDw9!B_$5rJv@hO-f4DR|QEQ z!8Nydw z-44{ys?6U4268K^_eT(6zl&ml(b0B_bM9kN3|RN)SvjJF9a^y|lnKsG28<7|9?&u>si^Rqe=KjT*N9$yX}jI?D_LYKrz}P^hnP z!eZtnPCz+2+RGW(Nm(e*yk!(x+3xkO+SUFOi$*mx&a;1X@dxcz;;DtNrO=;<@b916 z@pssq!NfJ~(^JYNm+4w5TeZc7x>M+?z|k~SkF(XNc{$GC;tYMo*+5p|DkAygIqkw_It*4cf4mGKOvq_AVi zQSyzDFRp{ zF;qs+<(zU36~eB%DH<5sR^ZU}R~Q2Dhc(Z64VFq(E3BXfOIXhAq1@h$3PJ|qDflYd z6PS{RKp`hthZFea5HO)8@Ctga`Oi>xKnFEnQnguZW~<>-?yTza044~3K?{X))ICzA z+3K4RU-T_i=3El<@X$*C6dD>>9l`z%YgY-j=vQTmWx#fcJzeuoU3X5o>Q$=y4WP&| z%1!jFT&w3;IBJGZszQss7%PCF@W;R<2v$3Jut${FTdq7`7O4^?R~9RlLLe(CaCkPg z>Yge;b~v}WA7eTVx)M?Cr2|C+09RL@$8F?I%zdY<&Q*40g;PlbNaQ&Ya8heAd0*B0 z)dlg`OL3isr#*#I{yy(`fp=q~a2?*`p|ttLIduLu^s)~cOt$WC40iBXv>YnRp zdnsYj7jx#l0GL@&3p5_zJ+jw+^STudo?5ho4C=HlLoRVGTN=dFT$je7MdgYJa;_ot7)YM<)Y)RwjlocRVGJU>}A>0M99<*%qsAH|i@*0n=ym)EpWhi$u z4qqzC*sRi7aC#=V!s!AwG_6~+v()S?G@7^ug?j^Nb|Nn|MlD82Y5~g7c#rzPdf)5V zKRoz@7HVO}0CA2y?AsDRD1&~T0BmF+yGD0;=tMni^!WwoMxKHrL9?O+I|=e9nIUKiIZTCuoHi z{{#DTf8z6&KKYvcjlc7b)%QZS^TnUB&lf88#!1j#{NkUqpILdse)TWDW_v&BYaf2? zzv=NWCB*&(``=@E2wdz>)%*vcHp6NTx2&l8dolY^alu8b(a@ZXur{F|6mQH<5U9!! z*Yw;N#4AD;v#TYtV*CO$vR9l75=HXV+pxD0va(^DAZJaZ6iSyhCp9jGrEG1LyX8U6 zs`Aks4ke4J@l$l5+z;g&)GQJlIZuzv8Ne{TKYh+Z6982liv)p|4z%soq-M7Q70Y4u z$?A|RTdu(e5VROgQ){(GRb=SiRTZ)2@4Dne1gy<^9|0up1>UxEEUrhW$O3=lRt|$6q-OWozlSK3HGaRrzAmwwnsl4t zUh?dvJTN()Wv-h=5K9Rz@!B#!JKKf7^_eaH&LOxJ?uk#^&f?KD-dqq%baHO%(KFjA zo>=MH#Ddq-7FdkiVy0#JXd4BBNJBv@+!FwpNnxVHU5RLlM+fU#m&Y8B5u z7~FQT>U;sF4tFg*ln_!vA|Mqy*o+=nd>H_velgX)X->`gOrk3b*kXV90wunxx=LLX zC5T4Qat+}pABkR5PJkMS2|No7s$-^dBSbk}tl#gmPmBDlMC&a(u*JXyznQtjRJA;p zkd!yf2f$n)yo)HoWfXu8^MPHrijSo@DcbjXH*CFqG*_m4-o+aR2+&T*_QzN3c)VhT zY1NGY2qsz6*hjdb1gbH6I=N==K`RCJUS5n{Zw;PPj~4(f=Oo(L_e!W9g|FrnN2yuE z0x+1XuEVODwW04p%&Pv9YXqmaMNOTRe&Ci%(rX zz{PmUvhZtRp<`iw*XpEPFO^d6(g|5Gp0)Oa_SWcS`-j}c8G8Y9w;q&!p|4b)DpaQGxWp~ zFQv^}1(?J+AT@}qW1+u!VD;bXIHDuk5uq8JGmRd5)CXiA9;xRY2@9j7cpj#ytSZ z+kBsl)MMWLglnqd`nq?)-@CSiF%r)q6T-f0f~Jt9{C6_+RBiXb5A(O?W2vn{gguRL zAIMa$CQrNkIER4Y4)?1D0n!yprcwA~2xr zK4NM%DUhnZE;Ee%<41XG!VlRnC%|`1p^MIiv?Fqyb9Xb_Sd=QF&W3A%=_~BZzzQ=A z2;^%}p`~2Re)&6f2TJ~xeNGD7_OpNTPuL&*!WZldAG>MoH@<9t_P_d@?7tp+_Szd! z+xfzOVt@3He8E0uZ`har>@V6sb~&v->1#jU<43^B&jy}j;ZayN2{H7o7)BW9+AhOh zjI=l2wlDihd&{4|)brNr!zuQhp8~g+T-Aecubx?mcM(OZw#jl``k?fOe5M2W$TIH0 z6hg;fLS9p^2}L-=Tm?(Tm#ZFzK)I?-y_|hZ{)tPH6k$u)OTo!4FQ8QMvFoM_7FP2# zt}=~AEu&HP2yO}ORBT||NfB=X|;fl_MIy}C`8S7Xq0%H>naki zrb-HB3A6}sC|)niL6Au9dX=TtU>?0AfPhni;!sKoaHfYsFatg-xF`KzMgV8o1Hl() z9@fPAu{|i^Gpe9t8 zjjX-azix>U3J&3 z^_IN!l@Fy6_pA=#sW$lSe%iW&gdGi5>6t#)t+iTlA74LeN1yzgr#OU$uj&w$!9RiXQHS+30Xi>yQ-c@H?{lhr=}OHSIMa@ zCjc-pzM~&-v#`|tG#T#OO09@@!l&}nNpXUY;bRq+11TIIDyvS>{WA=< zN38r+z~Jq`LrYx4JkCbV6DaxMj5nZYo?W{9Bjsf%aa0NMfdKp$vP`S$3 z#(M*69fj=Kc*9nb&{PSI=DcQSeK%e|m706rLYaU|$`{yl-oOE%rxD>!wwytzrz)6~ zouj61;zWL zPV|SWt0X%l`{Wf>B5v>4x4rHrC*oNe`Ka?&HBYw@wIhF10WMCBeer|#WV~j@I`@I@ z{^e)me|=b|4v_fLm+VU)KELwXht1c1@Tk9IuYKji*S|8a;`!m@Cw=Y5cxbQOLm>Uw zPax0)7}U%d0z%+w0~8BZlG-dBLhPvPWgB5ELBELgvW5VNvf+>=R*_uL2fP-;LQ~~{ zk~`%_RC27+H)~v7j4#V(5kgVvp6dY1fvJhI+}KK-(?g`I7EU5`CHCpCoO`%mM0lx7 zima<;dotUx$DV^}FEkbB9f5_5#>^F;le&=-5i}`Fs1GOZ-xs`$DGSnnNkB zEkTX#!m8)B>{@4zy;|e$B^)#S9yRZ*wIdYD`@D0{v68G6g?)5Ca#coLg6kHRwZb&6 zD=hmwP?$_fIz04Sb*KD+z&z#-KHxs&X+b1nO-``vRGh3^M!X=XM+nCjmdBWLLo9Nq z5fr#QOPXq<&K-(3n?;I%sGXQ4H?Wzp`1=#=FBH{G)kq5C6yi2Z#!NGXH19-Z!ag)J z=!I@q>;fyRUqO(a z!RRO`5r?cC`IbbhKX415v458U7PX|7BGOs5q3JfXt?*)l-Mr+Jb7;%{@pFyeb=d=X zP2S;Oy&qKjpr@m0pL4!+iKH@9ls{2QU)Zh86Dx&Io!3twZrcTd4%n$C_RE?3UAG>w zdNlz!$paWv!3Kbn=YTsF(h8FkE!HA3*(UE+BCGO;i z-wB@dMsch4a@Ow%?3kNrvb<7ru4u*Gm4}td_pOW|uMUbXn20+x<7UBl!rvUeniuXx2fD& z`CY}hs)#PFoZ{zD6-rR9ktB@*v&baml8UNV* zBv1t#W%?js%xa+WlZM&%4{Y+!o|^y8u?usGzBOSvw(ME%rLg5bisE~oJ->~-Durxx zIXN@wrnWDuYU zjmSf${HQH&-aAG+q={wA-m#SnRZFYw0N_-KrL|VhPN#D(+M0g^Nbd7pqM`}Zr}AVB zA9&z~C*7gek;;S7PYR1GyQs;}NoZ}IZ%DZ6HsxDsh^dBkmf~l29eUUGBq3A%Y0ss$#sJzVDwm7puz=r!H>wYkHbK}%fqt}Fn0DA%vUN&qig^G2e znvBc8H`11TIcXbL>$bGkbPWS%(0Jt_ZKsbpyYsN?PORdRLbC3rc`GS>GjZSwH#8zc zo`1iyX*JohDH5oqySo6?TGJH z1F&sA`)c@oTe!lmY_neo#@SxaE+2;M@LAqkd+cW&V37;Jv{Aqs)>j6wGQ<);7+as$ zYr6nT&Mmu&VqfB%a}^iY^|si%lCH^tpLMMJ&cKEjFza{%mZzo|7de<%6 zyg(A0YX#kJOO41mt-?}{o%JZhqb@N@-BeEo`GHi&bH@GxgRry^aws(^kEBGaTYDTZ zUBq&hH!ozr>OZlMz}(^iCD3X{30q>rM!=r+ue#2i&hiJWt8M$c)dpsdA_I2if;yh?V&T<2_Cy#5vk`H*VjC&Y!m@H z?OJ8FV&MThIGflxYu-l{3li^hg;Ty~aRzY4LKE$(5!A2>D5>jgu`XL}S2?6qZYe8S zj5V(WkCg4Mhp=b=)M!x^AqwHRNw%zeeCb>DNz`U2RN+&NL-?R}!<4_AOJSh!zSOvF zOQD9{iaxg0B~EaOYaoB*eXBHzcF(`f*+pC;q(Z97@6o{0+)z`?XK*n9B6cNi!OyH% z=%uood>h_E*|KMY$0Pe++Ml#2N=~7wyNi!4aSJYAfhPnopLK1&zHa$s)1@O+cL8m+ z4O<)?+pIgYW<6mKH68?dl1E$Jy1&eIb~vvna#G$3ffFfj!LdX3WfNX0^Ltt5#{gTI zFOrZ~`27mG@>2c2f2;SjVm zs3Uvpn|3)xqoQ-2%OE z&OlvX6&A1iC5)8R+=DZiy2_?!-WF0`U86l{5?;Xo&B22uxL9o;{_-&Lug^L8J^J{O zu+D$vJr9{o5RgfG2f_1gl-TxQXeBI+@Cpih5n>HvhrsNKB)`vB?drlF2(RnrI%rs& ztm!f&q=v}ynn*aQ0^|W|{Y}V9k!sEL{5o5i_490`GT}9aM~+wJk0^73^O>nrU)g0< zNXe+JdUlNJNg%Li6UwBV9d6Es_& z*mwYXM^RQF^xrZS%4wdD#DV-85g1o)Vb!*1+U<2a^pq$%502c0$Thd5h#-<{U(MMSootrm4&$3Zo?Y) zeS6ftWhz|#HqYygJ(n!z@<-_Mbom>y(v%0Y z5UJS84nqBI$^thE7Fvm00wJ;(ssQv4tvEclv+0Jt0mWUPJ+^2Lk_t~PKe#~LRuMq* z{mHw)`=J(1xL$}?Z83gXum? zT6%S23+bw@jgKrig#X#wudx@)?0l(n;yhsI58#LWtCsDYnfeeZH1xLJa^5vHP%L_9 zRtR3&r-KKU2|zD1xz-c53w-iA6Bh=PS5<(n*Ov8xhMpggm17kv=CNAySl0#LvW0== zbJ&2I0G>fr>V4>RDPeWt4Rw81y$aylb?$RLH0$O2_Az_vp%9>deYX^F1sajE)!;gX zP!;+Kp`aEbDA^Bt_jIsqf6e|KXiTZFEyw#u$ezFI?{bQ2nx`xX@u!|L0+gn$Sv=~Y zGEab2n7at4rr|k-SfBCw%dC>_26lFQd3R(A)zqx($C6*+?mTWoBJEY3yB@b75#J8H?niBvkvTNCV z-<_lL@F7<`d6v3kH>7iI+JR4+PD!gZgJ!k;L!_`w#2*+YI#2nwlY=_<2ncAR!vGeQ zo&t(-=Lt!FGHJ2Tmo4&f&hP4ill7WbzP?#A@8vSasbZFr`B-H84Vzp{T|r5#+i<)2 zmOT${%i2cj$YL8o3&lK_8>!@O0V09+(S>h^m1X;{{k!OhRom~cqpvXDTNrVDbVU!o zX-3cw*P!7Qc(d)mjY<}N3n`$ysh8PrjRF)1*VqCj0_Ruy_AC84IQduRoctbr{1BY{ zrC<2-_Q$dEm4v8xo|th3^mSW(|Ca|KLkL;Qr_#4~j_+78Qnl2rh=n#0urq{C0|Kh4 z<@pL5vkYJbjVJ+|S|#2y@6 zvAx~~*Y{x$c}^7LS11Haf$w^k>&O;T(paCrLs;KRUKMx}N|*Jq3DZed{`T}$%XR17 zCN&I7GcKCn=kF@ETYumz?R%9wE-#`Otzv1*ogGAYUPD18AS{SY0>aCoG?lcfWX`T5 zvO9dk66?_(s>9_z|3>CWtZ=`o-B+YFWG$4wa@sTOUjtBe3{$Jqf`rdg@6z`CDgq+U zDucX739xe*^EO1R8lMIJt?y9mRx?}F&qJa>?oNfkpV`aHPpteBR>=l7)?UM&eK%w8SFXAVqLW$Jg%uVT0iJgPuHlgv=IoE!fEV9R zt61Gw=Rpmq3PBs3y9cc(bf>ZSt62U8EVC$%Y!(M!R_Ckl%-tn8oKiyr6ga+@^X zPq{X8n5n88!L1rr#&2p>zKW=&RFv=w{qVQW_6G%w5+eJ2c7xZHd(ea)PXW(aUQbQx zuEIdx3niI$`w5F;#;cXMK#Gz*tD^*v*DW}WYqDw)G14eSunr$VZ<7D3fwr(rRcQ3n>cS)ZpX=IuMQEHT?91bPN zH9Kkhwb5s6tB+Nhg7?&AavX4#K#IZVW;H;-)MBdv7e)x(%~{|k6tb0ZIX$sX%Yt2X z7mY&em$sZe=YA#_BV#v2P*cZcRJ*VZs$_}(@7N#>$2t9`OwYcugu@id%Jj0<;SEWSp!}C?tR~ssWf2_8byJ zO})J5G3g7~@G+!cw{Np=o!FBTI5W+9lF;f?0KMAVD`ED$k?V#LKPuo$souwtcB!%{ECSW^O>J~F@OyOQ%W3f4SW6Z9c$FH*6O9~e74LUZ@9g^W$)R-2K(?5U}i@K z36dCo^IAxAbf*&HC0BB+PW4m+bb^3ceAuxm`&#Xn>~OZhInFo-rAx@ats1KlbPkw@ z@>JAOP=b1_ZCklxE3dX(<>arN`oFI{CO>%mOW@=e?LR|!$`PvcF(FM2jM9*=M1h0I zzyuKlDF{(WJ#!6(w24B3JI8x{J3B4fo5NdRHH9(e9V0c#R!UhyT`~9|3PX$`Pl#f` z8auwh1=e`KfPj>wDsa@nRp6llSW*&~K*vUK-`1889#;V`YY=r&WtvmV%vzQWcdQ)7 za*Se8swH-|=Y&r8JY>h0OBV07oIn&{Q0bm}oap=H+SdrdGD1f=A*$d=a`?(#7lc}2 zH7g$Lh(XX{L?7R=5|-jYF-)g!HiG(?Xq2|x)oQ~$>m!_}azXPmvQ_QxbJ;HYFc-=# z6yA(AsVb`K6;Ph@4jd|ZTk+Iww93x|7oyValM3H zWv;;)f|G}vs#?aCa`!%m_Q2!e>i<)~#ZRc~u1*vL+?b#Q-OwQ&q00iPXc{4fb%6J=7g2e+m%E8L9P`G9TK! zqQ6(!{ngC5n;|1!vi{hn2VLtOb28j$xD21f0BXa5g-0WoG}!Az?O?KLZvZtF;FLA5 z^Hyo-9>P!HN`XP89Ze9{8W~^Wo>eg;hEwvP8dN>u^I5NBsTlMK&{Nf#Ja{rX6NM*L zCA4Dq{dvX4auhJ2Y6q4q@Ttn3kso7E6IPuqxC);{iF@K6rX5u)01`!akgSpiro3VCoD4T+2nX+_lLJF+gFXroYy306k^igN9Fz~-$J`CLGH?j`HkH8W$wG6Dcf9E z6;7Hx6w!VMG0H;7p-GV4%prS}ZK5Q7lq|AJ0T%&VrGjeDmF>jN@o&Kyl@fO zM;S%zHqa)&#W`Ou&ht+i5ce67rlpqU0FM;_carZv<2``+KyPTh2SdB}WZV9q^`L#E z3(YPA`fj7_0kNa|E&JyW?^yo)%uQL&=P^!hV>B$r%{mhs>`$!K1`b09^WGs#C}H)3 zhGO`7-5-Ae+$84|#!{x6D*T+E70T*5&#AnLO1kbNkAu!&QMkZ|dJZfPT?o{PzEyD} z516`#%ZsR%@R0%g2wGH)m5kittO9UlrwSp!37~!jxxJG>@vtV*+-29g2fm$+SJ`s` zM`ckpov|eEwvu+mpYnXla8i1^&Q%cOtDHs=8X9X7HS#zej%!mW8b`yG?HPU$s2U(+SMzWR{Ci$!1TjVSk6{0z6$V4gl+n$X|3<|t^NMk z9zI>N)6^qqd*6AheJ~Ct=nT&2aQDQ8MSpq}{A@1g^;8Fx6hol$uRJcl}yN7}D zCbCBb4(cu*2}6$<%nG$hp8U$0{r(0gnyvGnddo1N>S>fZsCHg)YlXpbA@DPd->rh% zhQ8&mK)zS!RV~w}O}l&?vHPv-_7L(_4ttr;m*t)dVui_r3zA38TgA^g;35E`q_a&3 z{3_og3rF#3ML;E7l#D9DtC*l3H~q)9nLD!7DkPc-*?52eLwL!}uRIh9)5I8rsg9*E zR1AwtZg_!_9%wWjEVwWcJ`d174M#i9!Veh$`cNu5%$oJ6)l@T>lzvk=sq zBOgOpCu^3BVXa{rhS`TN!!TR3IM+qN&VVy|V#N(m1eI|KE8n-p6a=ogctR)+&H=m# z2FYXc(9b}A0uy~yjDXN7%A?_!T%!%odA-SQ0Ihf`|6G7WrNB{?sT7oQDwH6m?vxrD z$dfaXhYC9wYc7s}^|AOgY*EB4>lu*39%T_)Qfq0>0aXC=t8`fQ7AT$1_xuF!4_a!5 zl`)Q3yBV`tb83+ggujij-UjZJC7JD*g{is?*)dK3+dW2H^7WXt^2QFAoffa8$rtPt3QYUif&MR}&$2i9Cv7;Ho?*eXi@v^RewLxGei3kC{gB*QX1do;ok6(?E>xLbR(21nJk2 z_lWZqZA)R^Ov@GBbAVy*a>bJEngsy2qSp|5B^XE1vX$+#ko{(N)pEUnb%%Vfw=LTX zI#A;dLS2CRSCKR6MGZO&fgzrMhD6un< zMX_u{2V2|@Y zBx!_#zN6O5+vQX~5#<=oT(d>xI2`Jn;h_RwAa996o$|`bqSYj2DYtnyCnb$4(cZJS z{c~O2b^xZg?dcqxY-ZiusQzmefGE7N61C(yutx(^7vkne;pHUe$6#c`!>Jut7wz6~ zYM%`wDVBf+8jRT;+YAtU+*+{ZfM$LvE)Z}dBy1@K;jo}Kyxf*oQ{k*-JN1gDks+#B zk-}3hoz`AL&K12HbgKSE0jN^%5x|`%9ScM&k58dy0kJfnT;&|q+gdpcZhlSIb3^E+ z@T=x&37jaoUPB4=rOFGB+g9I=z*kq;ZFLcv z_o|Wsq41o%fvWfvO$ic?U@E1v&*hYF+o7K8nyT3{ooU+olLY!E06>md1e#Sv&J-mS z52Bee++Q4sGv$7}D#Yl!>B%d}iY?|Et};(_-tOs@gq5?kxi=5sIQ*bzrw@>9m8jKg z2@BNFW3@}WtfXxJG;aa5HyFg(A+^-hY-2Wrha1%C9os^_X_2L%112!0S*2^k`yD&4 z7cDmEy4gX3)bhA4ae9$J*H#k^bWX+M34ovs832{`MR`<8=$B!oIbG7zGR73@k>^AO zC4Kf=#)pz~f2HQ&W)Ni z;_@s`IyQK-ZTByBOjAjf&?&}Mq)ql+1^}S!Xf?>$<#nZut#Nd+xa29w3BjW@lvpLp zPXws;?@|;~6a?SIwhwIEdt%vT08t8(?C_pPD7h+3GM*0!+v_h{nBTX$DeDcetVTVq z6mwQkF5R}+f&@QQj$o`|-BtX&^X#akYX;`>MHNzVm{pw6HC=4 z2?U%%3+lmA>*nphgPmTT00|}tydYLkSV_cDd)8mIqv;hCre{lOh)VM*G;oL_*sqLirB$`4l#zM>G>6u`2l{^t200XMj9qopC;$+O43U|@%RID%Fl$#M zHmD$zM(R}|pop+WkTiyAS2}s&%V)Fzc1zf5=*X_`oLl^A)I#OBMFS(dJ;PdzBK9TN zxHl!debBuD17f*qv^*g3oSo31wBKdd%8psppi8+qLkEZ@n4PZxfu*>*gr@#4p^aVd zgtEMP9bP@+CzTbiaW)!Pud`K>wnC5UbF4VMO7=P^{i?qJ&C9{6&l_Oq%(5|H1j>C? zeQyF6DDrdL$edelQ*_LCUV8Sy<&KqyC$8GS$1+xqgaDk9Piv=1`v-$%(N_}XfqmhL#0g$p{Pdux)pvnnniQ>7erLA{~fUi&NqLp!mfx7-`#*~_e33#is ztw3fJI#L2{G9hmkKLPg;AuyT_VG>&I$LJ1vaVN>jI9RXQZ-bPLju*RnouJ|B_FdiWSM$5O`#7}x;Vd;`y)`I zBw3Z)D%e}$Y~JB?ics9Dm$o~Alfo6i$qq&qz_0i1Cg5RgkrGO(1QDzPd5Rb}a_#8> zIMKkzM7L$1!oVtQ0+tp+E|K)~JdLvN+SW?Vg|?=%kPX@qOI+n{)^pCI8S3|3PmPma z*`8nwDwj$W>6#e8+X5ouZjm4bK!~jsP<<5e3dAV^o@|`b32i)O|aq zJRSJ2j~si3X{nY78o43kwKV`dhLA6Xwxt&au3}Q74&-U8ou({QYngY^v;Ki^p>`LV z;mjLDYoYKfoPqkyDxq8XW7Am>x{qT}0F-^r@EP!LmC~ne!LQm%=-6I}o>(E-uv7%Z z%)T8WNjsC&99+T!YE&qI+8ZY<(+8A`R%I*$jN1Td(Qqa|utb3~QO=4~#F)>H3RVd& z+D78odH$8Z(nrB7w4(F_8DBDp#UIDLRrJrYmHbQRt*-|1u1oG(;L?_Y0J@->VVqho zmbL)kUM=uddE<4_CH;{l+6}vylvrIH<5+s&#LCf%?IccZwOF-O8BLZ0WMEJv+ASA4 zzJSklHd$z0Q=0`?fIOVhi6w&20O!+#ALN;iuLgbyPJZzGeV7pY=k3$pNBLD4WPXeS znpqJhF9A#@)1VX1E_ta0k(cIK)b_AummdV|YyPKUOVzz7XyDsFW2;@gI?NuK&ie)c z4^V{QQIw$)Wu;zgSSNMfrvQOKe!r$b0x?o9-?dvXw}fBE37$NtIUhmTq?B&}(ZUD) z3q-;cOA4jeIt9>wGd*^M9Dy*nlvVmm78$fHt0c^gqy-54dcXL%SkQUGqLJgw&0gU)S~=Q^)7Z5bc}q=DGQ{D;odHb)LcVW02mTF=>G^4iH+P2~pEMK0wDyeb9vv+rI+C`)2y7in#7%6Tn@ydp|mmG?xmgt;!e_icWs38ttDRu{If!stKEYg>rm zY_e-1Xv4*p0T2Q?vRvfdQo{BjLhv4+yu}q>K&34Q4lRa0k|3O7(MI@eZmDm{TVV@d z&ss1aHI)XhAz&2_>D5AZa9p<2i$x0o5Y+xV3Sj=^1p4z;X%OTbP(<+DCg1ug=t5Ip zrIa-*q!B3ay7ICz@Q|Q?3d+sf{|lPl@ooTm65Q2_qVJsd(3Nl|v4B4s*>{yukG)%# z?_D@WeNrpi``ufXZCBk;vv+zgS)-4V?*{B!-B+OT5WG@hKLD<1ZphVnfi#_2@*%Mf zs9g(KHU~%uv6FxV^>!I`f_7QYaP2$rm}mKD!}7_xU5y>M{F~sq8sc!SDA)G;Gkd>s z!|qRR*(3jo<+@Ev^iZbF_FTAM0&FV*`|{*x-1vV@{!U;Se;k@iAU9OKqudwuuE=7+ zCo-tu)D#xCdq9Bc${40#r0-&F}L}C$*yi9_9NIcZEe4FB#fW6Lp&oKYx zQ|!WfEx@VjlukL9_u(6FL*f)=C_Ml;2 z8LowR{cjvv@4cQgww!wb0G>g)N3TSkr9FJuw_knqIeU5Kk$Hb$!-6*#ELsX#VjH=7 zy=YznI_|MA531JufHOm;z0Ub7Kq-1r>vwU3XjXwOjS^8yI)P~c*~ieY{6O-}D#J$Y z$sk=ota4~P>p*+zq@-|}LLw3*?Ou}acn-ty(9N8Z!hiSTj%zenDq@tb!oU5AwU0wC zxm5k5VxzY6xI95tWV#jC9okEVou@8QZ}2^N?r+{sLW5H)UYR=_L2t+m?oMDvVVz}6 zkZcJZfi99aL}5Mk>ERR|p97m6AE?W()}{Qj75~JNi^x~_O{Kbz`?oC)zzByVgF`mz zg{;TDPXW%VX4^_*G++#=q}O@y04>w*+;F|{mbsf0V7!Nn)C{zJKu*sAohz|JyAnFE z)#$0oBO~vyLQHS;UbRV;JKb&A?3|OB^qqVdbM4oMuX4>rPNHI&c-s=isRL4BRVo)T zqhf40;H!Tbv_p*6raOXA|2YRw>aq=-kzlau#$(0f4Cl8cQf`Y`%?!>ejg{q z{(}9tFt%DC>)=(1qTVJFobUNB+D87=B}QtayaozWC{QtLESX%Z0pY5@zXWL*WuvFM zFH|5Z)!D~j(;IdG7*P`+WqYgAMlpHFfzL{u%3&>wSGDaz)mUP%zJLsGvCB^0Rom#k z5-Yx&wy3UpQgkn54y?2Un!Opc^ahGNH~{#9tiF&* z=i1N8YQlnt8Df z%vJBi<-aILBA9@=X}IQl5Z20JFFr`(>#N`~Bdi)WKp4TYo*Df5O(8Uz0Xi(8#i zR&_?8b=|X)B^7f9mpehAV8%R$VgzJ7g7gpV3w*kiM$oCp!hmnqUSjQC8Hb!-tRyhU z``tby5EJaZ%QwQpNC#VhOq5kv5o}0j3YhKA%Qy4fPiAFexs8Eczgn@>%dB)G?G&Hw zH?8|{Y>%qf?aAya%p7%N$}js178-KS;W1~aapNoO${Ke*FN?mb%5F|2hXi|Rc0u#7qHKG zQNWdYQ>eqT%6fflH~=DhlFN53S)N#Cb!uycQ%hw9f)T=9ZMypMpzt|EgP$UhCKbTN$=C)p_z6I8 zBe6g4&?*F$Mu@>}JF$=4!N z>}7s)tN7GnpIbEVCg7xyHrFMVGgsb;T<*4>aovIahjrJ(LZC{9&+hs9yd(9Eq^sl! zE+_2z4dl-)g@O285*k`e&7a(xcLIvMcW&cn12;tSeY?rN`9SqHJjpHsC`9uYZZ#-h z?p1PE-LO@)BQsEdUFq~6zzavbq8_^H?fqrw`4}TA4aKkVImtmORRQA-cq5-i`e|Y~ zio6i7Ss~qWE$Ur)Y-?hTqZrgyVyOpC7OHPhxmdO2GAAY@S7oxzv9)W;!CU6~$YJhH z9twFqlwTsxi85alq7ik<;}HRrNRG^VU(F515Q0V^NCg=(wA39|ooR2x9@@e>pSc2G zWabIvscFMBZ1Q5fV$XV8cF`}{n*f&Ppn%bM;&eglEMkwEw=CJIS)-e=Kj*)~xefrp zn%`ALe$Tyd(hkc@Rf}#%ECQfVK8y00)Gk2sT+s-HA7uodb1E9xDF7sJuOYj|0Wx?z z;M{H*;t@KmyS%KEvqcNHFrlIVDCEKAWybz4koPX~{wk-mVt^v7yCvg^6ddvQUodchWk>ra>-cvLg#^#x1x# z?^GI4OKy;)|gwKC>rHDC~;B(SY>&~ zoi&%#Ivxe>WUywjUVC2Y!d+iZ%9amYTKWZG!d)z$Q1@k@D2gx)#%ZDggI8X&alOfsr{SYk6N`Qu6vVx)n2Cftb#k5F~V0^ zZxDCkDup@=6ODOcZNX2;}`(4~?P1JLG3fd=?hc_I4St#1a+^Z_QE8Nkmqs{?>5??7NvAX2RW9BpI0 ztNC33rP-^>rCo$}7i`hjEF)AJ(o*g4gRDQDV_(<{^)1Q7x>ibxZk2;Dvt+MhmFcQw z1~twQfvm*o9yBTdGn!9L$;oSZ@Zk*AI4lc4&bdZI6;%_WYz+_%`zmmv1^^u$ERT?*CppuVpQ3<| zJS_50z@L88UgkV*-Z>y9k4dttLv%!>*Xd)RV-#tu>1&+69tcF%tM(eqZQo;q2Tp2ExWBJ-tc zIw_^71Xis>b(p#r?tpupSLVqaeCI=nBL3j`SUvZ_Q+YO5kloi=y*l-#08P#^pQNpi zd{C!SH4>AI8-e)W=|6A9Va0X6)j9lYQCvbS>?8gcOLbw*$0CwHk_$Vo?wmoHOteZd@^~W zQBzY49_S>vh|p2kusU>#|9jKt*?+A+=L~@)G_yQA25_~VE>PQwWU}wd?GsCYy}Mb7}oKzC1OhE?^+C5 z83=O%fFQNz&^$Z!;gUX3mtw6~Rj>*4U3iHzODLQ=v3$R2oBkO(6Yfa%T;AmcGW3+w zkpZM+WdWWjhHglu=i7Pvbax|J=-X1~nWtc!75(!c;FV-0L zXBt~q0;V6ZBR5%6ncGs?8v3S~DQG;1GK_=oqlf`evYOn~n^Vu(1 z&x&0taq4xH&<1PN+9W_^3DjpwvRvR*S!{|7&xNA8xys_#`vg3K2toBryx@APD6ky= zmfftz^#U(?UE)@@Q=R_{)@*R!*N`Ujc1d#Fa&4Gc<*7jcg$7p%JW8!C>$(AjoM0Y> zAleZ-o2*z4Nt7)j09PZfMY1eHwH0rUa&Di78W0IcQ`g+?IE_W1Hh&&>Av8mOrBT)CO0zEytCeF187nGVVoYXQ_0 zP;w)Cpq66-X(dGP76NXGwH5f(0#7A0>N>It--TM$?q8EwGMrl$A)&r65^6cX<7(i@ zia9KtIP?U~)jD~*=WlXaKFVyy9mKFv$F}Y7bNw1W&AowXtg>JbD}RKQ?d1Rl&@R6l z4kLEZSg|*2WoxyIc04L$$xBU01S42ED|VFoQP==j2!LeET?z6VtYwYw-BMCwVxUO} zZUA0=0&oH3Ujo*oa^!JTOM7|dB*b;FnqMM;Enwm%gZnMh$camUh!QK6ggb3w)twhO zx0q!zU0cl8-6#QtQk8Qe#hzS5;9-@i8bXQP85B-*HEhvBzzQgK-LIR_jIz=>YA%Vu zi4w-+&`3VswqgpPk_9Lg;8(RKfPW{K05g{%1djf^|=Dfr= zvIYhIl=~y&LrwjZ9Ii?h(VTQ>&Cy5@o<#A&$5rF%$0|EjD(?^4&I^o* z6GUrD;C#X!D>YsT*$3Tqz+}MD+GwWcXaXUtfYLBhG0ok{ zEK|z9LNpi{tWU}4y-C7OCu{a}whEX}aAJIy2e5$O0?0S5br+6T^hn)o}i9y)0->@9s)U>(o{NDZ~%=gXj-`-@ig&Rx_%)okjo#0FV0)^whlemiWBCf98#4|rWm z+b_S~`eDAw?8VuG-VywmofbIcwi#g3BG9N8#ScTj4IyB7xF7 z7}>+!Mc1`)JW<|*LLu|XFnYM&8S8hLkLha zP$i`0(@^z~4ok_a;n2j|{gji?ssbpSzh1)h74XmeXHC7kdPYPL`eG z@qRFiw5qmnau?ra}PeEn3OSTUvY>sm-Ayx5A#idugORleZqyRR~ zN8uT@4OjQl1XhkbRK6yu@)$IO_N=uAxYaBd)u*uc6D4uxEDO`*N(hLZsjXcF@~k<% z;Sx0qSO8)4s;^e|X=p41_*g)I=3w@ytUS)xS7*cwcdfGW3%hHFfPyFU(flY&fd{n$ zSCXG%(gF{+So=%t%{H{7W?AxzW4p*HEy$c0P1@E-@Jhp}LbljY-~>OzPTetpC%2Gy6las@+cR*$b&h z_Hmv!6VGfrw&%{=^)ZSB9C(UiPzcUw)=o5}a~`wil&j)U;=I6|&QZWm({PWtuM_C% zvCsYb@{q7LzF1*s$sL6UWiDWbIi3(ugi6^#rwe#pC9OUFunzvr)w_=bQxQH35FnB4 z)bO_mpUNi8FS6&yT|53>*zSKTVUNF;w5RXp?Ed4jJv%G|K=M`rgbWU$qrDb@rfGwR z1AG7Csy(^fvieEf>PJ!At*qEvC)ccYhUyM`_FVFj(%S5NTCz5PSV_+*d1iSkr$#bY z!}+uhg*?>eT>dPDq}8r)%AM~+t2HGTbK8Ossyo`{)fzuPV%077YdC`kFfhh|SOulq z6J%E_fb3G=7tO_~xddrd-Ayhriq6;sB{44mKG(vwSvqmmK4;BEt6gvot)e{{ZQF07 zcMc}&PDUPh>-Jsf^?!jc9-)Y31ZqB+2XOEZL;@@_>ZDoJIb01tb-!ALWPti>DQ`}t z(^b|dS)@+H0u6(GXrdjrOrU8mL_Vh}?Iclv$kbxQg_+1HjzQ z@mkmp(LJ*sr`*BtYV)@&wrmW50R`-Lt#J^s#x&<-lfZgqQnF)}*CRVrWTI>Ldr>>= zELk7WKVt6&tq>q<2_3U!@5AL!rdxJ8RwIA(C5D6g{*3)j={7%3B zwZCHj@=HJQYd^;0N5VS)abVLLp1Ox7u=bP}60kMz(2{pz=9QDqlAXR^xADWadB<&Q z?Fa0utxwx%n6fOEw7R>71Ii(RG11UUYK-O&Cs*U;yD|L>Xf{^ly zwVDVWA@vSGWa`?E%at8S0Yp-8@&M*(q9C|#FpXMANUJ3FthxXrPh8u6p;|Szded&$ zj(29;p~v$Hm8@aGhbS^fmRy32AR-}RS&VAkE$c+CVBN@NaK)Z_OYCb829N12wi9?} z=>%jJY}w7pIf5+$xQRF>>dyq(q)r9sBCwj8uL+>2!zhdc6K1&s^@&l=geYi)yfg_hP9WjHlEOL5Uezf> zIa`&?;s6SQsTNwW)$Exiufx2BdDYg%(}aD~KEXAc*rZQbYr~p>1?vY>wz+&_nODLV zdogd(>i{WeOKt5BF4xU#A{t?I&0g^~^R5?4Xg%SEa4Dxmxh;X_(4KjlP@`|_s>kt9 z*@>weiy&92voHY={f2IV7yfZZ*z?!`a_0Bkly5!V2^5v(=M%~5ZJrR162Odr}xp50m=JKD;{>R5G6>vm&y zijojeR2Npws8Q!w^=*(x<_5rKGkE9(ipKl5{k*gI)z3kKR=sbPkEZ^bmq zMY`%e!k|ELEL_-PzGk^h+cHViX;`zI_+IEg5*M$d7zU6iz2DpD^ zFzq6h9`cDKS9%I1-Qaf__**2P(EB;G6ym_*qb9)5v!lrsWJ|`%sSAsISk1arZmX0LJ5&6K#MYCiHia)0R{G1Q zvme`Ivh(x*rTx*H(CW$e>`Slh={NTCf9}7w&!2qR{?sr14SQJlBld^?@Qzi!^qTGc z#IOB$j~@Xi|CfNKtIP*1DMjr0@Y}X<7n}WgkfU0RKf1Kbe^9gC{S`OBNF621^)oED z7hvu^mq?q4cCFu!K@MuEd*a+vD(m{X_afUDhWro!Y^4&3Uia?89wCUk<6K%5KJmm` z<#qU&yHE=r9n}G!*We}%gOadc@eVA#JaKVAl?gA$PHlU*XDcY)%o6sfs%}uGU6AQ} z+}k3Sr>YZ#6`F@3J3q-;WDG#+2W#|#ban9{( z5~aI}P)=fXSK@Ym_M%;1*|+FRTyHJvCa!80gDlJU1~2f57261-Obb=J7TtA-e#_st z?dZPC#@GHS$K|58Xs_AFS>qb4hDbrcX}tMUth!S~!Pi;u5&NMoB?mC%@3Olo&ME3? zVu})CYkZe_$0F!qZiOl?ctr!>=M_FuQ0kO2w%kn6F<>8Mch|i--=fsNecSYpoMrON z+qS>WJ!~T;l_R9Al;5!DxQ2W-3jGXhbK%Hxw^1R_mF6C`gQiuEv)t9D-S$3!HV}as zg+^*_XkPjbmia472x%m?Le*hM-F5rb>1V8r09?e9k1H1gtgeoyt^`s2UUFDQgON+t zd_1_#p4~-|1A+m3x)1fXsRR5aKv(mpmM`~QPDco9YSvX_xH#88X9u4`C#Re)YExie z-w__WUNi4`&vTb)&PnUsuzv(pDslA}Sk)~lE>@YR`@Xu*9nTj-3a+r z?qPAcWvLwjlZoj*xg|B$=J7*S&1d@T5(E3lfM~-a_(3oJ&MFR5@NcHJhE?!s&Ug}i<*|VH&1M;P|j@j2{J86kw6C+01 z59)MTb>+_bJ5%Ue8sHyQD}V$vBhMGQ$-lp<5NeQX}q-sRY`1h}4&!)Mf z2z2Fgx47OOO1jNy2uN*0l^PPLq;++fQKp2(4D4i)4W9s4qI?E<=yS-8q) z?yy7aQ0GUXF_&`!=+W89JEP=g`77qT0&Dv%Hw-gbgl-D~3*`hJ1yZ1;E(h0#nS01s zZcluiNprr5zU2lLdu1l0w(kJCyq~Mw+bxfM#mG@JOf|cdL7~Q(np`jXP>!2=mZ-|} zJ#WKq`%m0BfH3m>jb51zZ6~(t_-_Ec)z9J%Fa%V&SRJ8Tg7!UjN*+qx<2*1AuwF%< zJQs6gRFq=Stpr{2Zz)-|L=o5sI9lk)OKQku_Je(pdAjJV#i{)6Bc}~=(;v;?EpV+KnUAWi(<*9 zX4oEnWlqQl6qRx*dag;G>KZ8AuI4eSK9F)4LgZ>EJn^C^77s)@vJ#3-S<_`VuKO5^ zgl|SI82mJ7j~mx5g`}0+ScIeA99aZj?l%8^xoCl%jK$YNR^CCuypm#n zP#Embv3SdN)LFAJfJnph0>hCjlk8Umb~M}I^BNU^(uB4fC=BJXEcqu^ z3}0F@KXwX5%C;>{S}*bBle`bZU10!&4O5RkPI}? zbX`N0?5VQ}!$->9lCZChimv)clZ4|lzXKNanWatITeGes= z*SesWF|?>$p0~KeEO#gfqk6vkaLBIz9bie;3SJu7tgvDdfS{15d@Sk}GyrUO9gT8l zPQf2~ss++$L^T71Uih7QTP2I?<%5)O%z+0bF$W3D-PQ4X-#tQFp=W8ofi(N%?E&ysq@{R(=!ZYw~+Ww)v$Zj=l z+ka~L5Z@o{*c$p$-QAVHrLu9AU~5j*UuF0H<(!irJbx6d$kXvB&HL3~vZLEyu$v!( zlbz3g(Y|o=#J>91KJ<9h3-;m{KV~bZZ`i}^7k;AGzVZ%y@MAn=4FB+q0DZ@ z!zVt95=M71Ly+&}V&Yn9t4brVi6wotV38McwsL1?@f$IhAQZ}ixq}GmQPeJ{n$Rk& z3xlHo?jaKDKHCS=G(p}|es{vpZ~Gf;bIvJcmGDY5DlDSUscYbRp<-K`Rm-oU=(7`; zV;(y;K!{2*Js3aG?%U{io_tDo4of1YsR{lKu{y3{0_inJ7<9fE~FO61FU4W zD+2LmVl8{v-*y&eU@c*xn>mYIiMgq~*%HV&&E})m1%BkleI9jm7saaxtE_&77}gL5 zWeD;*jQ^rvvJF3hijH7iB@rTOK&8YrRhcBP+)4=BMF_Uc-x(~PoPA0}j&i=k^JQC~L;K$N7JZa{-!JhwqcXRvrIx_{5e%Cw%vw4K+2hGB$sJ zbtjKnK4uy@z5tU*Y03&Y8myymS^IQq!@ZHc|E)zk{suton-lvxZ{N1dM`4@QM;1~8 zJ1iZ!_~kK~-mlsCjBB+UcG_F9lkTeZXGvG}RPyKZP@FdbMcsi(4>|yWDq@8*S65Qm zAEI5ArfZnwd^~}V(uSTmn+C5o5OnfFsXpPY(EF@aU1QY;MY#rw#b@mpp{^jLTEV-1 zv5;E}g)i%EFpZjK={z2-*;|8~);Nz_{}dLf1gtSuHIL6}C<$?1`O!upXkTTOA5VeW zjb)cJB>*SyoCfpBGpG_)B~&ZWsS1S>hJyaBN-o~?{f9ODxVk)|z7&+Y20ZEZkJAM4+_)Zx!cd!ARI zaA{yCYsr_Awsws(*&JKun%TnhSj!s#B1lN_cvbntF*q`%p=}nAU8SIMN|d*9*j%^0 z{+eA3i|qeAZ>u@L+U?EvQPp4h5U>Et{A{pnZ%^)G#ol%2mIx}O!*1{$@#xTA@E+Jz z{}E4(4Q-$d%0LgL@Mm0ZfMWfs_DCWRH7M^6;PN)Sq$$Kn_Ea5XuR={8P+^6DSnpDn10L&yaZmWL)^rw)p&>ziOwW zRRH|Xz_RVq0fp2NQ#KrwGpRg^+n8YFrJ`5_Nu9NH+&&TV_P z2UYQJK!ps?HGu4^{6-1m=vMCDLrNCxQ{D^q32zm{C1oFBMb}t&j&}%h9Yc?T2;wg# zq$-y}elF4Wzz-qQbFNDB6tJRPD#<)O)Q2h?#@OvQtk%sTW4G*4_o}roW6ndZSv$dH z3>3*r0PVUR0Xi=+Y5}+Gy^_miY)HOKPV4vU02X-_bVjQFtIo=9m}3U|Q%iq^9F>@> zyecFeYZ&sGQ;S1OO5Ts$N?4{05J9fK?ym?^IZsc1bzp^U004Hll9h**sde`-a-WWD z@IlWSk38!&Le8V&asGikGG@cK8*2xaT}3J^N8Nr{p!3HMa!&rYa!+=C{y(>$voG71e*42; z{2qAx2soLj2LPn~z+Z9+b$Y12+E-5#ad`%T$N8%~6zKq(;cpJI_h4Y%53m_3y}6}3 z2sq)rhXr{C5>$Lr4;_jukvhzv3WEz@jgB-DQ0bmiUJKej3{XYDsnz{s-Xpi9YwiTn zj$MTSHo~r*^*}ATkFk{ZL87}|>pdLV$+N6o9;d92b*Hx1ioa`=v<8%2j7#=b|B90LW}QunvCSEw~spwee1;HLwgaWJ6@}*Aa?0uzX)Z@vi|= zGAI;1RH!HmPYIqc!1Oz)tP(q=Y8=)1=t_!KA4v& zeY-#8>>bTliGM6_vAcj5i5kh5Mbtc2qAX(NLPZt!T#CDDh4@y;78V;; z&Q~q-VRfHqKzUFs8Kp6`RwH3w?{3)fc-8j34ST>@2%=8L0YD5OvCr88yl>e)JAH(t zyX1R%PSJ_>TVBC5!$fV<_jvU{#u=B-vV*tat0ibhKt#ceG`xsC%^&ym+^r_(YBF}; zp7|I2hTkhB*&4bAX|DV0n0H56Yy!CufmZ^$x*|RkOGP`D3)EcrLf$&@j%1T)<9d46 z3emdDALvaJ_N24rl48e)1Dl@o06SB=XvxT1hQ22l7xQFbq!#x)A7-c$Y0;R9qt&EP zl)VR!!~l8EvzkBPU9+D;PCt)Oy3HC_;er?d0rL#zE3M#>4-*`HeP8M+MFHO46K15BcUApk@?8*rg}fv{`KN0xaR z*}s!=GQB%YI#BA8#!r!V&oF@=G_CRa(5m;)ay{Q7i^!>M0W;QsaUhTV)9$7n0S;TN zEARCj56XCTW#g^d0U zkI(&S`%6Ek5X|2M?)=_)%(cpgkFl>3x}5E){1HM>oU+@7fJ8`aQ0Te5Ww+U!8M~$! zqL}X4rFH&E#ah2nH~+yU%!rl~aN$3-i9Z2g$j(#s!7D#ZI8z0IBEi0G`$zUs?-8$8 zou}pz->_q2(L%2d4g5BKeXY~fmKga_Gom?0SUOr z^>MfpeQdXb>XliyAxijd81S^q{nW9d+pMDjF~S7T2j8>J@U9E}v@zo|k+!qYB{0?a zt@0>omv8g)!-n~wUt^sYZvl+nV7*UyR@&zGQM!d9#2*ESq@3{m%$*M`{yespTK$8B z<%Kp<<4VbPr(4bflMs@_ zUG0YCfm4{xjYrpZA_ydGT@FcL(T1W)9s&dk1qx6p_Np?<3<7@&-CcU~sj>oc&wE9? z%k$rzzQpfj8BPQ}oDh~`RJD?dutgu*4Rx5j8hGTIpJfvr+l=nntC4&5(fB)-&Gy{L zc0U%eK`d&eNX4#%o^U3I?)#MoQSd9S@zP4-+_kkIk3;5XunKpwmVF^&FXTV4b{O&N zfV^P5N`NsGunLcXm*>+}+nZdmlgS3)y^NK*%4;ZMzM;rTYPXauD^;meR|9f2XNoB~ zI}oz>LL1IPQ&n2#Q%Sq_Io^LA&;Vb_T9a^BEL~-}3dv|N;3S!FRXE-&W%I58>H!#m z&tI{HpUXN=N^*fUC!?;XLV2-nw~G&LDRl<#d+@%Je^Dzp`erXKnQilVt}#oopMe7Z z&YO3qZ1((;Md0c1Hhi*?b6z;LxxW}J&Ot9cAAK=v*FIjik39d(?%vq9XH6MmbrKLegBJ+DIes$`MJMEX&@^*qY7fYR>pjux_XJVItQ z;gOM06mQDJE%QPc!v)1ujsv*DR>(9R&{D`$3COpRfEhqacZ}fxpwj0AP&B3bCA){Q zv1^?G2G|%$fKnSBVkw^`S=WZW38YY^V-2}0Agi?;LnjKs$aAeC1P%5)#L}*V>JGVk z1+Pl1Z3kmO-FBrIC2^4Ku7XL~C#pkIg1BI?+SLm{DS>p}5lLZH0kas_8Y83Nn+Rtj z!)wO&4Q#o)QU!oKL|Q9oeycE+C0ltHx0IjEZP(lXh;>$a+}@vIBr81mVK zXMZUDmJ6)}V!reAHI5^;yH~c#sXTHac!6(;dloDr*Or5pU7uL;Ho$TzVY9~6`o{>r zBxigbde;1;Ec`o8+5qFYbriJb8$)Y|{*QcwzgO(%{<*%TH2?tr^hrcPRFbM{{*wLN3jh4bpWx>| zZ5xLmHC|ia(R+F9tn)9xaFWXy|EUPNVw`8>ET+g|YVq8I&xve_*^aNBA@T`3jw1?fhmY-@$?9??9 zyBa@m)_G7dMPT`~>sg?w{vQ*UPZPvyw@Apv%@uq0u?%9$*A3K8_2EB?sa zvNo2DW^dd^aO?dCTtjWUHoXg5TttvviOrJ}FFW@A!K-%T%87Y5NI!ZcY_t<~qbA0yT> z0T>1C9d=0ViMIS_7SFRsDX5CGiM1Ml5anK|cSqN1SY_YwUPi#G^K6rQ51IzJX=wg; z_}4$SHvl4eK%6>%s#zTm_76E_R}rvElgxM>1bCFSuOJ}Qb0iI@y>6+%jC-p(FNqrQ z>8YP3g;mNLO-|fEzbolOS1qFCOIb-L?CU<)x)FS63s?JAT4~xsvhHR@1%GzS0(V!< zlV@PuwC%=IOZRKgfWk~E)>AZ1rcW9MWv=cw?ebewdsx5ea#CE+8pu-P1r%!0#^#bJv*!`Oca~5@X_PY- z;GLpneky0)S{`8z%2c`LHlSoPGq<9L@L3;=KUp!agd`Av!@8U$0R3z5KMEjt*|z2Z zuR(LEP3Qq*AOHXej|cX0tIB!yIprQSehw(SfC@C8K~+uHT?w%us+JFw*V9y$F8nFV>T?E?ZR)KJsJg(ZGX_538Z}96c#mC&Hw^l!%w=`5>kO$Z^t!?KDMZ}C z@Kbv2Ci`8E&%K+5$;ObC+v4^<8H&eKez=Apwv_B^JrjK+Yj78 zPk~KMaE}cDInbbdJxY?DXZmQMK$q`PBT00ILZaN?aH`3~VVlepLRBxRGN+}Wjt8%w zST^0^l(^SRdD#b6dk}D=6he~es)&-ET?@K!>pkx|GQ>mcKfF=~!nUS+EaWu3y)d(gp?d0DVJoU4i803+tHpsKfqF5R=| zLLaz-4CQtW8=gJs-Lzn><689p_tVI~CfB5H?>v0N-uUWQ?W^wj(0X@2W;Y-HW&2aV z^tbHIH$%4b#hD zw>#Km3)@{=x!tja&&4eGVhN^W%19Z zvN}@;digFu`&bofRR3MBu+Gu0co10_B812^rFNHX#=eA*v}G~-|M*9cqjJVGwjMjO zFwE>Jw*#gBm5V=MSFv7`3lsNwbvE6Ec`|tj8G=)&M#+i2zM{m!*%Op+9_EkRQf!`7 z84QD9hYeSb>|C&XMt>hSR%}`wyOJ!0e+Dgt1NWCM4O|kVe~Gp7AY^~P{_k4gGHj_f z0x&eQQmJlKN;Sz&M<44>7|P)D<^VQFf~OASc7SzHoLJH9Vfjk92A{3+UbVYZ zTCIS|39r1)r)~iIws`-d!dHM1CCTlx&wX|}$0yX7NYx%{->&@qtMX^P!0CTx14XlJ z(U%Lh_9>L)i}Uf{*26mdojv>OZ~i-WbNIw{FIDI)mKeLCYw8wzUMbk50^m@4^Xo+m zuf?qBpWF82z!v%lZB(q3ayEyBmPPdrdI;%d`#aphXSk<3h}nFRRVhx(`_xxKWva5t z(g0T_NNeDuJah`BDECD^i$j?A(7{~U#!}=9(4H)7#jTa-sH!kk>onNoODtcF_0x{1 zazyz*HALN|OPZ5oygFI3w`R}T`_rqIL;&wiw(PXOY|98`fiIn>?yGi@cA*%-Np%k{ zmm3y+HE-T$H!b+7P4j;G3V?qZ1&q>0*jbCSd~IM4{JT~Tp1RnvTB<9Vd>O7#S?CgA zLvyb*?!P!g@p6w#tV04=BOny+3PN%*%&VNQ1kV9`HFcA?tAjECtHnOC3wqOT-KyW3 zS+$aNZeT}z0Iie&%y!xh%3MlSEVcp!9j_sj0yBv~Il%&&@efcT4e& z1pFaJLVYGhA9e#V<%JAviU)Z#I#>}h4EVMLYwqy9zw^YJUplpDsBfM^9`Mb@H^%n< z)U;M{QZ)Z!>1027;-1t@0dne1huQ6_^bT<%ECU*{cHuvV1pjZlggx#w#c6f;i&zUI(+PbYSv zuD7CBzKIid_oNI2CG~#b-{MNwSPyiCrK}KQCf=PZH-0zjV5D##0@fNK;u@v_1qz;W zG&DkFY^p?26^VLAZTMl(Au@0Z*nK9kJ)BVG}^MjwbWeA zmA1-Lg*-j+%Jz@>T$yX@yYlKi1jdv%WjYABaI0ub6W%wyUCS*DEqVufxD8;7{Q>bOh~8DQ#hb>XktZ~LzR$X8guh6E}c zdI3+cHtzt?)pT>vI`x>fFXMLJD%p3ZAF;pB=d#nH$yxV$&K3gJlaGc^ZWJA>OaIvv%n%Ss_qGAMgvlQz1^3+V8b6e8ccB;4Q)# z#Kvt)PP$HJ364fW<9P^`>kiuUsniOKrQp~9DeXH@qCAuT&5E6Ro&SFD4B89--aH}p zul>4U#GbwOM$~q`@SoTp{UcwnkHG<7{C+H97P&c|s0DDG5*{1hDK?EFA)YLX1kpyKsm%3TuoZNf?5|-$9_<^mlD(18YMd z31RMKBbLgKt++n4&?;c4KXlEB#JK8*pr%}!BKcPDMLYIaP~b%i!7RnVxh)ojh==o> zki{^dre}kuXaDo`W41gUqDUd0X~<%Mo=cPpF7du1|6as`%_9V`oCX)ZJ*-``q&zVjjE3MEbnOd#3_zi-m`-Va{*#KWO!R_n?iWjy~PXd(|3v6gF5$BwXJX7<;SP9FJuRZ}DgsF7m z0KZcrCPIzk?sJx6$|17@Dpt+PvOth31aGOSwwMD4N?yjyfCm9PL{QWLLSw25Kg zc3n`_sy)cr?4lqJ{W74cg(y6>5+ETPZ`(o|v59r2q)vYfeOzLN^#y?xj+3$ru!l7Z zVl8`182~TmhNAM~2<`-udw>}!Q41|d1#&+vctrg@;!qj_b#yYaX%qF_9ogi3WW)2B)mj<*&DmXNPN;80YnrpY z*}PX$&CA%xk6B?1z=;4tl<@q{nbp71wfLarO0TU2$a(MFW~Y4%2LVlT177xRdO30B z)9R?{O3Q~>%n_{E68EJp)zDpV$omy8ad}{Xry6{A76Uo}`rrw97f-xpAnhhnWu8PF zL<5ME6v#@W#OGl!B~MSF8l^(d-RVju7PwbG5Z67}eFh=LCX2_){6FQXVPxC(TrN^l zxQ>060il|nFg9(^ZbTnj;)R6S2D~#x)2Q0EC9TUVT;F6*TIfOdu{6i7*qkK@QQj!iDv3v zj;mz=zJe}s6gF#O?M2qA?#XKOsCBcuA|syaEsasnD`kF)^Gk(o;#@a5ML9#S>Sywv zUAF^24TK)rhQf#JmqKItp_Hqjj;;hygpSp;QPZA(WBQWajU8CMf(Bn4b8&eI(Zpfde1!Q zq_%uHyEnaIV~pjnYSFn4rvisuSTR<2N?i|myOpP-?j%dzg{|hfr*#0RfOMs6!$)1$ zmnU?Evs1p7CPA|~$}{w~3+83G5YIpXK3a}hXbs(z@UX1{iEy9 z`-tA#sLrwKsGgd%ET3!J{}`T6CH`^xoNyTS&R4$lVULp!AN66h^t$N z3c`yhXKgOli+|d6p&(UQC>>AkHI8hX&NF(*Z9}d|^AV>Kege*5b_AkHH@T?wI zeZyXah_c*QDOj;k31z6ESBohN++MWp=U~PHU?GX>T|?>Jidg<8ieH3+&<({9KmZ?= zU#TowT$ct#=OgvGg_#aoDD9aV?x-d4a=K;F7+?ZR@BoWlo)9mK#shFg;!~@23oda< zabXE{)x*CKdteJ;6tmj+!%z}7^6aRapAwzI5rhNa~hf>{fy-Tb;~Ca zeR4}8TvPq_98nKE8%`tE^Od$HTUj=rpapxxKFC7T{%A1?1jPmdWrNq%TVW1YkQ+SZ zA&{Vy-$luL`h-5KnV;M2u8#d2HdN&lB41Z`pFLGx4uVm+LMnyTP%v4*?(rdmxg4AK zur?l4__=ESTPJq$8p@&V+s#{mnCDQw8G$4PoA_?FFj@*&aRsH6MA@-sB|h330{h0I zg;%4lTBVRc!LOkZONd3@(j6c~Lk;^xQgs4NJ3~}A`@o4Bv~`JX-1jUZh!W4r`Gw_wpePq za(7SO#sMeu_Nn#%>5(T<#4P zrrgz8ukO5o3RPTAu^F+zHQas#Kxp&SrvyOL?4@XQ?;Ke51X@N=C=``S4qS`zaSPSL zx@WzqD=mI&|Ek?Ty<**cj-!KB29Q_jareNp*LJVjMJ;cGnMU$UDJulPx;YEE+m-w) znmYp+R-1V@Rd@1k)uvCH7OHj3Zvz5qsC|qbRc7t?H*D6J0DL5Tht?eDofk|*DP5u@ z#{gi;?*Z`J$Q%?!|HAAW7kBJ*H)n$*3?lb-g=E42$R#95l6xDPW(x`E$P(4riq@4V zuUsJY)V;0&on8k@QMH!(;6&{NQ1yYYdJicuwKx|C&L7tST{k_=S7d>QcRxIxA~jgT@Vr=JmSsa(WBa;k?xCRnv{7bCguO z&OU$4+eaQ=Vu&g@4lkr5g@0ekTHzxY4{I?~kD*?VRZK9cU=D#l?M%kFa7-Z8d!A<_8F6PXIJ3q?LeMM!Y5+ z64z+Bpl!$ZECKlNwm{oqz88kJ)3!A}K>78trm;j4YXQqGVjV@Hj^V^+9Z+z;VM&l> z0^yWFIIFBx#49APnJ%&f-@PnZDblg)nToT(6{b3jtl4(q%wjjOaMT^}xNXNrMHkbL zTuVS$QCH7j#46PE&`1E~fuIkk;4hWdB7CO@J*z(n+wrAlP^4jykZH!ua2$1sngUN^ zjNUY9%d-n-Ss({o@|c*?b0SfILdmRH*}SH3jX;R_L)K23-)Q=%phbt>RaHS0Ko{h_ z8vZAzsa}I6?A-65RP%s7T|>#Ra@fuQIrDN?xs>m7wa5H!8TOQrZLwDqu9P05R0ac^ z)<-bi*pBWYQ=Y>9)v5)~ns#}bw4}OnUd_6gY%qc)GehyHK@kidxs$TQs;W|aH;2M| zVF^VIJ;`dqVj8@MS^&T#o=aMwh!}3ntaBN5Q*k9UrlX*1?;JqL$f}Zesfi#}8KXAF z<2p*ZolKPQ7v~Qa1@xo*ow29HVm*~43c!PA)vI)!cdFI4;IsBavvP_kGP#A-e*R*% zY>{yfP=c)nIMw$=x+qz`Qx>)+g{tyG9!2GOD0%I)R<=m5Yl#Jv#X{SXX}}KPxE;w@ zb{&xk&~+g1J4ZIywBRg^jsUdQije6!uZTn=MyCis#scyn$W-3b)G0$?-y|2Y%(9#i7AV)MA4md zp5V>k2yjvdm>rqD)4Xk`oh4gnp1J-b!L5{Qq}D(8?9I_NTbiiMS-D9Xp8&W+5wc0n z0@uAtz-!WNP8CBaf4@1li$M{>jaXDUS@5aX7}*4&f6!jDVn;4KCXgZk2SkC^1vEmatT3PK1LUL5!;4gOa!Wk7%FkJ+hsZuuF}aU+>i{{T9_D8vBobprMq-l}~fP<5epwF}4tfHRq%g*K3@0&ex5O}~3)m0bYT5Z*^7 zs*g>7tlrdY4EL;HRED*t*Jnq$Fg?Jt_*+$W zn#8Q(7BYPEfIfMeRFbZAf>;Pj)d&oXR?UcO0FITPqb+l4fS(#Y%oZYQ+Un8G0E9_o;Xh*U|GFsT<%?z-|^>d zFK<^?h8B9UXutAs@WXtQAK!!WZ~yTx!aD!$FWUdP3+k+)XyZedNv#-J`YMF4`2tyN za0E)Y)ta}ARvFkq+uGmg*zUardvda5dlyT#)ID?rf2vE|18Y&)Dc~{ z5HwATyple$*fqe=I(9Z+J86uqh!q>XoigvvJTX`kBc~U@Eodf@o9Df>IzWQc7GMU; z?qFh1PPc6z*4eUW5R!8E=bnhmT~=xROvJ*@pJTyc?$xxr~%7dxN5 zmL(!#qqg;G-Xq052S1W!N=%(hL)N>*o&s=H4zl)}=Pz65ByMZ%#}>T;_`C&ViUBLt z(?viN_xV*=mz^w6~E}8dS*+SR2(;}~7d3Y!pzuC9xVb@~n5PBnR-pecIEu~#p zM%6a4JirE_p}ri+X46!)qGY$azhHap>bu?!y9&)t5flhFowuLpi;HgP=(}`nQ*&45)%qW*=$7knx3VlE)&@BWAP_sOM!uP#b5Cl-> zcxJx7a5omGgcd zfaiZubKW0;X0JK2)~?wb^_T5;OpWW+VFSPk52^fkID_}b(1N~>{f%^cj@Q1AIz5s= z=ky-)R6Du_RyI~*?=0cgyOge4KH9Ke3$fk^Ss)}pJ?`H;}2Z)f8TOH4a1ze7CL^fAi#lk+azc#uYL z0XYF5^^gS6-ME2a*ubiW*E4ybOJ1Q-Xc#_9c2!RVe?YTC?z0AoHtkw;BzWv5Nx7k{-wwQB zCFJZv^3sKmE~iV@1k5J}byvNmy_E+;l~7$jWt~x){hKFyL#ECvp*2}n;9+Y1Zo{=d zcy_pMfupAD4sOt_ltLVt=6IApnop&soUIe?`xJu#;OMG+T4Ss1rR=1;VhPTv(~Q|~ z^*)7hkNMM%*zQT$mMTvyl9FM8A+g`E)+5i3rrWN;S|r3N24=ABd^Cmf(X{t!2Ki?? zFW4VSA6w|vMf>G9I}VimD|1eMk3N1R=j8v$aVxx#O?J%FK)0uL>wjIH_y8kv!zbDJ zI8s2vgsKS24rsC)z?ijYuKBUxd}1IR$~G0P0A5ZTy*DE|IiEj##j+(N^?t!&g?2U4#&wEp`& z+j~7@4G6%;!ap7h7;IZ%bm6+eMo==T;>b3NSf84+F-RK5u~sH4hd~+c$=STSr+gg>-JE-3c~xY1yw-HeO}zm2TyDG);t;C57cqr64IvDgd^*I> zUHdK6&kDO4^VDcsX>LB^B!IA)x^Iy0MK+Rh%4G#gj}=hDIk-=$wrX|0D5UKyA(iK4 zVE-t;S6p4mfdiDxd@R^G@bVsKvxM!D_cUZcqqu6eve`24695X3{rDRdt9^HDsgI+? z*4g0oyrn-=KscAp+d#O6V8%C(?dX4KqVTGKTmJpliB^ClnLKMR+c&*CtXf5z^9E3Qyu;63Rw4(r$|mo5*AS*yZamduTOBP_l6?i5 zk{?gtMDBip0=Yyb^p%GoWGKr+7L_^&k0#1FSTr?$Qj)8}NUETy^RBt0Jhpb1h(@~ z4y|+K*`w)oz=?cUx?@$A?3IJ{ypc+cwqxVuqjRqJ)ZRpn4PZzJcn1C&#u?92=E z|3x>KC8G(@s;cAEWf*7-MC^r>B|)UY-pC$ZUU!yeX|rQDR`#s41_f#ElzMast!h3B zdR8HlWTfWmEsqc&JJCkM}HJU*>F0%u1=^Sj1yVZ0W~M<&fDYn zFJ#PM90Z|*4(k0>>6j=1?H3m2^k~398s)Sngwup2)fcP|gRkAmoQq}}#`A`6* ztXZA~AOwHH{DE881}RC=jCcw-&Hn-6{6-;GWj@H`ax449qCd3+{lgDQtlu_r?Vmli zfBx_z(Bnh9wtD2IDm#ywJZS7c;>4!inVX8Pia`Ozr~WlZaVzOFODs+;8*RA;df&89 zS`2{K??>%BqgUBO&6GlE0qAvaBV_Z*V9nkKj?Iu0$_Y^}jUHN?JVMH!Q9f42QILW+ z;{6c6S5F}IFp(!I&U*STY$L-#Df0&alOx`p<2?y)2z@Qs*Zn(otH0;G$O8B-!ox8J z*%(zNihN>&mIJHmdhGc745MvVjq_IFr2_Z5WqbY_=L(kz^za!V9e1Fz{utj09Ydeb z@y_kYuI1Ah7;3)8_b3TpArS$L5n%W`{-<0S@;ZiDCfl>SDL`qVYVqvEC6?xs$b(SL zYQ2JeZTM-s8AQ4)vA-H$(yv<(pqs!@S2tLNrxn(emtTV$`|kjF;8|5n$s4Ay>f#oP z?^+Rb%A3#HcJzAOX3eR^;WvFgp#DARp@{Br0zdIz5SmX*_Vc%m5S*x#_`iffvWo+Yl(D|G!F7;1!XmH+>n-v0p@91r_V`;Un@cb@!cEDGi8O*L zCyxtA9}DHMw`qUNzhP?-Rsyu!0cn5BFG6sDP6%8LqkhY7TNrCj$#JUZZzCL9u!$^Q z2^Q6fJca=deYF)zTDXrwX#$84LZRu{5}*eRU zy{u4=x*`gg$Wl^{4;BKSy}+7O)jFxQ#Ks72%oJvBY9f+vDUmXRy{X6=|nC|v|j zSV_zP4`(@GZM6}2`u7#?ItJ*IrTo1ktAC{h^K;mJ05HJte7KC_C_@)mhpbgjUAdaq z5S42JO{_{KwkZUqgr&+jnOfIxi)Hb4ZkcLEvEjRb`n zcj>*Ui0GWRNZ_@CoWUAG6QRX-DCV99z-9Sd$VpB*Kw4y!iN0J2N&5Bo$O4CSj_&dPk7hAIGB<@EsY zs7tcCH@DhSbwD|UrU1gJzkyZC-Ht-eV(fHN))?kpGN;;_s~1rH5wLoQy}vFx3A=eD zrAftdvCiC!H44~qYt0_|S8Y46YjK3J9vTH93qBP9V4|>;`?3t}=2*Y_U?>?7aH5|0Yv3tgFM;Wksspcpf&jLeK_nf>juWc zv7#jLo;)uNyI$P2@TURq0_$P48a&`tT9&S!xF%U)nsJH@%T zFgtcVXVMD!q-vI1pIG=dat;v`8)LflYZjRet%YpW$dTpQk^A?c6=C18zWee7VP+tI z)y_fTHW%h|QY6TFLjW(If9sAV($uZG3$M)cv;07qmmsGyb%~%X8n1E zL!tY1_IfdR>2j{*DSKSMW{+FjwlLFNBhC%o(5@%k*~U}wIaa?_ht@sz>|naden&Y0 zRor!)mqa(E&bFG$trqs;Q8k8JnH|_-zHX@;_re}F>nZyy<5z5Bgzo5f9iS5}$na3u zTD?`0=%`d4c@t%idx8HSb^jeK+j^dNV(;plyLYFZ)5+)D9FPNXArT|dvMd(I##mLB z=qag2I% z%fIJq01`{m5JmkVVFUY~bN23Dz1H`=;d$Qg4K8_FHbTxky)cBdp4q|%_7_qVia{!( zV|UCiQmd%x-HYpX&{?rm`@&5UK5VSnw2i&V;QMYwEdB8eI)jeuL_xVz752B^o9i^s zuBegjNB&Yx_Re;o>b0OtZr6Oc5c1h_~G(w_(3 zB~}DE;hMYN7W@~Ka6ierYW+`2C(CCSGkbP07A+b)-1>y=Ou6OT&K}d##)1nz0%#XP%m!rZqt>!9ko}j+mGW!2G*M-U2cf- zRRS2327+H36)m5`rC*wt|M^O4yI*w-Pv?=!fe|PRcL#1tWX03ixSU-!n04%>)(;mQlQ5O^#R_<(yoG{jDp)gz}03 zi|NUKvK{XCilZE}u>KBQ0(v=gSL6Ko=xAW*x}d1mago( zWU=X;hWQxc;5ue)0VXLY{Ge^^YQkb_xDY{zU{bT!POWnX0M}N;LM%Dx5>)5OoiGQS zgQhQQHqf?rV2kk!Hv(M%RE>#hD)YoBxo8-#m(i7MX)X6L(x;p+ide{A$mJhpZvj=0;%DfC@mcp4I8wgmq!lyB)O)pCA3`sG-FjNnSSj zWR*PYUbO4VYZ22|@}R=^noFhbD!FhChk~>Bi#+#=Eh39L9#tZr4D;l4Xn%P4jOA+; zS1l9B0!J?cN>>4!IdrZ$w9#F_$x+s-1GqEJ?tX9G&bzEJfa3086Tq)DP1QK0kmHIo zw$ZZZj=r61oyWf#>lh5G$Uj58^+hdPQ*bu>0}SK3a3}2ENv{{KePzYUDZ)C z60Iu11K%ztWfv0HUg!uz<&y%;B1yWgqEH%LFP&f^oI?1}X;-(Va0~x#ddeqATW(f$ zc@cV@Y}V0i-G0+o**uP%x2m&@a!904(jgswJ_P0;;^=@`*sB3L)?-f;71nt_1WLJ2 zycUy)j*snD{*i?~4uD@1I1%v1;eKn^hIau7c}Ne7(>`O*AXt%r65;_wO=NF~Q%&Ja zb<~_=ExX9z2*UIv&+%l*5rI$;ura8+XKqb3DF=cBFp#XAj#pfcR#1!2m-3<%XViV` zh)?-dA>>{FMG+L`&nW*y#76UT#M}h}1a_6@p}*_cL%>Fj{bZ*kxFO^%#p~x?hz0zl zF(C_}C+Zg69OtlmQ_BZxuCuAgp^n}~)a0~f>s0)sBRWMMq*I!}o#vW~puggm0C__z z2F_gdiE^xT;Ht^?8i?m|ue4V<{*HWupR{9uvNsL64(Ebmci9P8kLB5K<&|hHG&-Ww zgiM8a#vCL!F|~{%C5mVOB)F%>dCZ*v=x9x%qw-uOlqbg62g#pSddR*ZgYlaH{U;G# zwN-dfwbQQw-5TwAHB}KJ0^n|(Mjf$Es8kvOldj7yB$lz+W%gA$WMgCkIbIBFl5`*Q zsN-04ajd4J5j!0$+2iqsvxD-FvT2HpCgOc7;Ggovo~s^G)uLo5w#fc(15Uox_+Gxr z4-!aWnde_J;>XifyJ#)guE7X|0U zE3KlPjux$kp;xC;g>_>9kStDh8zEPTo6M_Pi$Co@cE(KSwpFi$LszRHWr|};d>sX; zq)RSR&RS#dRpY+ylG>bbARFHytFHW1JuuXxA+Z8D$sqh7)P-`#o?O|ng?!h1SiG3< zco?+WV8QNmwr$YFsRjWRWt`MT#4;e~Cvy+oSavS!9F&f&RPL*A+T?!AW~x$o`_zrc zzIZ=mogLWm3C69lXb*cEsFFGebnR0pt^k-?k?Tlc0Lz7I<22^IUu8@*p?Mtv+lBY4 zMYFoK%D4%f=?F}DBx;L&fI&P_t7%rF$klZMo#p2m@bwf|k{?ukgp%71xPm6Bp0S!b z&e7Si&V_RxELac4m(Iq;ueBfHz}U@dSW2GR6>kS))3;OZ8BWam^j8*`nEoevk0 zkGvh6mH??rsKooaa?bgmGg#2{PTkJGG_v~34V!)Y$foyefH<^WM}dGjzk!A-k`lss zrJ-1vn7LGq8b3b*ECOiG_L8oLfs8@DleITTfMy)=Gq%I?x*nLU;asjtk#j4jD^|cs zY3zW)&I-tDuN6U19zoZSxV(dTG)0ZFdiG;HnFi(wu&7Ewuh(J~M zTj>JW0%)furme6K zTxuQ>ohUIdWp6=p_WTX2POvGnvOVxG*<*jpYBL=$3*zbclV`@6seh5|n?6erMh9ma z&%esI4u}f;OkX?5*%YCeYsHLLP3=T_f((v$O;=N4{Smw2BbhUFSz4eie~wJq}^4(~%Hi+r$*={Kx|aN_`p-2$4EqjDE0Q|_n5hw4+mD-O5h<@q;|{c-n%{NFt2nt@_GDc( z3rfkn5j#d7cCg`N<*@KN#l_UnPsg5#5CjIGpnMkPu4pf{Ib!*z-V!!v75M#63F_b>)We8pQwJ9PTwmZ@ zYqx<#9Y7&A?1uuo4m342=3$n0~(L;SFWbFgM%W2p}f26YEgevFGxfei}Yzd$!pTZu8v3K|gSDmRZRqY%CULWEo zYQS5zTqy#QUtLFeE(QVcRuY!o)QJOxiFI)KYH{=kKw4JiponS`KN%P0sY9Gfwl`R} zUC6)=9z?sP1YaGkD?xfQfoCk9SQzn8ZnSiPrFT(HR~7Z0uYc1_o&S)(z85+9yFdMR z?azC+EEDXyg%4s-$Ni8)0TK?iXP-T2SmU)Z8+pZIO0;4xs(}>*69vPFAC5O&Ul9#o z8zCx+hs+ZUr-=BxuB7N!5VAhvr!J`KuZZBfZ4biORl7ZOOjHa~#%9cGau@<-)$2Mr z7_yFaYU4DFf_su*L;{3H12Sr$Yt2G9>E+m5pu#5TesN}t8H`}2veUQLK@bL6c8!BZ z-Jm@hT(QIHk}Y9yH8@R5B=oC1gxM5kFw5KHz-5FqWDBf;T0qNrDq{3DFhgUi#7vaH zHIJNRS;wTk12DPI-)gMjO%(r@mqRF(_$haRa`8EHVB*_*eh0qX<$pLJjE7iXioMCO zu8KJ1xUNRT1Ic*5&NS<`5V~+V2s#s1f?{=6v^N}V^iYE-hWfyg7^Gela|X3l<2r>C z*HH*h37$BQ*+JL5K6qZ^zhR%d=W90WOl)C`{d$g1yS(7QNTA+>J+RXyxEkzFOeiqG ztl_-86i$i#oW5JPo#Qp)-b{QwFgy9s@l@8iPhtD1UYiNUw;?pS2C>T30-0ZR|0!(u${oki4PFQkSpA- z#Om6hV8`Qi*M&0S2IKW?8K;m-G%dn?%0XX_ow&6WAW^&Y(@NHrH)mEbgx7$S$r$_Z zTdY}g6-kP?MKau_ZWPca9f%eKE+1;v^R3>_*_h8$ri&VE6=AX^$7JV`OV`R9R+s{~ zspK>eP*U{J9WzA$CgSl!oP?@9q*p0qNy)F>8i1`4c1Hl)aU4ARaIbyMLZ=I0@{91anvq z*zL|uTT7o>WD5z5;dZJMd(^(nCyk*Hhiz*ZjAdhb$>-m@k+C6JGqb?Mmyb^LH_>D=y z^4A~+e__kK7uL-#mt6mu=#_+d>&Q@)>r`0pubf)@IAn>^%*{cHARDKXyo++=B25?F zQ-i#Rb{S`ua<)a~zbch>{e4ssgl*QuY8{c5kPk)K^pkh_%^rGDMhtW;FR~?@Tnu8n z)g>FJ-WjJLRcpxGJU2%xt9+2YAB7~Ky8s4F;}%)VPcP6RBh&^!3gO!}uUtegm0TXe$t&_8V3XwfB182a+T4%1d0HBbsYsMMn@AUB zLp6+0(~}l?-lS~uaGu1z127dYQj<*)rS3`4UTZyPop#E)5YYyz?bNuo~|fE4l}e9Y9hV6Ze^uDC9Sbj;><&6uCrh zMyC@SoFUt;#}EImd$!ZqvaF_5V@uWA!f&B3-ZjARB2VThr33vLX9HC#9<&tCk;tI$ zDR!bgcI^!6%`A4R!@Y&<=Xb_G*vC2oCx4C2ypn%l8BksLN*X1?sRc$hI>W&p^{tN` z)A^n%N|XSXPV}sh#0^hU64ev+R0*2;UdS+N9*Qz%H1{GM?YKr`DsL2< zsCvtQES>u%d8O*@=T0Jm@`il{=|SnNyZVkOcS1&Z#5zbA-)2=l2X6%$+6pe}GOJU@ z$j0z~iU2e)lBa-UO;Nbdy}ZfiAL5GiIb)1#3xkyBx*IqSl{Oanjr>l7Zwd7p!kwS# z*oliE@KQjb_%!#b3WOEbeZwAEW_9G6h;0;3Y_ZIr+tvBdxE@~P+xyo1wR4O0o8~>g zXu+#lTf77SxW(FjV0k|FKx{Dqdf2Pm`L{!sile;Sta}%B_q8+Y>;McGFfMWS8-pDm z;XuOdLBcE-YuIyv9ZM!)Y$@O3D5AQ9?!buESg#Bd53x^TFwIulzG5$03PY_bFC8D| zanx6ku_AIMgP5K+-Cn2)U>`rDXjOH&^XOc} z7lOq!y=0)uRD$DMiWcj78WlI3G;@INFnTt|pqD{M*#2KS*vk^=h|Dp5!E6WG- zl4&Kk4tdDt&=zJFmXBe8^XM4sSKp7>Kj?qP;zI!NDC^kwcow%fAWr%`JT*6IT=`2{ zZw>KN{}fe>C}N|sXjK~>^S*zA{C|dBRfl8shEZ48Zz9c0tf3};<08?Q6>QHIM5$qs z>42Xu+t<9OSs|ZYR{SGi4Q!l}N9B00z`z&Lp#}afiiI?Dtt2w0-qlHduL`9ouT^6C zh&}4rbF9}T)+6#EsKg?&&im}3V*V=+_&Xq_lre8D?aH*{&!jByk#!5aux7bWFIecK zYXJHsUZbM|1Tq~rKO%6$H8juc459`=iJ&8JEC&|4rvdv%tsk*#qjQ{9&yfvPHYrM2 zz?sXwDydXCR)Q0HF8Nb|XZ>3d5JgRz*q&XUgHOV#`+yUb?&8o{uLWdYHeaA3+*2o) zkXD#?>VIkyspGu}HsjQafpgQ0DOFMpeC%I8;-W;dTZ(onhfk3NIa*bxjalP=%3iKw z=d@>PzNWDcYyPPv;sdwu>OGaj*F@E~MshF_qk=%XL6c?lguf2Jox*R_0h(6Q0EibR4gpJZ4MTI(!kZvuSYYhAac>Mp)v;3g3( zZ9)KCz+83>qv9f;Is!`;0OtHmYpU@C`*_J7Bt(Q%4NTQ#T?aezE5C{UulC2Tcb0Tv zs>J=%I6AZi2~uD7mi;_y{{s(B{!<+3Qt`sV&!B#C9%3kO_wAn@{u$e7?z!;eo`2O| z#$ew-#na)A+bA&^9sS5zVAoMgC5OT)g9)Jto+KQ?G2lGeZEz6ZhJi z@qH8tWrQ87qpX*~DTEOUCB~>H0QWlua5Y}X^&f)tLC5MHVY@AB{$AU@x%;e@rzaN9 z1uP2dE0r1!Xeso5+FwRk)PJ*wLMQB7I7B6}YKBadWm9Nv)C=35cNx^XjJiru)H|Vo z+8fU!@Fm8lFM)yiT#B-P##K~4Cs0$gyZlSz{Wo!~`c`S5SjEU0L9+*5*S=`Cxqj3! zIJL3Y)Sfb*kl`9he!k4wECTT24&o?xMO}J50E=2u&(DfSgOHop+MdXWs$5bHs%n@A z)F9Y(35VfDoCC(xO{P8_Smjk7veI*TJrQNIZ=~i))3O>m7C2M97Vn09xSwg=F|P6C zcs>Tagwchy$`LAAQT=r^M@2Ev(do>N8%vHM2>?h2P8wyG;Fn0i#j;Z?;)pd1N$0j2 zFeV$LXoC*MbXKmlRZWowi@QDzvAW9xkO2(k1VjRV@vLSzhl*dxc#R8DfSy#Lnm~)zsG0G zI0^WQeO(1WZo`k$C16Mz(2B9w@Jk(HDvwDOFgbT52-Aeg%IGUOvSh3W(yQ*u0(Wv$ zr_HkccK_p6ZdYwmR}M_TIRW)!c#(Im2LYkUi3!W9kKSqIj~hCu|U?zS>C?X z{)nx14xBECu_}Md>agj@L3`Z0ZiPhE;sPgXKgyo30LG4i=ew-*68fQ}W09c7C~qg@ zRlALDX92*9Eb2J7GF-Ad?CrV-nSl_fVk14N+sAQK+uUmw%~5w=MFVc|?=4KcdK1a* ziUj4omP_>3(U+Q)<&k+ssFe4hWb}u)<~DmRc~XQ@aY!Y|>Ig%!C0ZdGATXjbVpZ(u zxK#ahRX>+Q_nwU0v)BvBdSQN)f)E4d4=|8e0p;+8Supk$1deVM_!+O$G3ncf*j@xn z9Y@vZ^6foq{oEaQoE$o2Z|*hRwC@OfN_~Y?SsCaJ?9u>$J?XiAHj>N6G>28uEONmM zO#}+sAwctlyVH{>0sb-1`6}`?ZpdS$s{Y?ak5_8V#L6N#UfF@vUU0XaM#xl<9^6 z&%~IxKF$ds}HbpS~PS_ zj84O`1W{yO=Km|R59|DPiJvKPRybTIwlb(X5ihzc%#&Zw{F1%zui7uo1}O0|44Q}F z+K>2`0Ag-bb;st=Q}f#s8#iaJT0>#S(6zJ$Zov*0utdilo8E0&@6N#9y|-znyJ<^2 zKC*DF?&L_-UrMOed=!C2m)G#1?h@2OS?)T95vZ~LRg7P6W{E8Fp&3X)oG!*zM-|Bo zY=)O#1 z%_T7lR4YWRsMve%C_~A3=dfaR>(L$tVUJZ?OjT?VJyFC!l_TA@4#`5Wx|TbtQhya4 zL}HFjosKU@aEwJjvN}s1wQTZU-6jV;o1PDCbj*Htz$+Np{5CSCNu}M14IlRHwc4{z zPFAdf!Jbdp?el!fTKXmuvcP9t#`Kn>XBN*X(kI!H`$Q)M%0Ule#(ma7@^l6}t@B>x zt|)&%_xRTAs%=btE+P|Q=v{_q(Yk5K+V1F*y*jvR*+I|s zRCv(8V!MNNTj*CDK+&3}SO8i;rN3L17$0}nt=&rU6m4{4=EC^u)uPU{0(ag5I>DaE zsYYlKSd$GlIa^{Y-VH55=0I`xoHBR|G*kI65DY#-WI!NzGSQy_WNKim0UWWCTLF9;t~ne^ z#$_v~fKp9bR_=@Kgh3Rj#>bWv(k5$!DuwexRqsSIsu6S{j`axz(`E zbcnx0tmH>j-4oAiZ&)*QL3Ub|L@E&Z`Bun*l7D-jlgswfPyUqs%uoCk`|M{wYoGnZ zWjnw3ifej1|Mg4ulRxz{_7i`F-+lHIcKQ4Z_Py@*f70K5A76h2ocx$~%^73Y2SJXS z#R;@}mb_?Kqo1{lX^CxKL;zHl)^UbUNwh6Df;{2E-s+4YmA-^AQTuT%mZkA3#E;aNT2{?Vc^L@H*;|Apv<~SX5^& z)El4ntPsFC^8PPyAKT8G$x@o-XBRfDjIHu0Y7h37?4XK~Ze?r-_BF+L$@#=I5RKQW zbXr3Thf0>4L@X8_z(RcM)+5$v;fM#AD=GeX-sX8B1*6V3T(dVNd>!^z?aLDdL`!fr zp;ry$l8P(d0m$cuPB6Of^P3=ICdD4OkZ~JVp{Y0m(TbR;F?0&U5VE_hy851Scc_1# zHJ)e2k5I-mz(sRD6k?S!4+L&7l56bJ3ZIH;bpJlXWIp1Z^C>*@=!udN)fk(df=L9p zcEql+);f2WA=Ail<@xu=QEQFT=Cv^RjkLA97_w;3Vv8v2RhZ!tj1L7GywR}weH6Mm zaLH=jDh7phPYtSoiLo^gLUvp)SvJseXYa1MVh=`#e1!8Xe!#Qg&d}bkUUE4NiB+~Q z6L3?m73~TG5FI;UB?fJnYp-bI3C?YR`S&G^^jgS@*&g!EUSaT3!w&3Qy;an#N?;tg zHj7(zR<@IA(F)_XMMr(>u?K@m6xKJ_iFCSer8EE`f)j;33iPQfvz(O-aC@wV;{cYx zydA%oUT`+Ggvw*ldd(e@HYhtp?ctRtbO~6V(lv1Mol(jvv!Z*gqNgF2OaS!^&>^;* z#D3^Llzni@e_sqYEuG@I!Zw$W zwtsGY^rGb8zIeIdi{b&A(=vDas^~fMM7$IM2-yvwO5z$fx2l66zSO#3!{Y$?Dl3;` zQ%@!x<9XLgfLDyAqGsyk}Y)LB*9*VuO#f}PwbD0nBXtBkL_1~@mKBTmwEml`?URN z{`@=hbuQaq`l-KRpFaMa{q>*yMZ1^(G5gUU1-$&SrVIZ`fA{@;$zQrJt&JK?bs7lc zLy+g&nxoTVaYoi|#%yoAVMm_kPO3e&(kta8PrbDh(8JDfwh*+lu2U0gzHX!evxqcry<5K`zJxkSOdLJRZ4M6$2ZQ6su zB|B&?S)~D5{fljTe|8fyhpF=} z+xy;CjLDJ>0U@eT8t?QSla&z-M0q;E%@9`KJ^@fX%G%yBicpX8Jf7vFH7mw0EK!)a zo(?h+{XxvmX3KyH#g$;Hh@_bA90o|m#{e`n5?Zi3JkL3*qqav%R+9mjAs7IbRFk38 zLQN4?;o>n4sKIL#Ak1M3*E|jPQUy~Vg_W5U=$Udom0^e2Azf3J3;70^sKD@q-yfkM z3O&nZ*LY9Ok6M>+l}(ON4uT-0HQA%k7ZBh|P!r z_@^l58Q=`UH;BUq)}way`l+q20ZJC&!Z`5WV?O^u&W`VAY;vdJA_xLBflS0AC4dRa zsM$nfwl$tlIVc*mC_}8e{RR%r^&Y|CDZ^eeRpS2QD5;_rKxzz5j6XGDSzJ+(lX=ey zv0)uWXl{qb&#Nr(xAK^c4*Isp>_Ac3e6P9YzuTPb#qj^F_6DH}c>SpQ&Rr-0-48rP8FRjYTi z*5cU|ff)nj#(O=OG3&zhZzS*A_4FgaFQ!*>QvynD6tisDC)j`jI;WQ0Z9q&7$8=IJ z+IhWThZxb*=^FdKY$t&g7rw9aWYVWwVXlXhQD|C~O)q%2ExE*fui<7l(-v8aSnkr) zKD_)sbaa zVzW27rwuy`AUD{^K`-PIVs~fT*tHFS$%cIca4PVwsE$C$V&K$Dd~0gCs^Q{`zB@8e zhuTD_I|s4;(8--5J&_{RO)a!pp<0d4et_S3khjV~8WOQ!hyI4uC;Yn((GM%=5TO$banD zBm2@XeaRj@dc^lH**9L}M=R^V3%*|Zto?s9Z_migAeF`Ww+yqc{UvqD`kUoJ-K&A6~aE%wC0T*?iwVlRLA8Mbv2>K>?jZ z)vSFSv{&r~L_c6F+`CwXj#t#@A~VByVK~I}TNk_@;a0nQO+pAs^36q>)#Bq?SGEmfL6(!JUv^Om~t-2$^1sXBr!;TWc2)??yiOurW> z)10F%=SJ0)QlMS#(6`29!FHyb?41}l%yGs|4UsFf4uw_s^UI^M*#BH!U4PNOM3otir9mLLvAHHZQvMM5)ML?%*;F!L;c z3^EZN^kAU_Km;zEHBu8c4S%ataeaV%>&;AMqsk;u=sr8Gxlp|tV!hLT#(Y5N1n?;- z3?i2ckQHUgsIi^$CEBwzd$q~?=RGl$eyJ#{N>DZN7KuV~eN*GTi@=iI_b%b2d~|R= zC0C&70$}VDhk_Qm7PxCtl3*%U$c$K zWAoJZe5Yd5U%qXVS5K^WI$`PPr(lr}RkO&Jt6Ea2qH)&J9jEa-A3>Y(>J6S(HbVC* zTOh4jnH;!kHaS@h_Dc+!{0#XC)F25x>nka>2jOYQtR5hJbwWW#y z3VA@jCQQeXlls_N$2yit*oXL}0>D(0b_Mj6j^wJq*loq%kW%hMM^F`4d8Mkz1ol84 zrs8YR`Z_Z5s(Ugb}0 z;J9W>Qw&!DBM6h6!A|!_+xGV{HMbBmDarhFSp%Gk_A6jC)rB0ukwUYG%Q;clx9XKK zzD0nJ<|(MXxX1gI(4;7Y2DRyI?-b{Kj5|lzG-e<9(kuc9pF4~7S|fKZuDbdF#$Nd= zsu5Q;$6WQ4#b0qw>cRA;y*0aK$q~$@FLax+^Qjv1uiIUWm9oe+?rJ;<+2j5d3%2Jy zK;-lq&9uE^PxEvmTT-$v&Qglra^Y~Pe;5}b(59LI831(~^;l6k(-gM?i^CvX@I)GF zrBqc7C{x7^T$Y&Z~9}euIndACp7Zwe*;iCgUMrCB@Z-wo> zN0)7L_F&%ZPf4-+O*_1uwV_Ip_);E2Ay9D+SIx;(Li*L&hXJ-pTaKPuauHUPV3m6< z>-r1q!=k1@P*Sc+c4DCv z>w^^=zSp(iz4Kw)nC@6)1*s}UEfF1>W?iLcbyo$FE(3bd^} zG*jf@0q@`E4))Q!mw3iok^7c>0)1FZn+G}bf8(M3>Z_l$(%!xWu&t_QTD{b?z_srj z`@C^#)z>^Q%C`N=;}6=E+wYmz=-J_&tX&+ZtXOQhW|$fP7wimNW5_5BIkXtFWOiih z$wQvEZ^NEu{%oNKvUE8|#$o_xIsGs?F9NFglFISb&FFo;m8<2BZ~+5KvDCm;S(`CG zQyf)E%F8@wG_A-oIs=eC8f`gnD0v=?qV^5%qb|>9iVZ@#-TPF`5C+gFYSpcvH`D9r z6}k*@^iolmD|2?PSQ%v2-v`+DoP$4y4DLWqRdprevCb>hq(bd27a&M#*uO`%nmI?$*e&eDd!uLVeLio0uwkQS)Jowq3oXYi06-Yv^nYvcX?C&Wj&ven z?okyqP2Fb@JzL~|SpFooJl3+v0O*O|T27wW>QyZHC)H7zeXVru^h;pKTHbPb{7MnB z76qubbsUC$&Z^-almUH{K*|>?q89Bahb-WH>&|$awKCiGA3`2jPl1>y3s&tzssmP^ z0OkQ>vV$s1zB7BuvO@@0RA3m%8BFZTY}XRlWjAW3i{HLKwQt;h%8I8u_TL7B4vc&^ z=j6NJf8^_>pR`~5nV+!Feg-}J+&{6O{iQcp1AN3YKLW^T+qb`W9J6ho0A!@^zHHyL zkNk;#_a_1;{|7`#!kzV$+NyTJQx+IJ%BIMfFC%hdyEc84yHk5}_JTD>S&O!NHfj1G%CsF`ELj+5s1B{I znbL|fZe(^TT5+94m*ZzHu_!oESXO~fnKN~?RVMqo{e8d5b8opQi&9jThjb}aFszm9q%l`PnRCy|j>L7lkF``pbDOk$f=6zW%3 z#Jm2y6N9=nDuk@bpfbUl;jzLUtnta;?FcI)3@wmSgF5cu~tWIH~m&qLp6c;Vo}Dngh$YVO2YcFS?z;nd`_+PD-6ab^M~7jG}jJKJdb(@sKcWg zMg=>{$IzMl{BR}AIuvH-md8LUnjnCC;BVXiWg4;PMhlh-V$>8aE(H0Lo0-h4dls~A z2dAF|>?KeVyswOvDr4M%grYA&{){1OiH1c3LkF(A1C447^U3gfHGTu=sH8G76GMcd z#?adc&I+rnzB>AujI@rJbo6wubHkl|>+IdTgo}-ZY`8bJJJlPum^!zBD%vo9I*Ljs z`+yDrXaghPWnG76r_DvHjF)VwP_fX}G_t@wSEhFF_=@dx)-BVmI^!v@u@G%pF;R1s zRXS!>Un1qhC;?YYvJB9ayu?!zWCw$aY(*!1h|qT{qdHEPR4u05373TE&B23`Vwb#A z7xilMird~LfN0t2i>k6z$0V7SjcNJc)#W7LDQt;|j#Bj@1L&4YOO?~0W7Sn0kqCtn z(gnBFaPAB(dC2didrB_P19;Rm*6kU8;-j68Dyot}BlX)T|5Z-Phj{TY`p%CN}&h~iFR_Zn6=9ayoiYDXLu>ys{HCvO5MH(5;V z=-_tSK7a6WTWKG;D3~G;Zfrk*UxVO85Ocx0ZB35sl6S;?1Da8*J#X8-gtb}cnUr(% z-6)fCiIiv4_Jj65>-{cIf5>aT4G31+@e$4pEhxBjjB9Ap*VX{wt7N zn94p1_={2jL}z7N7+*NnucUe%|7)~^%bn3YAwZ+w$9eaaUd%Em`<3hK7)o<>%4qWv z&menS<6jT3O6yaeI|~5~;#-i<-XQMEiIo5V zj8g3uax}8wP!(WYrxLJcGiB3p5P8EUB|EMVZQV4K=W2eJBf{oGNb~9nGwx739hxboVsIf|~v1Jf&b#~VApmGd@6ILw* z>GfEbf$t)Y{y@bC*hlnhG}KrU#l_JZ)&29FN(la@_W@fOq8ETi0ie!l(B(y{YVE&6 zKK`wdlJ9=)zGAPv_L{x?^096H$Nz=>_>V;GwJ*GF_U{p#ATHni@-WaiZ?C|HvT-2d z9**)WxWts-bw@eM1JN9eMkj3(7C<4~2?n6ojk-o;%EVX4#TG`U3gmF}L6ljK@fF<3 z$#fv-GO`OGcmW_a*?Bs$Yd2vTSA!4vImQpwmw`}jiy|fp!wZFPbCoSP!CL0j))p~d ztAGTAKz&Ihs7e~*4ff{XrX4m{0Vo*oA?yst70u3U0i&p9Zc-jKE-GeWpE#D_m<^o; z5LAVF)%*>i!-=I(r02L_HLy}3R7Ot?iPVvHX|rjW>nK}^ZgYrG( z^ZpRw_7}82qQckMyx(9a?^m|1}pTqInQ5- z1zjnkfZP|y*X^y*75nmd3l_8m*xRr-{4)2PERR>iy*m{?huJg14gfbvXx6}6=tVJ!T>9+ue(dpRw`!j1+kqKmE##1Qq zyU*&Vi?NRWvg+swFi@_-SGm6>AK4oPZJ>m@)3BSLGU?BgXL}vs{~+oTGxhK4McV$q z^(P#=Q;GA%tjPBgGC2nDK;r;h2mJ2M*)0oUNY#>FEKCte9|QNEf5Vyp{b(3~0$A30 zeZ^mLT`(mOs!kG-kn@*gQzS$4eQYCRk!NyNx{lFP^QH#CvBA?fRjKB=1k1C>343_D z0q8?!vw(vVy0Qk!`p!9auNtscKVupp(e5Q|x4GeRPSlt!9qaJST&ELsGi}5=)j?Ex zEw-&O@k&Y`53nO?v*JqIuB`0Y(}lbCWbU4A0rD09tHH$8dO(fb%H@WwmMgXd^VV*C z6NwE06qa$O8aTL$6IuY=smesTR6sR@i`%!*05uHL5Xc?gqf&4s+-eVT|LmwFZC+}x zNRU2PghEdQ^Q9+k(hcB9n|w_ zSe%ZiG&F7DVMJ1{b|-tU2xy(ICwc`>DQ9<7o&$0LX}P+7D z(16BLsN$+@l#3+rQ^F1^-mN5h0i*`<_x|jfi=4H`$P><7wn=iXYoJZG8a=UvT-yN_ z|6*W%4?BeZEa4AMkRFXE>8NR9XUQJ*w;c$2?79q>UH*!`HIJv>hoaYcvO{d`UemQ6 zuyWF3PbA#90SypTR4J4XTYSj_$W81~XWMqVTQ=xLEYq*Lso6Y$yB86hGe6FADMpU% z#C{CN_|oQF{{}5lFjeyPT~3VdS)@by{0Df$+PLI;{s?5QN9VvqovM}RqfWs}%#A`~ zzTdBT&dHbTZ~u$e%vL^VAG^%Y4vzWFimkf@6uvI6^DndG177$nGMBs)v;tHJSk~WZ;+vZXWB)tVD(zky5|_#X*orUZb-~ zRpO|xXB`Dr%%SCL>Sc$YoVjE z87xAIC@>@zsr8X)2x93(T(C?n`BzYw8<@&J#h;%+`OC^n0i-DJP5A>Gi0ul7R-@>j zWu2a8Wt57j9EvpG^ZCO{A{%0E`&=jQey-s23BOaWiL&Q)RB;uvUE7#k|Vi}venh=MG=}8xW4vVNuQ%h*a6$#sb{ahU$VhH z?n=>(l8!%={jO+^nms9pMl3jrEGd6QmH$a+3_z5!L@snJ_@I^7#8oM_>ZRsWo0UnU zZ>^v58l=+tm%vEKG_Pms%dkdqIExN|Yi85n(6_yllD*lyWcMa&g}#FP!kqL>0K1@a zXY{4p2u_iKy8UmFku1!00QfqnE?Mm`ZoM;AE&#@S1W(E)-9V2<{h%FV)b5Wi+56)w zwmaFh(<$;eisO8E4Mui|qg4T+suh&?q}&t9t-jT4Z3eh>Uy2-~pL)Kz-m!K#Fy%z4 zbXXN`ZoL$p!fD2CByDpWCkK$~90aVrAGF#j5Dm~F;4_QIY?@2D1iM@UmKhpYU@vnDP*w*s%>MAvBYP8QAec@DTvvgw^S~g!3kj zREhNJ2Qfb&6{LU}vjV&POOZk)Ug~&5?b+qH9|PzFaU=`+w|Emd*RXFl&~o+LP=%Iht#W)9vu-+Z=tj;ql1C0?D)D}H4j6p5 zWtCU4rMF?qwYg(aCERp5Wr59<*(J?o!X{UGR(TY&N>@Wv1-uvCaiGAxs#B(+uw&QS z7llgnJo^CU;Y=1t)4QX##(gV6R$r2Red{SzN23{BMda%;dbN$_Jb@L^O1%o4tMTt9 z*XxY1^F3_*EYBUNLC1VuB&(H4$$s5W+pn{-$_rBK_4Ul5#n%HCDTG{AmBt2WNUQW} zbf9jg*p05ptc#J@Z9e&JQ`aYriS^knEP&h%$}MtJ#uvyx^}7-4bO4|eHR7MY|IXmD zowo`OJh|}^ID5TcQAKU!S*>8lkF(a_n?MYZQ=EN3k$s*~O+=+f0#V8fo2l$yeX+DI zfS|KR$=+{Vwg;6B`}+MQ>wLRozLNUc#9%gL(IVGdMJJZx=BJ}h=j8V^k4gm>%Awi{ z?^!yDkAOtUN5oVy=I&mEx$F87MF5P7v^1-6JFG9+tD|-61~TsWSj7N3z7?ocLV;@A z)Otf6ayfwCOY$UO*w8}I66--**g(dw$(PT+{?R}BNACMy`?~y+z4X#$yL?&SFWFE2 z*o*w|*c^`d(l_iiJl0?M>`U(VFMake@UPeG8(;c&_}%yU^+%3%e%xCIm8mIM(}k60 zIEgDT?3FYQeG3Cp47j-pZah53NCr&Iy6wXXhNv}cvoqGAM-Du?|Gf^HT5O?Fb-9z_ ztomUqd3{vt)Dk!#<%x*cDThUa+tjI2CRSnYRUG(ws0ouewaw(drOHrAv_ZnDR_PWE zSf_^pOeJjb8JOksDA%=?6`#e3J(srV4cI+wFPIEkWQ2K|bS+uLu&GVBu2V6)11n7< zC=pMC_vY~pWuRx1xP)-ivXhz>K(`}avk-;dV0=d%UrAN*jyDsRx;z79auS_X{il&G@x^Dt&Pso)h=rVr7k-#RL9hf5!|3%4WR5O zm^kYaDyLI?C9tZ0fSTWNSN0B9kRe@S<#aB->?Hv?8rY>Miy)0EbQEk2vi>o5D*P1I zY%|cqD5>s4;a+uD?YTrsoj>pUCHpe>^a=`5#B6Pk)W-lb_rii@o`Fr4;x^rH+xgvy z9iFPhbQL50z>0aCLR`tho^=4MI#bqkYPFMBGN;aem7^dgrT!B-lKG9^i}qye@%I>j zLhRcel^{+5a6B*fq+F*6_pC7V2C`9#U06ho+Sm$B?X0!)NZvBf5oi6BI2_`BhPDJ~ zP~L^Y{5_ogI{TpVM&%yJL_B8I#ro6$N^2R1p`=4C7vXp?y>9Qak4OUh6XlhFH^5^a zfTa+BWrX}s1FoOJ6TuVL88aTj>A->>0|#TEi0HU$0}OM;TXcz~isY&JQ#3dR3{LF; zQqTxQEzQ4`z^LSCg@1MIm1K>QFkNMtOlLUG!Pt7En9V@II)_ha7#{x{j{_KM92$EZ zg|!Ki^@lMV3{+`>(-Mi-QB^WzBY=~KzS;#2{r#y`Pf{+DrD~2`7ww=B8E8307aEn9)}lkl}4G|zzU(N zYjAhwFH6GQ5ues5&>31H4g3W71QP6Rb7b|dlH`@*9EC7+EtTretG5z>PKDA31G_dn zwS2hl$|wWl342qp9rk|6T3ok7TW6isd*d4WC7F{w+XFN_%g>g*Q`Z|pAVSZq3cE$; z!sjxgq<%GH1CsHxFp?zc5X?4yqMwEMZIn+;p{oj?OD+{1RTRSKGfwUD@}Y%3wPxN{ z8I-J}8{RzVS@8W+*OEZ`p)VC3oOD;M*-yKv%<705-wfG}Yjp=^5Tg$QP3=4d)^VmP>0GuGeCllr<=T2(67uos*#u)iai=%vw!H1 z>@8OCNxK5k*|Fj_Huq-Q0tc~#d76A7JOHLZdq-U*ag8L|$`kTo1KH`%PS=kx) zr7W1_tm%#fYrN;4r>IJfI|{k?cBUz3PgDb{9w#ay$U+d5*zECqBAjkcTWo|Ld-e!| zao);Vq}6c%If?yQik`cpG|fIM$IfkSl`9t8wvjrse6r@s&t+GRW}AFNxC4EEm#@I(}83gKgrg;=kPuM@$K zvI8Rsam`c)t*W%s;i5elU9*=VD{I1%eTe%AxQzbmsB;^epo=J&@UFkb8YS3^6PK?d zARCMW=8Ax=YZ?3b2g5&-bMmi!<+trG{-=M#e*DKiW1soVhs@fq*+2PPf7`y~PG5HI zmDi$n`7?jn{r*D$jL-4A-}ra>-S_w9ef;Ae_g{YbWh=*%b}v$%vwj6VSogi24i+-@ z;hV2p@TD~rj9;kp=UxLaf*P`5ozsx*p048Dl`zM`_LNLiv|ec8fvXbLHQ(n6w7jB>QziNxC4Og=rz({%{7{LyYe!60p zHx4cK5niu`HD%BeEYGo&8270UzG%CyjK0oe^;HD{?KfC_9xjE#tH%ChXzinr^;%N6 z1$*FKv)dTY&)OHQRBk#JqbZfUoo)MD{x$nsV0%SXYB-t2z@ep9)kzcKg(2&ZN+;l% zHGmrLQxb0XT%9DB?LH>*=Ww!r4VC+_|4qv+wC71;7ZHU0qwZW?>1*P3YLuRxshg!r z0@JA4lAU1;1XrdwL&cWV$x)FQt)q~?!m$I`zhWv1Nz1ILFi!|uj!U7?b6)$;{dep~ z?J2v?`-=#;qEafn)a&O5Udnoxd!AynlD3CY_yb;}s)Y~W-hb3ywoA`mnD-xDGFwiY zx8JnRuN>NM9Q_$2Md#Qvc+ZY)ES>@aagg}Gg9mvG*7E?7`5CPGfUf5CdpR<;D$Lzs zd#8Y1eJSeX#kuE+@8oO$bP1!S7*LyM9`GqCo+XbFt=qHl*KFa^#8vn7E`qjmv}RxS zKgd;d6e#!XqqGfH5P9UCir{_2zl!rZV-4=OBL?LpsO7tY`(k@)b+36$u`G_58`gkG zm6Pi?`hi;4#{t#)UA4Pl|DAo>{ye7fdh{KOEwJ`McsgL9f5G0*$`+sXEQ^EI>xURR z7hOX>l4V%XA})_#j4H4>7mf~C51IMh*?$CwJhGd~cO4tPXe=N@HvyxH0(cnkGSVi< zf5w{h05k)@WWwI?p5s1a7=t@J`-tBzA`>Bd(Y|iQYwT-2WaEpeJ$SfkS1un}7*gKa zL1!x}y$#zpybs%-OZ~Pj{5b&EivYGH%t1HaWrGxh z=~sl;@cQBY*!CV3ojfQaqVBbWmS?vQZrU6Eb^9<-T9^{`D8kduGclna1uk0T_>L^b2p>?t_w*3dm7*>Y|H{ zgP48I`vl83wojM-&@#99ludQ#;?E&8yw+o_dv2bNY>Fz`lt`UGh7tvS&;R>P$xhHZ zedW}67F8q{y^u{;lxEo1(|<9Rp{c*`s~=K`r}uzUT#I)u^Q@Y3J%3K*m4CaQ z#E>`rOm?S)Ra&1tvdrSp<$0)DPtS1Ad&=ee-1p1&XYE_|)RT`a@WUG}y6S)H*mi#> z>PA95z4VT||HfXJ^eJdntZd>K=WEVfr>pq2D+x7sOyiyHEA3zN!U&#Pu0@|)5t>9vk4KhL`S5PS3^_S?323u4dhSMTzfgP5)VCy@284qe3L2WjY^ zzWzw$i;-^F<1W?d&#C8#O+O%2j?ZYkv&z@l7I5ROxo z6f3^wg=hu`PAn6gx1gWRU`b<3CfIHWiL3C)!)r9jRmO3ORECg5wSm5WX1o6{Hu4ed znosnO+P3#<62rEE5j(d4bW*J4QT?*r19-^YE6?Pne;-E9^WkKMbsx2?N`86;k@_U>-3;tls*EBexef|i zm{qJeId$uzb5)%OD~2IU)9Unw=k?71YM1c4!Da`gk6P!>WQmpl!R4L6af)& zvL3H}lTVh@#ntdi57ieVVJ*>CRXr(EASbT#&mCOd%isfbYS1~nG)ULX@Q$ne`)Qrp zhYy@F3S*I%R7+@)I?s7FsYi$es(250b<}H~U+hXs)Ivv5FnBB-f zbVf~~*TcP{s{-+EEm!~p*58}iuN?odU7qY(D1uAy0f#kYgS*OLz*LGThNaH7n$@In z>aNo$%C0loRuAXNJ!`n1l=_0_iUIsK{9Wg;|W=$Mt1J6z__ax$06u!S4R@6NswHtGpWY6?{u$PsE=cS#VKh} z1}A@IXweTBEb)BW9a$+l5G(WEAGu=Q^Dx>cOBTG8bRpSTdTd+S16y6HT2d8n+|#5n z#U&(gII63Xxf3MSv0K?*_c!@$xeYlam8L5dn6==EcW@HBtihHfsmz`{Te83=I=gKa zejZ@|M9CtstK`zuvXQ!Nr4KE8GdORqr{;I>oMA(A%Z?%&O$Hi8(!D#UMBnmEWc<=hdCP zaou|PNZE^Iw+`c+m*K0MYX*QBN+6uP#7!^mDC954h&IHO2{g3yux{n-g%y^NX4Xwh za=(AW^|2`}VB~XvAM``|tXAa;N?>zbSJ`iR5Z_sIJY+0Dzmx`xg0dk!KgkU`vjx=70W<^&g>C z*bj9{4X1q9y5WnxA3`@z?MD2Lr7}ZTHPU!z>Y5VD(Y(2T!#2`@$!Bve0bFxgl%QNJ zV~?;wwTB`5rN(ElBSY?C#pO~tc~?FNh(mU$JAn1u3pVB6RQfAGqQ26&0i?r6P6YlH z1=3MxkmnBY+aTJZBxBE%>iRFZri!lBaVf$@7qDGX)>SzX34qoDc2gkX@)T>5p4)D3 z8(CV)nr-APaVu)&YskbCaSPu{TjF}$GUb6KBgicBraT(iw>0;sIAwCiJ;7XDVk`G{ zm0c*X(gHwt{G2wG!AtCTQC5<#ou*y98?-yk8@9H1Zs9+*VzHMNE&Rz<^FFqTy#lx| zvaicI3%v+5eVXqSYpK~sM}XW)-6oTWy^H4EpXrE-CqQPR(%Z?%9cQb`Om(JeemUY> zQ9{{$HRaS%w*ITJ89MUOZ)MMP40qdK=WiOppi=t=UT4U6qf$E zqW%1voj*Py_CLU{?}3wl@V9^3{;P$AV-=Cm$TGQ}C6-yz>#6zhHWbWD!5tFw&{bL` za$7i*6sG>TX2*Bf*v@cXl`!>Pxo6v7u;5hXgG#aVaK0GG$c1GRT}wn&INP%Dgx^o2 zuES(~rVg|#7^1ezu+9Q_!XXr~8?;s{>5>Hf;l#Sf0sC721zQiEfB0%oKN zurwIQpc%9-Kg&kjR!s4;pxi!dl$f|5O|W?7lnLw(w|ZY7VEG z{IzMzZg>@*3pjyM6?;~)@I|+Tu~crv&@{8>vA5~UEl=Sm|2+nJ0duZn3>lNycML~` zpTIRRCjcXU^2q%Su!2Qa^EP2?b&XYMRDn>99aN%d3WK81tQe7cJ>*zxp>P49Z}>_M z)RB<-px^}rPI#?iT^sDx6?m=^9S5DT-K$)(r4o(_*$8X^c(&sfy9^MxgoD4q-=9M^ zZlSQNDF0&2Vv9jbuFkA@Wn_hCFzlDpPIj~gv8xz_8wFQJ>~#hfgr(;+eVX6NsLBzw zXR7t;SVlu3XJZt)V08@ChQH?&QeQ*%>R_~K9WUvgOVvROIKFkvY&ngy)1>L($O5Ao z*Xr3q2u2^Cz_J9wqV9-HRUulBg-{hXg!2LXYMO4K*|kv}unK@yX`u#x>1a?#Pf8ds zX5jb5A@YFvzyWHywT^An&`lZsu@C1h_;lFs&&g)IOg7NUTs8Aeb?M(kCB`w$~mjmv?r!C=TH zpiGe?O=-RYAa=tTUtHz0ix%1jt}dovSpd};2D3M?&`@$Zn9u!DhstWtM(;Fj2Ivn0 zF1|baNKq4iuWrFAMl+4W*EJvmiQvR81Ly=qL?pym-OQ@A5|{bx?$82#jBIdV(Kx_7 zAVxB;@=&6m^hn@N$4{v^&!q{tP~ZMkTrq2L91P;m?xgRAUU$+z{Tnk_7}T&3Z0ZON7DE6gvZp7=nxcC__w0jpc^AQ547G0}(kG_5?T zvC80MY_*QT%j})nvt?5qXNyOy?M1g}ong{?*lpRaV?e+d`&y1wEU^e6+rl2Lv71?T zS&4poEt^)5&EC-Yi{Zn84G*|Ke4(4+a|Qhe!~{077F@*7MUm$gfPIL6Nn(++NCeZT~3%58C{*ct4ps*rqsrqKc-=oczD;1wD!aK_rJgj+|3E>8~m zb0ahW%?p7l-!;I!Xk^!sAsv${XGOy$r0bi{qYzK{yB|eH>xwT|J_mzqRC*S@4 zK>;cM5}f?>PuqW8NVqZ5sT7JFpC_8udId{q5K&&SG5#O)T#1#7VrUkMlIxCJ)_IKJ zV@TYfKV)?T;9$MX^VOeY&DtoyqJIu>z%i#_7iwfS0Oe1Y?YA&KIZ&{(JcyIx1qrWX z<50|6)0}m>DeLs&mYBe#04qY*6~6$#K^QQ0!`+eX?Ubx}9<%)51aypnREy{1w)Ky> zcZ{Ns5$s-s?EdtUi^#aVkkLFzQDfy@yJwV5%yct(;(BL)7=6zfj+S~oz~*p&xX&1%V+35wyPOpTUv&}#y`vUlK^ ziNfJZ#4JCF0^e9R5BA^{)ass3g%w0sP~IXADvZ;DReQ;Zi?pa0Lk8v&+``DB zk4tQ3-CD?;Lhal37=Qq1Ok)@^o?7Pw;8gQpWEhq2bnL;5Fa~)a@EpdO9l2q$i|Laxj-W%KWsB5ii z%)Z&)v_hX}R|6}6$h18*AH%H<%3(mafQ*0Aw&@N)fqPSTR^N5A^lf9(b^D?-1XVJK z2pm^S7Oe9=o_Pe@f85$|;9W`Nku*j-Jg``B=#qCI1LhlpEKkAD5@QGM0@InbhUzy` zu+Rhtf^kvAO$m$|0Czx$zu@PF{_#5P{k#4(TTz~Xz!eIi=)yO8Yj!hvY%%sHm_-gJ z-2cS4C~}~gJSxYG_=0lCeX!%mhX_;Hi@C&00r?n?S-K~OEX`>nTRj}O{!}lWlzX>y zPLWMjy$tP1Uj5X?Z*4(zOzM2Y9N#hpa9Fq(1=I+%8ynJ!#9yhO<49cE`G{h~4&X zVw3W$8#WAEtQ@@}z*adxNo>4kl7&ViRy*T#U8Db0{g?6pY}mm9U|r4kG;2%o4E5Ai zx0wz86yVj-&SG>v?u1{vhJ`$YKZ;nm3t?@ux4Sb}QKU$V zs?;Wa#5(6;b^ zxCbD4%sm3%_%AZ*o@e6S1`Bnq_me)KY2#=!hJ9x;Ofyb6D z^jsSaMK0s9k>!hB=d*M)>?flZ`vm0YQrUj)3y1&nIVb;te*H^u@_+wn`!C}Negr3( zRPe~GbBLomONjB8LBInqgUv*-Amg&?}q(zoa;>xr0VgNBrCQcXL&Ix+wlY}&xNBQwLk_}BK&fY z%ig&)$64#CT{c2?7F@HX^e?*yJD4hL8@vO%@cL2VS8tE!#1Y}t{=m& z9k7$OTyz@Kcj1!c)p5GpHs)DO81 zou{aF3Dcyi9f1KQv}Q6WcLC!C7*f*Mz3G!yofd3yc=Ww+YXoB*4`LnyuwN8GW!DEh zzXFUm1L~ik!G|i1R47>uqF^Zqa1{fwi&)Fyyi+|J^%o@ zTt+tJz=1t)AKLWY3!K%&CTAl%JH*(YASbX4ANJop!C`cG-Uy49#wo+5ePn8|&u*t- z)&Ly5jrp}9_qk@pEu7Ix+(lA!WEaO_#Z;+*GfItGR+wrut2(s8tVh6+F;EP)miC)G zyueW%yL02U<>6pLXDgnhayt=0+xcKlQB53`88yD7%Xp*ZVWXUFV* z_bThra09}0Y+?B*j5TKARj#)Hz+&x2BMhs$tCnzRVOUHb;JXyU0V&0heb{SS?e(#} zbGvQX8te+8@OVA}1s~=XLC(E62BtB#qrH@c4x2XV;EcOU%Y>0e8>Y$EV!`SGqY8;b zp70bB;6evhICs*aN^E+X5BB6?jw@VQT?w{IO;>wmhi+P$FbEAyzjX208d z!k#|AZ|OK21;7+|8=p?Ba~E*eN1t(w(?@MD7g!s3KyaU^ENUUxdADk&Z0O6Nj=*a>Tm^Jg!bk{4{3 zD(rMzB&#Wya>2Wv=N-2S4=waV>*lR5@}TSkvKCOX^Q#pbH`#;w&_XwniyJHEZ7ae8 zc+IiTW%O^XuF5n1JI?X>=rje^)0uA+&s_k^*e~74||^;vp#17 z*V=yHTjySsr4e?|H-xblV|CRKHr|3;;^P1Zc%juL{rr-c<0Q0ciO)i_VBxDeRG zHjt@JekQ=8NSz2|F^2t6&k@vF>l-O}7I>oQ@&E%%30qr3|BL9gE^r2%dkq(Szhi#D z2Skd%&TMwSZjHMEyEDATePF{_OTFPL7IiHQs4fHcMT*AwqwWF{p2Q|WRKrt?hKIHu z-UlG*J;Hw)RJdRfP_wGrs>r|W+?v1Z5@Y=lvIb$TKjN8A*^BDPby(Htk$42Tl}xzo z0PX|XS0ee~2!MRoM?SDO>Nws4Ouu$v!Sg1%%6=%P@nIFco>!>#8rX0^wvOjTBvtXK z9GXM^e#|NhDye!>Cj=sXimfQxEkLIFDD|Py1oR~9oZ_BV(&#QCAb&B$*SrzFWX~k_ zEVT-F;ki|6on7c!WEJm#{J60ao5=gK$koz<{oLnvez1>qT(wTOV9myS@~?B6$W&pG z4JcvIRDN>%+&aI0VqbjqIa|B?wuR5CAmF}pCJMdx`XEFlC3dga(P+hrvvVByz>1R! z%a8A}Yp~X!r3ZBz4|U$Ffcv6tdl@!KVJzQ;zLZI=!wmIYX`=co{*kR_j$PuHq8Li< z>Q{sIZsSR7^|MxroZEW&+{%|>k{ZV!Wt-1H#D}AKNRwxoi@V2X9pYeRY9O$+h`r-I zi?La>Wn7ucB}H%=4V=OZN4Ns>N3s0c!0w-41%~huA>(bQ$RSs~Y zv?T!w?U-;9%bDTCsl!Ys5GJIQ*|3 z+v%GT%N7A37&M;^4nA41(#LT8Pr*7%Fp$%R>n$L#;Lel*zCD1VqEiDH%KqSzD+g}@ z5M8yG<5o@9u4!5JKyx~DWF{b@B;~u68`kf|TpNDnjkpQOGlln6u{E|Dpt#a1;Y5$! zR9?R^v2HD3#J zV}Ng7GJ>TZ^f%F|8#we7k`Ta{0pQtNRem5KgH_+qM!W4AcS7Vbhq06>s0tD-(;T2^ey54JUUA2GcAM*1>G+Jr7 zI!0o<`J41EIiU59PWyvg^6W7J6yJyfwf{LYj*eI=-;R@3t(Q z)q;jB{A333lAlMRVcG)gF0ubZ+pykM2T1%5x~1%Os%! zV<2nWGYyUmLkv|=@|J%^Pi0R*1h3)abX2v7uPFF>N)f{VyQB4uV9R^1Ur(94UkmQr zN~z*xJh&Kf$>51_A7HX;i85;rP*M+`F(^A!z}~16G0Q&L?YRiH_re;4FJnLV>yLl% z!O4FH`;tm0G>KPwR4H5iB5iqXb{|xJzh%umg*a7JV0Kg{8dpo|JANL;nTJl5Fnfj~D9NwF6%?9Ny3mU4 zSqwlSrR$yqty2?N!thO1@;q`mD{(eWy-UQrb)3`q7Q%V9Xz66ng&lRC{Z{`uH`00` zhpUHuYY10kqMCq|-SF?aP&2oIB7r<}7`!qYUPw3=y_mkRV!Z15HE0IUH^v{epemNQ zhN^My*|v)?D5+JEha+zdQRA88EoVHve8e@eQ@2F5T+hOK#NN-3vi3^%Beor$8x2Kt zV(k`&FV0;7tdKUPJ_>j!eac(rdY2>h|) zWv;W#JGU@78X<5K=dEeIDyNj=)X4I0IoG5lTODxCqb7<3t#}o??%%gUxns%YnZ=$+ z+V-bl08hd83iBf=hoCSv!5oHhziH>^Is3L-7-e_*$uNbex@XBo&BGlyUvS2m`bnW+1J}2;YvD6nsJv& zWJMhrv@Yrgb85K){3?O*QMlipw&n4GW7zWBi9y4ah^w2UK)9IBuh|a)W@bRlnyJmV z%7i5k3iFS!ug4c<`+fg|tVbXAyk>W&S1gW`-@DkblYLA}{lsRYfJ?G=BNTKDh^(nw zZvWd&^B*-Vh%r>jYqDLlPmNl(6mPgT+h+$^`<>&DT4bkd`O&d+g`x4()@MhiPN=#^ zMd{KB44K#*y4P-L^6_>1B73Vu?rk)x?A4u8-)3je*}WpnOo_i2Jk)q&r=q> ze_=l0ecGO1OQRYzc3rZyt;D)KYg6Lhk?r20XYZfhG>y8~Fh+2!>puDB>>1WwE=#-jm*X@ z_OYUcKLz#p)S8PuYyDq%*FwXNc`t66m&q9{&g}QzG5gw%*_9%oj+@>+ zw^x2PYg;$!=53=RA%IP_ZT9U0Yu}&S5XoS?*Fc}sfI4-LZrhWdDn-ye9lcKgz*U&M z8>+YH?1!9Wmc4wHXTIU-`FUENS@u8>x5)F!Iw#TRvVX$r99uNPx+0*H7F%$4W+xA` z7JPhR!4m-Y{f^y#W6{Dp6?fdEZm>G42t&exDfSHj>EEqe^?tlB280} z*SWLA!oS>}~-xuAwFC0IId{u_N0# zUoow|>)|s(RvvP1r|6Q3>|^*e-ESDe6bX-9gS!AW&~F2bH7rvD6|qZ_@c~@;3y)v2 z)z*&1R`FMH081OABDuPTyd)#`bHBC!y?m1&B#`n)z{&r)jKhP$x;_)=kwUR*pY8hf zk6_FQV5_Fye@ehXMO7g(h3QU5HUN~U#IuHY%XzBReKL<&!%l`x&))Q(vp5S}##t$J zJ?6bfi1!~L^lA+qM8F@h+`oboRC3m1UOR71lj2nkceWhRIdTVggkr^uQ%j~58Nv8Y zm)z_RbtqNh*YsjyLpE&&a}(MXt5Rbk)x2k8Ts6xxxsH1?C(O%Xcm+mJ#|3g;(tqU* z1Q&o|t&#O%ips){0)CWer}-(79>O=9mpoTcf>n>F@eW<(iQ12=3|7r?G9#6p!fT_L zKLCQxxpl;###Z_qvAb-f#pie2J?S-K=C#=}0H=Thh@v0~SI)bRK~y+(o}|aM*dhTE zwb-s;Lexm<9sYLCs+HKIE!J)WmYJ7xm-)fa^n8I!z?MR?9sm*t779#Uh*w-mC2wk4 zt?;7~W0%-b&6r5@de@gD2{XJ5EZV@+!xp@{&Z^GMzjKOKqqxd3X~Nn78tTBfUaDH^ zO30#1fSpjo^7)o)45S1;jU5keCN1+l$~h@#J{HAT!N?TmcT?VV|(Si20@E5%)JlWb&Y8q2Pxg-eE?nOh89c!i%_Pw=sVc|hSd!k5pN6fCo{lF` z+l4Wo_W+lWhQ_RD@7X0>K?)h`;J~U5xTz7)tnXV82dP%{(hruy)CpQi#5rVQ1#R7i zJS+j`1VS}ERn5>A0knk_g%hU&D*o)Zfi zQgx21SQOb1ka={rW!-Vo$_oI;EWj;-Va7N+Fux)4fKzD?(Hquyf^o+=D6wBb23ZiT ziMomQs<7s6!X;`dL>|DAC<+?^G%CWTLi!+f5A8(T3g}YG%AsY~Y+f#?BLl@li*CP%1Sx7R0EY+Y^R0aR0TrL`Y%6PYz1s5?s9 z%h1DT!Vj#p0q9z1&(I^u=_}(8aVHS9Xu}ero@FL##)jYG9)E#-+JLyffftQTAgR5& z^LyP2ezAMm4hAch?#!F`Dc5cR0$eCVd^V8}z==yfXCGbOCC~qJuUt4#@&lW5B5?9w zrQfn;lF~AezecW$U98RaT@{W(j6%zkQP3H<^c3Ms z&ij!LY61KG&XWkC7#OvX3fU%WTgJ%fKtW(e=0`nG)Ks|6{-}|evTZa#PEJSV*$P&l zVUjd1T^SOayhBOHI-b&Ay*Ayll@Y86_O7zp*)B})jg~!nJ8i%He$2LaPc0H-9aYf< zlS|KFxSvQ_=!2^$f6n57yO;(qv6h|^0XH%hxW*5+3al$e8RHyWin|<)?iY?Nyn>>s zXN|($u)>S?gO*TYV<~FMVaw%ZNH#UtPBS}NzM7cltQ2J}(>xQ4sZsKcN!~P}SF)%` zN^6?oNpVK0k#lrX@M%ASb6Mp%(>R(upht;^=m0if-6R8J0L-k*x{X{#OEQ3A1JtRq zMkBt|1yY}LGFh@;8)qQ$8}@cDXNv<2rY4duVvu#l&iV_q9JiM&tcF}+m?;44O8nRg znYvqBH7cuC()R7%Eo=HkcG<&F_f3;`Lz9tfWiepto-5 zTQg#hr<<(SsV%3^Z8?LE0k*1xqFr#m%kfhyrrQo6JRV*G%%KTueM>B{cVVsp!z|@m zmfIM)=tl~Jtts9?)=Go>_Gar=KySmk2kFQxgbo4dR+XnByX4zxd(jerp-3Jh40yHL z!1|}2ePevp)@R5lz(!y(Is}-Yi)vB+&fto@H!0h2mUDY4CamjEHAcWIImW7q>55J2 zSXt4hw_WHxj>FD4Fd|E(Djikt$f+ytxX+p)CTNv%;nM6y*!9u5y0T}1Pi>pG3^<7* z#~LWwz==GKO)cbM+M@W_7zw6IZ3|pAi4OnH33`svEGbNnwqG>t+n-;s)s+T748R@= zVt;G4_uBzWR}N4rE_2ed;oD6+I4aqzy>08`NFxIAScr5$6i>6sqxPdl0ueA{B!=YsPZT_1VBykL0Kapi=+p__zLmmLCV;1C3IUO3(8pif&j+m0lHH0Gt zNSI7Q_Heoe&}cYvCRx(Z&VGd}0T7i#D1f4)J>^q1ef3vTj}-tOBm-*UN@mm8_t1EL z{4yM}`Vg&TYF-tmc{s{r>eoX~PgHGLoSsAS*i-z=aI9>bnQ1Du06<(bt7eKO4v^cb zr8il6h>(s)gk?}-j3XeX2jK=7Z3NY*uVH<^jm=&FOb09r>s3yNz`5q?Xa?0%2rwVf zm=4@U6@WJmLqd^N?!!-cc6kMGbX5&2HKiDPgJ11?aVy4~mRmp{wF|&ayGWaxMS^c)(%IjmY>!vnPIQ8_$L5i@A1@LJHBR_ zqhs@`9s7mj@eky&jxd4yl3P6Q6kW^QP9tVR*pZxldz`j@n=NKDG`}W}V7Muz@tzeI zTegV8EM&VFK&2rm>?d{g`CGwNu>jOv_;d-AFGeb3pfI)iO{7tr>-@BaNTZ^>!?RC% ztMo9qVDKue1-!6k%-c;>v3a>;`#7j(0SVA|br-2JKR zJ2cFJ7{E|gcj7LLs|lI5ma)hSC5wD?(So18Y~DvMoAK>^f{jzp1B|Py&bhS& z%8Od#+p4C*nxxTYMND9Zv;8K9g6s8_6oA$%qaR>lY3_Lo!+SGj`OT3nX3ktgGvq^(u{WDr zw%b~>vq8z;^c8F_SbLhady{o*%@*9*wBX6nc-7v(5${bl>><*AgrPq0afBF1fle88 zv0in_?4IIFs~HE{Rc8FL#k=^XbJvQy+W>TS#RTUQViN&CUbN%%t(>U122KK#iU=ir zrA{m21OEj;Dq^ANpq@z%Hh{vY5=&nblx`_`YL`}zY<;t4*Om_KQfAK`y{bf8VgCZ} zSC!cLu;>y@1(I}>IvxO!`TG>T(fPZ`fZ(noehRTCVT3U?TvT`0WW*8$7-Croe98sD zp}=IrTR5a=vX=W$)SiC+%vL`zu*Hvfmi|D};)sD{^8uj5h2q&qF@HH2?V-R_F;%sY zjtmzfE@^SBhJ)PK^n7g7vjKzzaL#_VgK_o~P=!7Xx)FODgI*gIkc+gPd)nI-JH+X! z1-sLElvOOd2V<2CXvUTnP{&~!!ttDK08!@MKmrT*>JLlGZZ(?7oU$xiAH|+83k^_3Ge34wJ8d0{Wj+It- zSxw?r>w|fmZJw8s1K?}E)&j5Bn$+2cs-J>O1hC7p*9~)nEZNss&bRC7N46X~cE{KU zNKYKu+whNE*{i&L4S8;~GuEi1mq#IMoF{l3Y$Vr{jOt5~%MzgHIq%S(@YFov+*RcS zeI;|ptR~GpqB7_MCUMI@6B>-8mHp`%J|f~u6% zI4%cB*^cbljrc=L=kY}`_EUD{PRH)Nk+Ifn7^IvcDGZ*wyH+ ztwc^O92whi5Vo_?ylLYTXuAp^^m!sVLG)719waEK%)mZgx?}MY+Ja4UEej^-jvus@ z;Dr@ZHP@(Ax)X;e>G({&fB!OI@@j0?J`{TZ@HufM^O}yo>xnL^)OaLXY3GAQd)(Wy z!{(B8&-m1Xp3M&0E-AWp7`E!0h{jhiZa<)3-;135{h$6j_L=Di>}0THv2NQ%O`0>6 z^`WGJdGx_C8GuG~Bo;KY_$r%`!r-=NR~^|p8jlMDgy@+s0!i2k24$?iDg$er#H`lM;o|1!vZsM{Cw%dVI)P%m#vyytF59Ib zY$FHyg>@?2wl&kxvKRmf!z#bQdo`R(4lID8>P%dvXcx0ZjHt{bMh!ui`P0^D{r>v4T&^`_332` ze{k8&6R`|NLJ5B%i~)?xHE&zxPSk1i{Zrff6&S*Y04z!blrFJ;8av>kCJIfTV#HDI z%mzwcN;rUsAXsRE3+N94oa{oM^%S!aB#gpNd5Hjus>W0`rLtAm?H9ZM?(8X35srG9 z$Y;nAj)uxs(d-(5Jk?yNlrds&VG!{qtY_F>wpG@Q-*^%G`s}I|rc;Z7IP0^b-3C6s z$ERyN!3SBR71r)40C^JDHGwrJg7av__yW*+t_J7>*Y-WR&ZFd6VRY!h=_QMF0f_3M z6B*g&|*rP4@EXy}aZM8~P4kQ=_HggXIFB{s*|(}3gvR>t-t)$9i{Pyz5? zcqQ8`oml)@)FPX4izYDU(~%8FfHXjI2#{6eO-*!E&E86bV|VnS>!`Po2!;f)J7kTJ z?Ii%YTH&jbB3Oz!=b(NiA=HuL0Zz-X|!-Nz1jg-+r#=@&{l}=I_?5dK3g?a;?ic8e(hS zuh`kcg#8*)m*wtsB%!S1GsukZ3wUwATwI6HI+|28ZG~?&9aNi#E+A55WI?$ST(AKs zdCX6~doUR0-EQ1S78lCCh}>02S%tf;J4@MXwrw{;XLcie#}+bzJxwe54G1C>6gx5N zAou;O1FOXhwwCMYSqJ?H%K`|&_Mqw5ot^{O0?ZrSs|4`WQ})T0?Kn9u+UnrM)IY1! zjN8kj5AZBtJMYLAC2J}6(CIV;V5ZK$s$8772)FtpxhdGGnGM5n3r~26i=K7f9oZLm zU$iT=`_6{-4LBy=sGXt+WM0owCsJr2lFdc-5l#x3%b=O>fU7!|9<_ey6`jmT@K;YNd0;^QqlwJ;ggy{7)V22D}Reh;^OgQ)!mM zeoCH`+Uht&E!lT$6Qv2+6~rgQZ>3{04<*4H9lh``eQU&<&da|!dD@aqjQXTula_BM zhYR*q`xx$2b5h#;?M>Ur9JxG`RzHnndBLuvb}h02FcE8QO`M>}(7F&mPU%taIw~2r z^&*D5?7NVlSfWalV_`O%*H-p2_BZQ)%071S!1YN`4g3*o`msi2ai97cV8C>*(J2NG zr={@lt-xc;7s3C49>?}z{`-}6du#Z#O?qJY4u%z_RX&fLj$^64fVEpGI|K@-36ajT zb$nAnNnb@-PgrHm&DlmESNW6Gw0k(;cT9CSeeS8kbKk=lXAoO8ijoScO*i|*pJDEj zTY*LNS?8)VB62_@*hod$J-knbw#b#|tX%w1lM*g--K%!RKY(=%Y&(5mtLamVr^jHA z9ssQ8dV6^585|CaCc~=U9r{y~H~|sv06dOBEFd@P;;XOatQG%s%Kc3J0_+SfdI&92 z?+h5Qoxuvfc;6bo2|(`D=LWjTwcbCs?t3FU-OpfO)bKCk5=1osY;kyuotV1gh( z#*jyKWTq*xJnYKg5LK_aXp4-jCg&==EoLmkT<7l!Pir8-b1LQFz;9UG`bE=j@DKJLY{#K|FQ3aOkmay(esQyyK1`#{klU)&~31w(?aR zl*%p_;uh-mEIX+pyJ!GQBN-KlR##J(>w%FHJ34Bwxem_FS72EXMQ~m@6@|{fEwv&hSey|=GA0wk}P0D zdaqFe?+l+qP8Qu-EyNoDfBu$=*z^piy#p9kTY)0akm4bRGaJ+~%E)~rI_BOGw0BOe z@%e^%yLAhxK^r8)&U!Yk&n!C{Bg0vD#F8E~ES{L_pDL8(%z}CBM;{<^G_&`PpRnKP zeb}-cNJ=|wk2;sF*~?mPeC_~;a>o?KyTzVJ#$E4CC(BWYObLyj+5!MeL*5+Ao+=li z?7GQnUYI6NA8{}9S|gDIH9MRl2^aiN^dN|Cs3n`atSa)rvht_aMIi23tlP#Sa`?d& z>?HC%LT2B3VE6v__pKB?nzQ!P2JEWkqJrEUYk~Y9B2$l5@yDKD;IV$?T^s-5u>~_+ zSt`TN{C{!J{@X8n%03c)&Fra?YmBMhDWiu&JMwQJ6Cxq%qMEXw;htA<>=iv5_Cn(h z79bKj-V;&OQI949pCB^=G%8+D_Kl?XHvd*}wR&P3tGMB@mPvpWx7Ioe+5gb^^R_f; zSvh=a&%_?vO6lBkmw@=&VM{Ei@72)iZ51UaA(xsjrYP{x%C?WbY~fv4T{J99_mT%2g}jT+aX61mA=XRC#ZI2B;Wf&$I(vKgV+_ zr%9yiRqsXY8DI<)P;2Gv4;r7aV&%w1AO*s2r5?J^Jvu4c%k@v#<;g>reBQ4H?5mBB zSzze9#MvMiSSbuHmk|3{1@3*zp0}0BsY@HEOcw38)l44Xoa!`?>!}@7*X{S~AF-Y) zVcW9kfOghWRZd|ct0eFi8@0$f7Gu}|C4zJR%8xr8x(-w5We6V=!y4%jHwH;+I3Fwd zlMgNURd+P6US7)SQS@^0QrFgQw(aN7?2pYkx%`)Y(thf1{)GLN&wkcE`-#gIz4wZ} zJU$9qp{~dq#eSH0qoRgnGAY`=lB?he?5m~Q`5?9=U zDk#X(vCKf@pD~6Q0I{`H2(yB5Reg93)0{<+G7AG|SQT!qkBas+{|b;tAvPTx zL|l_2v6v0-)Uo&#@7&5>6Jx7m2TitAZ&M%BS#uk)?jPG??937w7&C}gk%^*9A;#H(=lHe86&@DySIKj_@sjhL>^`OE2;q z2@HhhSj=XYNF)47{_ev!FtXQX6&yPEEoasZ;|#O&$cz&DK-Eg#QpjJS)EUfHgYFLf zRa*+2x`>PvMzb#}y+wP(&;Lh6Dvr_E#W1`%yJX2Bkg(@lYml=GUv=>ds9Y3N;sXjU zFqk@ONy2Uutl7LfrMQst5#Y<1UUg0F+IjF0um_95MXtkAO1w&aVs`m0Hig%D_Buv6 z=`V1HIFcF0ajFin3wD=xep!N!gF50*IqW4&llEW9vzr)(Tugl>e2cJtQDxn;4@!{y z&5M_8y|eEUN&WY0cIWdr_&+?g@SOvjee=-zzk6i%>VX9-$k(ffR{PZpi&xI(-9*)K zv5A4cb7JFve$PJl`6sRPwRbFbd}_8+wbAa#mAneP&6HbkZ(#cm%l5FjWrs5W{%*3@5DJY#qRI1p}PG*lbGG{pS0frf~Zr7tb$mk z+C^(X<8t=QawQzw0%klhchbsN5@^uAD(O}&*_TH;5IV;I2QlsiK9O|_OiV-TB-`oS zz$Ip@up*Q0AeZRITHGab3T#bJ2kbup8s;XWbhmfQ9(K2_&^g6HV}RP8?ewqNmu5Ge z14kN>4h`N++OPUc?4{cIciH3lz724)S`W=@Rn?2`J)A@eRpxorvGzmX?ly1Q*Jd{) z1?XbLz6HQr<>?(6K~e_2C?o)>5vV{9MEI5Ns-krn%Echy6eB2b;&n$31S)A-!Y(GL z{DQN35oQd~XRWsA0C&oF3mI2;k<@H{jG$|3qOAby zq%pG|Agw-Dn>qD%O*!VMNQGRP$~T8Y_I{+}n+@bTkCW3`Kg7gAxVVd&s&jBAq`Web z2JnDgvm*fA3>zqbevCf6%RBC{(rTyP<=SVg{CoZ`d`wA}8nY4P^GCey*lxQL+ly>-_;JtrHP-%gXrtRLn?6$1W^9+2D}YU|k>Y&<{mKg*b!=sNY8!(+>~@E{4q#!z z+*g1#2wDUiq7_rps6eb(xsI~bJxK@9NH^;h$Mz(VeBb`if51+M>qLi0KG# zXR>Xl(m|cOnhCuh+1~37#wiI2IVh%K@5N z5Ib~6xns?lwGRV!*w}D|7iu)B^-*O0oAwM(uP$6^)+CIT8e-8-u*-)?Tb+G|S_IS; zcmT-O_=GhcF=1KmD|{K-dLwT?cf0?`L`+_?|NO6d_Pc-U7wuPHzGwL#`%(M!lTmx^ z3vcrYm+de8)ZegAAAipN`p^EN-OK-&{pgQgw)0ZsvCDh?)Gs0du-&qvSePumWv?dVKFc9xX;sAm^&V%=LV6AG>qz;6tRaZHkq9r;9R10w#0p!}9ofeWxGYu!81QZxF29p!POY*fIS8P30UZQX~3cahwu4E{8mRQ z0x1APu94$+dH%JE$leq%VeQx{eh1QL!B`pI8L;;OChDtr)1hybW#UEn()jH*`=&6V z%$4q`$WK>UceP7bCqhlvRq0`UvS3k+=eQRHs9^$G?|6D*<+Xuhcxrn-IGk9g60+O1 zO{<)yt$oT`pEfPj@4MbOv${g*?9X&!{{5QuzTL3ln@#KAf$>%17H;({+-%#pHnG-4 z-0G}b1Y@T?s#jC?PVa`jJuC4Pns8lpGn%yC3f#9~zKXOdar-v=ltc1LQ-Bb1Hy(sA z8!B3j0Ac_{GC-`G{;ge6j|F96==}Ez##!alv1OfG>o_K1zc+l&3ZpJeYK);`UD;dp zVaR3ru7jh1Y!F#+Ra;3uuOGDcaeQ0p6IW6`8+x`6lM1T(3j-%(G&-Kz{?VfSLHCBG zFmU~G(&`w{$qdIbZ97&aXCNmOpQ;i|-CP9NF}Ccf?!CYh>s(zSUsZ`|_DCLAqobXM zU$A$F*R0e%gGk_nSkumN!0t_MutrJTfZ9aq`^+xE=u+sRB2aJ5uDH-}n&(y5UGBhQ zp^=MZ6w)nQ$a8Nge%hbfNFfFdZba6)jhKC-^9)Xjdz!J2+@S`7s%z=AHRUCc0K`Ol z**o>^LF{eAdYOMmEDNa0 zX}UBvWAG3l{*W~u$Z`6}OV6THfG&1e(bqU&E3ZBu+`qmW=!OW*%-0~x0wiRGrxX+n z^E?UL=g;5w4|s+ES`cOB;z(1}R=I{`L_kD>pgubn<0W?lqk{74sBDi0+pzzN1qxwT zDdM#UPPShkJn23^G8?<-#sr;k$F(l7D(Q$hoqLZ@RW98ER!i;P%gFdDPmztcEfqkw zASYdRS?jjMooj4`JK{%1;3Moq%62{Fg)N{eA?!ilO5t-$mByA)*Ygl^h_AXmdjgxN zqrSG)rbYB%+wwzX4INiHKth#zD%t1r+V!~oCZzg`KplWj`D_PcWN!jM3ZN_bkvno# ziL?^u$3so9?%A4m?3$y61Nb5IX+3b_Ca4P-YHw8~sh&FBcFa1>sI|ITcQ5iIktz03 zIa6bGh2~k<3(1|bgH~Co68;b?=hj_RU%IMjN{B^}PnDjk#Vvw7SHu3HfxVkE^*FR%k1-!o$Vy;ypnTg&ZlR2s~o_DYGoMm zRa8J3(Lt;A^7dBenmuZ7S)-Y9_2S?W>r|uGZi1;17#W3rH)2hU-36>ly-N;Qn+71x z)$0o?>&bXj4GeRY5v+}XgjPYV>lL2Y@H(yEXb`krzr@q`?PIXoWrVDV(ibtTN`5@Y z3~2b?yWHigyylxgpgq5875}mImC%Or;L5pw46XYVKn?#=YSv}`c8fKA0i(Ums;ZhG zh!W33sj7HNaP=6kP(?-xgT)Q;B_OG=zJi2WOmT|)m;mino_i}xa~;abzlsX zV&-YkVSqL7&mgpm_RZ#1JFn%P69~g5H7g~#D3H=|qt+GOi{~+b%QH)FAS>%!V+jr$ zS7My+nz;23r`EYQwTnH#S2Jtrdk(&W;%VDBUhCWEpT_q$pe}0VjcY*a;;vJx z^1^hCssWrT8C3_)$x;G^#DoT0IT6MUN+%2x3D@k|c#Cs4m920uHh;z?)iY{~kNOvJnC)_jOjsGdK z@)&*HgK*(8opW=?nmR8~e$@iHQ9$sIJJ$aSuen>b;8xP&Ps4E4h4}Rz;0rqmbDq>E zR^5x(`vAAfux!WuC3_9$uPNBFOKJc%s|;-XsAJ>19h)5X-Ttb{nCzukdy4x}wc@fb zn4%suik_+jT?buS6E!JYwl|QoZ)02T@q9oFo<{oR0aWvVzBqPi!q3#p6dSKZWgN7k zUn*ypPVrVPA^^dk>-P>oZntZbHkK9w=~^kEf9mLcud{7Copp3Mh+i4orSKlW=akRm zdAK)$NWqmQY@-gFl%(&fG6PCtkMI+04EL!hnEPz@PtU8-fI6DgYa{>*>_f>vbNj0( zguWEzRrm93q-hJms>OoHKoE(6AnCa@zwMp&RX2M{MK3U=t*mQ3PO)@81NZd1I?#I)G?GDJMb&) zf*RIU-0$F6d}hS1!w&T9S{vbH{SH@Pr>vL)ql(+K`QE`XJm&k6-{v#M+`VTX0(^w$&Jus`w*aHD~QpJ0R~b?7_zBc4)v@^@XT_)PqE7~ z$^uxDA0;pMaNbJ3lN*vOD@-o1qJia-MCID7@q}*h96!>u(Lg5b!o#1mw|Pg*^#wU< zuh{N6tOa(fz=o$@HejB5Y{3a^C_b}=D-M01*>1P5*csqUsr1jIb1{#7;GQL?imM51$%d$}%zAX+ISO@;R%g|dSt zU2}V;d=LyZKiBJ(XK<6X{1JZtX#lcxM2!|Tt4jJNQXtT+NQDEe<1qTR2sl+|k9pyJ zo>#7+^=Vc!>7+!*9+KlI|JF5i^n7Mb$VDBQYx7wb{P)QuG=xhKvwk-Pb z;VsMj`7$i8W1Y`qW4?Cm<`h{4IPlJyb$(ybL%!c`S>=AzPLJ~Tu!e1&mi%6s>l4z{~M81!sq46Z!){0^n6L*9a`2a$~z1I``m>w0DlQQp1jnswSSt5(z2?Zzyb9oTBb8+|T|J^6>BX>yJcElV+Zs)kgmXPenQ`?JBX*hcWk z(gB_#jdKA=R6@&E4;0)Hl?**x67#L&1BuI+dlX4fi~Y1)gV(+1>;VS%D6nnscu&|} z|Ee1l754?B=I5DnHwmHgG`(!n)oAC1{z@y5O2kg`EhL`L~$JAE6 z7h%ZC&k^g>DEvMy@|6G0xXQeF(ivCy|6yhx@|l8G5BT%9FwnopUH&>hzt1l9`1yqI zJ=PLVf|4s6KMOc1+RwtS_A$I&e)ocv`3yi4uaQC0@Wlti>oECcOJg_|Q0%?nvWcZ!pM}8tYr?_!01Sh z#Bh{8r;ehEGzc`-yt0j^b7vv={VdQz!@}lewy-JocFC&tQD^*>!7~Sat~_uIxEx_f zhEunXN3q;SM{II+Y<_oY_0zb0vHmfu;k3IAK$Zsmt!L+qnQP7< zGrAAu9=$Po0f&8sz1qaMWL-p3*(919vg!5NxfYU=V@1z<-TBF5~SII1-pfly&Cu^c5(@Mkp7DC2i=j+ z7V@T$yN-}m)~v{>ssBHKRXWl?7lT(72lDk!#r%g2xBRIR#DqPq?}hE1$}Q)ZKOB4m z8}-m`1m3s*P2d}r^D1^Y*s#V?+(qr8Ihzlm#KsCVwApVRZ>TqnQt34>Mmb{A4*~ZK zYn(?M@3g8k$Ea)g-at_jGx$IzG{VX+lJAU)kk>A+Y{I#K@Hg;WzVU@vZC$3{;v(Y=bfSpeWxE9{i@kL0yUCRMT)D~%-S&Ty2^fkUzhDK{lc#SOw2y_ z*Z+_9OaH!+318pKIr)1({deu-D4PPFI(gL*&wJA+Y}%W5_H@mvpoh*N4iy}g6X+q_ z72NkOPN>3CPFP-89%f+++?4_yx-Oe2=%_AcFNTgeVc~UDEumGiqPo0lmW_;yf^r*d zSDXb`Ey5x%+QLK^0`ulNGA55}m#opjB&eaAx@;bW?DyKAvP+>oi(NyNZe-m!@pP&W z>#6}h8kXo`q+m1}Nv<z~QM?*_r?#z`_?30Gp(O#6YgGuqaw@D>60^7Bo!FMY%UbL?03-nslUKfpno;#@0ef%sjQyeA;JV67nelYO z%xxUwoY!gQjsnyARX99l?;;0mTwk(CKXMk&Yo zxf0se5$z@JDD3hn6qhlVucDMfCC;ha!IRz!%p_*t=H8!VPZoK;XhYQ$ce zB<+7U^j)V=mcxa--?C?TQtg>?0WvVPWoJayDKs|Kx~YU+{Z!RMx5etHGA(a!z`=Fq zuJ@{$(WiRdKh{&)i0d{wG)ylDR%WQEU*OC@qj9Mf#;p#Pv=7FUr zI64rgqEpIEa;?$9eCot{%;z4$!WFHMMV4HvlywtxssR<--2FV5U3F!>nVGc7WBoJs zxBdG-{>w-%5INMDA1h*iW&l9J5lQds=*&&7yTuwSLa+kBP6T>fLBL2AH>y5U?u~X> zWz~R3q*?Jm#csnB7%q&w=FI*ocLnR`y^oQV&jaYSdkg4NfxEiJ->>qnGVhiistPW| z&pT+^8TYT&ZHxSVn_bxE&k0QUx#=C`IqAyg1$OMTY5qTZ*Z$${KWooNA0h)h>tozW z2fL@aT7Wu5_!NmK1}lJeY}OW*W(5bDhB~4<>Z&UHsr)K60Ml`TlBHFM;2iC=4bcnm zJlpm=-U}9;#w<_s&-Q2$F!XR&LmeF4j7&Gtv)Gm?H94{c?YOPmVEwH3}<0-Zi)QVk}JNgl(FTT zLyK(3+*ESEIkIXcYySh3>?*4%+o@!1MW)x-SG57qk&vRc8h3KQPXxbJiS$qS+tchr zK7x&3#-x8foS8Bc}a0Xv*+I>M==4^<-OW5T6gQ1Qm zZkEmRm5voY0`U1j*}NMi3tq`t?0VdyYhjBnhAh9#Jzl|Qx%oyJGksqHtSl#72VGS# zsu)DFs3d$E* zoged6&p&IQ{m_b?y!M58_0Hu_+Gjs=!;WA6$M&18KiTiT-<*>_QcC=10?#5CIBqsl zs9g+F+0M$c7BD%l=IyJ4=P{;7u-Yytvd(wS@>v8lDuZd_DK31zq?sxRq>P>bg%Imq z@4CIuRX6y(Y>gPyxA;Wy3>|fd!N@g-K=I0`SJ|st`nnk~plh*jW46N$-ELOg|C&Ur|!Bs`&OQa<_hWWeb%eU-xpaEW!>xR5G8J4 zD9V@%u{9MltLMoD4!ptMsn>}zYla@K7(-gZ*k`zg4uE<9ps$0xpW;5&QHUiFxMqs1 z`b~^d7uGj~2X_G_YSpeBalk_imXkyIal+98;B*~W^z?#-uV*c|1;4^6tC^R2ASg@U zD@JkZGn*at>_PpCZRC$Faw~0K8Dt*=bg>_$;?NdYuh?~r6pA0%%vo@eC(HuKdP5t$ z)3(>op0fR^`jF;%#u(30aotum7fG$hub|{tReH<5xk;iZd&K8HF_>~h0@%mil8Y#m zcn*c26&+Nbjf6DoI;yHCj6k1`Lj)Mr>V1Gi(y?97)ObwnL&r70l{gmzD07ts&yujgR2ndqk+U6Gbth)^)0p}7kvr0ILnXuPKMEsxSIMLdSD1&0 z;(%Oo-6V`L-T-(+F~{?s2Fd_>AB|9^r zmRW-}!$?K}DVi!Q9f{!R2a}jPZdC)sNB&hD$s%TN$Ci9`=z;y>us6NOR?Igoo9MF7 zQ}q8S*x?=^cVvkawg+AFXX@-(uq%MFRsYz{7*TZRbg*L6nFi2nR`3Y7Gr*yp!?fkl z25!F zjJhmUhzmaxtckHV^SDa@9h}+&BtkLCB(GiNlXM)SQ5=_%qbK9{E&c(V*HXr{lDDIp z`L7*YcGj__EWj85D3Gd=5IXJ~Rs!~GXP>Yqv%7$rv_+p$$7J-Q-nH&AAVkL!0GDVO zfXY4!5WF^g3Lw0SAq%>Ryn{*Hl)Qi2Q=N{79z!Vn3;xFEBl8(RNuE0w08rkRrU1kF zkSbNL=-Je_CCJaD3z9`7%@H#|I)B!&Q|c^>*>yI)42X)SCN9}DIn+Q=H9PZJJ5d8g zn`hWbd_h5UA_I91)K<##IRt&aZ%UIjKLQw;-Lg!-kq zHu|aPBKx|=x7y;%Cny13<3$3H;c3^mUW5Hc*O!56%b^oXM>-aq=%91RmGCK$)(|#Q z>mt80>;pU^JjW8(xs0AJ#@t*r*EF&}Ht(=u{yv}A1-xTt0{l5RKp&0(33{FcuN`3n zAJ%Q}QQ1Zi8nxfosenM_fxl%({tBOc>gK(vk4hravr?#Ln)jvIKceTFKP95BoIT~3 ztOz#eMS!rWLxA^8Z2>i?wE3NV3}Tbi!AeCFXuscgI+-j^EU=xmpMN~>5c}Qle+{I3 zH|h0n{fZsr^Opb4cfAg(*bUj`&;DuqbpG64I}X~jpZzcGPp`aY|KjhxV!MCR-+kv} z|G2L|0#5!)puonTIrOCvk-9~0#`i2$p15%Opc}GgKY=ko;1`FMTJtSlmYE#7kYcNy zM0gMx1WgeJh5L1+BZSy(L@^e^;1XOM2deWm7PiQ{w`F#5iZUi&N2GCkE}L3LTZ*Bm zgsKh{4!<|K<_t}#2xD6cy6%%2DgU^;VKEG(s!CiBfok7+I}>;QssV1A)#0++5m*g? z6JXFeZRZS*I#y{cO2hSsodDmUQWQ=Cq+~43A5k7fG&r!O=1HoNmtf-IqhX%2i#})wvrD5F`ju6a~qaC5>SX z+pXSVt^@>HPAU!hsyC)y_{~&;pDvi`_`=nAq|Tn z@E7}bpL+M6v(FA|&H2r5&NbJZ+y}l$wyeQ)6;P>Z8iVP=OwJv0`ECb&4PgAkoOBtp zq_!G!8lGq>y2Rv90-zgUqJKUQuXyiQLu>?8UYwG=biyGmkIYwEmztcM2+pOH6JWX-I@~-F{l8W*O{G9;u;;rq4r7CuIfY?NM55-BX8sDGabDYIR z^Stoz5HMTgd{Z1T&ip&M*ij@e6`B0s?xQDt0Jzvtau^51h0wA*^Kny2NMU*m)3k^$ zHk47Kj7Pi=k%Q>F9z^SaYwiL1`$^rZpVL4a;);%2N8HEis5ua=``eh zbbz~r#Fd7cnixI|h1=YC&*Rw5Wi;=?ZLXcf*m=vTb5E8adNb)=jbx63VRwjqt2cwk zXt@{9)W&InK&SV^I=pwvy$7vsdlVrjzZ0i;ekXn(1@htr=abIcZg6PraDg(@@^MDT zW0=Enu!H2CAVtmLZ98V^3e0a|-2AVjC7v7Wk#*3k`QEYPXyrWTndEvgpKoAbpX5HT z4Bk=p!(({XXs@@lZ62!e-F;0KaiXShy#^qJkdM>_2>!yL;&fW&!jP8E;&neV61?z4 z7yP_c*3P<@R3PqnR8AD$?M;SduY>-mx{$d>&pn#b8jaDjK(-@fbXT*<&0qkX`?AB6 z+4zlt43;_Thqu)4^1LP+ZjIq&;QM^$g(^qy9M4|oU(?|Nb7T7A{1Z0?_1L-}yRp>8 z$%axDO*sj)bgYHqzGg}X8XHC97Lh9$PzT5F;qJVu)uJ+uYS^t}-Kies0}&5fof$hX z9?Od2XB-jz*?iwEVE>6m7P4)Mhy0N~X z)B(~^?c&%nrQ6644sN;%sdX(UKI^TwbiA2y@f=3aTjwJkw}zQ7$E9kT$R33B1Lxxm z47W8f0m;X8C>x?45A@<|S)KXpf1v;H zkAGGl(rb{Izp7sg(Um{wXa8zHzh^7)PwP+fa8ucx(EZdEJv)V*7MJ#wKbui%JQI3x z48o?oHMlU^QEC##lE>5@bhNWOsuesN3xf@-J)T^#6P8`f__u2l_T5loum3W-4C6Yc z@<;f4Cb6w-0z=&|=^ARX=o>>(?C&@*7Sd>8xt`3;%)G|37?v?uFhsyx);fo=ZtO3I zq|NF6W+*WnRXag=+~>gLB=NOh9AP>HNP~+xVD0K z0Ugx_wc9Rf&NWLQEmnwbDu2?vZvg-0{aI>sh6N#uO}D#DHd&CfxgFaQP>mo9Jg z22rdskl-Tptw2uhpz6*&sUTubzT>PIt(0y+B>dhqV=@FlST&thVLEsW>G1YO?+{oA zY>p7rBVgkYA{Rk$+)2}+W-tFZdg5gwC#E{$GKB%Z2;uOOoVRF)W_~EOmERfot~20D zjO)N{NH>fVs^gXAn-v~h5Y!<^SxwQ|F&>cdb27tPS?{ec>QrV`i75zH3O9zl{TxozcHhU!oJdY=1yR)UI&OaF^rw28ix?arXe5WR(2nfu8un{j^(pX}_jQSYIM4^U~ZA&zap{e+sezDxTb^b`#p?FAY zqo}xyuGBC(8x55)5_v8VEka0aWdY@b+7LVgPm%N2*BKiKO0SpFdVfyiy}eM|BHRnQ z<9wGQhR`HzB!3K+fE|uJqJNHZjzCgU1XW4ye)ga)Hs{ZQc0`C2AMPIYvo(to@5$w_710WCm? z1~4d&SZOljf|XBRCOJYv}>OfaJ&w?+Sr~%Kjt-B-wHb5WY$CQ^}5bM zN>4VEI~ouOHPW1SCF25D!zd?sb}#n3F{CNt$?h!I$8iY~QD<0#qgcyjP=6@Wa2H&x)U@7Zw0R!)fJ-1Y(_;t9FMy{7q@VkC!NMH~nU{ZZ zNs|-C0?cyZK$iyaE}mPxVLevAAIf=r?l|=?UDi2#`-}Sg=Rf~HdwsARb8>)Q{Ne}i zfARMeXY07 z1S2pG%Qg2R-8nd;2k{K77J)=~UDnq?<%C%8Ex*@3gA$DC4C*irEQ}$9A3_NhU|6oa z=K?na?IT3Ajqou6Fh+Yh-by@x%FJXpG%z1)X&QJP$1vgvbZd|ddmm`lcw<*@rXH2k zbRG4ur{FNNlauVtdg-R^GQ&KMb4v{Btx7U<=X6)zM8=ohx2au-S0}#W*)Jfx?~Qxkq5aX26?3=4<#m=R$zl$##ZH#xgcoNN-|F zy+fb@!8x?|!vDMs){WK~uW3yJmR`=u0+^M^kQ$@draj3JO1{K(=c8>6Ck`Mcc!AZN zR{P63N-#SR6N9*WZHz{M8{^+f7BrUJ*Wl?`)8`rg=jY|F!EvRj=Dj-e(bL&wjQuP? zbc7*T#b}JA1IW77Ky8m_7*A{d^a)t0(NW`0D{LoDVzBx(yyJHw4R!Yvof}nhGOxkf zjuH@*PV8zXy^#Il4%KQxo9$h zK?m^7aEg$Px%^g$TDIIepo@=vfpB^*T#@sB^<1d*>F(WLf_2Ef(s8AXl8UZn!&o$udCetPl^;1V^v$L# z+x8(A`x-;0pLGO=@vzpo5M43t_=q_5U*`85miMq1xRB@?eFhtZ?hDZ!n{=I+Ay1; z(AP9Z$OYw$4L$CluFs(ROSy*{9;s>|iDETRaLyG+y}VwkVdQwKyp107WAEGfT;5se zlw4=HxxUCe&tf8L%||k{#AlB5hECJ*wp{qj@^7L$5gG4dz1_a5V!NR+?$d?X5;(2> zD&tfGbHQIt6(R4CXk_hL_Z;%M#@`rKc+Nq0oNEm*qHddE@^AX*Xl52485aZD^UyR} zCYK}JA+ir4aXAV<_f))PRD+x@D{0$Quc_6^1&!E)(7IOB&_rFyvqOr8P-Gm}I&xM@ zSG7F25%kWHjkmj(agMxPQVWp{M|+a_gsP2_KHq&>FXZoG6StAowjOlOY9lqH(}}x* zwEj-_5zSY#)juY z?$3hT?pZW23OT7~{-xyPU)P`CB`1IFulzOrvBXu4r*}@OJ_4Ry7@9Ds(OLYi*X}JTZxdb;qaNgppoRB7Ta)ejIO;FJ;__HbeV$OYhPK!)>CP7klBcop;dq3JM@%^NkX-5b;BW#H$-cuW@x zx;(YQzcBuxthx^x`sRb@HFUfiys!Fh0=<6{&o2eQp}71s40msI=!o-U8_%&3eJH4Q6qW`~^Z1$q43?Si9GLT?K7cf6YkK=;_8 zxCM@IZ=I8Rrrt>~s58+yEk~2w??Bi&;6SxI6`vGnb9;fTzJZ_e0*3FD3k9X|d>|+L z-HG76I4Re;F3wvpAi3DlOk!2@D9PwK;NuC1>XXdDD5L|AK-aeP#?K9C^k_xt=OzGI zQ1JClZG0P`ALnkf$k7)c=v!aAq%%t>;xmw%akReD()u@&VNG`A!&zl6mNc}?Ts}9W z=nS6b^kC@XJ6>7UbY(>Yttuetz94{ujX2EFU3r0fJpp97Fq!kD?xLVJV%}7Xcr#iy z;1FM)#m&!Ln*4UO$hdhS=VVvHZhuB!i7x{NkY&ci<-MHHJu-rCI)--)sCK~1LyYJa z-`&UDh4R{r{~Njr&l=EdYCSAt7RS1}I(jEWOT3)v>YlE363t+I+!XOUtGfSH)7H^@n^1g6w6cM8l2eTnw)pB8>)2gYp|(^FAo$YAnA3@SSBumM|KQ zB6wS{tJFG}ZU4!-=H;o&e9vC+Ab>Sgs0L5eHDk7-SxD|Bovz-~*pt%a9~)5g6my$N zsPmm|-M@k7cZNB9dPa%)(n%6|?!*(Uqx+lX89k_-)^2S=M=l~&8^s{uv{X+#*Ol^u z{#^ADJ$(#@>@@@FIyxxn-NvIDEbn1h`yn6A`C*|Ww+T%JOzER5@k!AvE0ztImol6} zJQJ^IDZirSaY*iuGk2gnt||oq0Mnk zlcA&@LryO(JXGSdXCbA?e1Spl;q7eWTKv`xRUb$n8oHF^;D1R-@NU3)CrppTdm$oG zN+V&p&&l=cI4w?n z{suO~Id>C`rw#cY4#2N-ucx~EJRJtOC9U+&;;>y{d~sR9Dd&fcG9x40yQ2tR!Zfk* z8T@^uAn49Gb6tWU*pYQKN)M0q{hLo{GO?w>r*g`k$!nyyr^)>_{nPld%+HOYYnX@$7yutXC^?tOV>G(hs)8*eKCqH=ou#lAh2|4*} z^kj%+6ej@FG=6;_?yHy3jmCK`l^|88dSPA9`7Nm$-fE((p~Y@UDjLfiXnt^06BBq| zJkMrzmODeOFJmMh8J7z!t-U{>Vji>p0-n12m+aQ`)*Gj}+Pb3iqj=0PfYl@I-_2`1 zUQ)*SCK$>Uo^vyH8LfU$BvUhI&%67}Cck^_*Et3@R5mcLpo{~2z8qyRq-nqjiUPTD zY{6R|m-u}eQZfLW_ae|+p#al}9^+bbyyFT3SNP5{KJ5&+U=fgLaz%8*6*a_LN z$tyjO;Fwm3RC$M+^Pp-E`=P3G8ZWan+zy1_ZQ5Iq?YnDB$~7w?Kgy)!s57S5;srEf z8+`(RBkvP(A1Z)~BL;b*B`DG&gvz_w93^z}-RpXiCoBN;TcJv3gSp?p_Wlps^jPACo}1d%Y@woN6*-1fjx2XHvshNPU)PJr&ugx~ zq0z@;Wgo#XqFe2qSg&=S(Ag}8d$=D;`TxHb z2w#@*t5g(!@1|b*mFZB3?6ulOT}j_k@-fEU9f_;B9p7D-Tr=pAS#%2$?}W@Ia#C)A zqmZzA z!2U@u3j=vaBOwxMJlxwE(wpta_4x4n8v0O931hd*__sSstQ@Ji0|7cpgyx}6(d{$p zGhEL*xg1q?l*>6YBgm`?Ru8Q9PiRhvu6ujJFfS9Ckez|-59DjgcAHq3DRkgmz)=^w zup5!6wnFcjh%t85X!J7n{<9NGK06N)996<;3!D3z?d@o8j_*7ICb_K!PE>RW^gWML zR!XV<%>#9gkk?O5DSdSer@F7B_gwPgRA}VaZKbpgF>uL?0k=d!_uYr6U4c%zjR|-e zwv+ECHE)P>~HVsm3vo13H%&Rd#F^46FoG_^G#ddvBjI%HJt1;l z-QHlc?A-q}1GuX~77#0Tf-t!OmAm!YgL1p_LchYm1E6so19AjFtZ8fvpXM}xIfnOi z^GIuN6*c@k;C~5$$t6|$XR81Y6Lkr%dlZGnrg>?li1EvOXiAC8lS(X|7|hYjFw@6V z5H1An)Sz-pDgI6?{q%%Vc(DWLFqq336|cakukcK#iYm;dLe4hKmX1 zo`AHu1Me_|2_ut#6r=PQv3|Sm*`x6%kdlszGvgjV91Zx zGumG(D7nX+Tmc9lnNxNdlUc0;iU`X^=3uO(qOC2NS|YM&O>mbx9i?`ebyzRo%A}8#z#i)o2`uAfdb5Wc_f4U zW8l0rGywVW5`_)qdTW6*)isgb*LVuAA6w%7r=seEe%+5dZE9M0%9_y?LOW8^~(9tD(t8s9llh17vMvII3vDd0^aY zsspGoH(r*oDe*F$gZp!U(m;mKalS*bIleoZgotFzVY$TZCS0`2TdL=C>!H4cbHR=e znAcN~p&wsXbYV(SqpjALR+U8G6OcvMH_={Ax;iT@K(O)TO;k#kv&uYz7kqg@!;hwU zr8N9lMgxyw#?iSPI#f89(b5w=O+N*3eiR~cbyT^h#+2oizgPk*kfABa^)lmd8Tnbn zx$rK#(g}!9OyPx3rXWX-s`hkw8o&BUbp8zEI)IF~OiZ9?7ltq_d8H02+TIxtV-ynL zy_DOIwR#LCZ$mJ082&iYL3u>G-3d(>b_0oV?ICYZ_VNaFMK3~Ho!B}bb;9;p*HIZ{ ztS8W!Q|QC1|y=dk8y=+kaR<*$@^wo&+DO(`!+LpGMK zHZ=MI{hRm%ihsdv=w< z85?{*Zw&|1JNJ%wRdj{F&qH#T)2mt<+ffP3PjP({Jul&1o<=Vh)A(K{`Eh8*7joFZ z3h(5aTROsl{9xGvf)&5JtID;WzIXgMM7$O1dAu9qe+^xXZfa`4m0M4Cem#hmxbH-W zkQ$Smy!?r$8@&mx$LHmycB_*i z7bp7}h{@Gcisq6131pBd8unCu1Pr@6gOY+-b}Am@xO2QWi6ji>mAniod1ekL6jFo! zCPp&Koz7_JLWJ%+5|a&u*HY+na~ntJXi7;4W&vj;B%qI@xj40%C&!hT;hYdQ-NE5& znHbkYB*e+fGleacW*AF1(R6;^{aEkR9|`#GUO(QIRO#cy#b-rB+fO!{I(UPW~&TPrNLz&mDE( z!rh@Tz>~$p(9L)xazi@rwkW6r>Uuk?iGm<7<{C!AN)NFf$(vQ_@fR1;{n^icx!B; z($MivR{1CS9Fk*?vH|nYJ;gmN03;=@{op{Ea~%K3f;8sw%BZxp5~5GZOC0BJx(H1F zURA|Z?Ih6)kaLr&Zv$+X5x5z~W+-wa!8*d%#)RVO0D{g#8Q|XnuQ5Q++lTE9lrYwL zKr{t7y5yS&yTE_LobfWLxyUu{})y)76)5FSN9m0D!gW_IL>~hBgpyvFzv}6y1Z}F_oSRX1Lhj9(y zixYml!Fy-9Q*Wri&@{~SO z#u1`1W&-eV2i@`>VACGeK!>qFRU8J-JpqyR5{k)mrKv_EtI=h={)+(Da7MkIR;clE z&QZNF<{2-^c{<1ONfM`1-~5_{PW`$aoE5_)19(83x1&;4VPtWm1#7#rZvD zOseOH)EEP#KQgSuC$He$Gj|A1Z==P1EdsKU zI1M>HJ*xBw#DDdqg06S0q-QcZvwM=?lKRvl9{7Y3j8Fc+oqLh;9Yvxmu0?tY<-x}Jxt!)jOhi)$t;e76F|MK z{3MyYfC)@NP>%QvIRT%ndkIKOGQ>BnPhM=vqHDR(&;8ufhl)M{Ihj~eFEh#1OF%9RrCXhF?+*I}% z#`URLNF_2fe}XR&WLU4P>-c&ca+lo4sZ~EI6K^u=-eN6`zWc$XCNqJ|4m5biwl}3$ zA>Bthovmsr2jR{f@T`tr9EIha`QokyCz;cUWZ0eO#N6d3I5rEOoE-@}5;J|5YQxci zwB+WJ8XY@Xx^WM#q<3&(KkDB(#`~8JN2WA|G4+nfMk=M{{HB)jt11nFMG&2OJqrfS zD~zTuxo80y%w5txw(;{R&x6Hf(g5JH8{qaSdY3AL8^ueB5 z?_%@jLGdxjZHMoqvYIFy1V=28$OD|l&7^MiFY)x;lYfxiX6($eqNZ_R2Io5=u#iA* zT9t(Im?lSkKKD-Thnt*uS?529<~2Ebgwe(zjGokG==PFIpGWxzkmT*E%D=g<``b&J zTiC}iF-e(}`Zo?jcqy8|IFIDR`e1E zYvmxnTkcz_FJUkP;PU$l@7eR41__1`P{@Y2tKtf9&rUOxs_!*1M0kpLhu*yq-v#`N z5S?Le_U@6kZxuBBDL`P6bM$)J`BoobXIxHAOR1z9zq75(S)TtXEbr(Dpw7Kq-%@J_ z@9rbq?>NVzX_d23)BpggElET{RNd`%5Fmq$>s@pzN!ahWmJqR7)G>I-7*2b6PU-Ut zx`@$nij>!bt!xf6yBL&Lo2_k*6nJ6C^$NIc5MeMxUG`A#ZODa7uSIg{d?(fxQQF}E ztbhc9UT8N$f?}tg(pG;~@5RFk0dE+9yVPEY?u5k1!WE3s^P?L2*syZ{)??B$Pbr>- zoQy3fzHkcfcSP-X0R3gJFHdRs5fpESafZwU@LitfJ)R_mtc>wq0YVFyWOqQTE(%yb zDd<*94?-f5fs2f{wXkKEs00$4a>8W|FA$lTPH(F)(GQ()6A^+d3AAaH=N5Ij$ON<*7A1MbRb-=|KqKk z-ap~e?J3V;H>ulk7GOsH5D53sF@Srq{X+JphChO*_hT27c;>VQJ_3L~cOKmUj7J#j zsd1&AT?+q3gMje>_hYg-%I{eoj4|qN)J}GjxK|9sr@IfS@G`_WlIO^Z6MFAsBr#ql zm3{1!o7>5eR|GCzM;BeP9es>uxF#Q8|I(o5FVs&WpIo!~79=i%@%#*4EzU&`o#|u- zl=-nU(kU>*L6QW#CI0BN9TE!w{S4WG&s>xW&vZt+!m{cYSf*Th2DWn&I_9? z9t2Wq!`Y~0v{xI`fxT;VZ4lu+@2Ch`=DtP$VwttXD0eiE1L3mm_HeC{)u_lUxP&6& z%sX}pyu9-?XIprhV_vwVc=VKd>1oAz?sIuj%OB5a=;O0WLrzr!!!Dfyi@W8hY!cLcLwjCmU$3wHqoy7r|g7l{$_&5SO;tn7(?YDWMa-n1RFoa8sn`d{AfnM_?i*(|S+1+KLtGUrtZXPVnrc~a+F__8f($i%P zT}o+SuBS7}6^$h!%|*V)ytpu4qk?=fYj!kxaY7HlBVE;3WIiv({lX*a~ry;tt zSagqR6I(EeOfLe|mURe3uq(6HPmXoD=)3D73a*Ru(i~&p-Fa`U2NE28f@9}%=a|rY``{kt>_fv!L+;&Ce=L6#YS~nda8_C;wNl)A zq7It7Kx7-jX5!{eb>7u9I#N*qlb67uPIg>r7+f4e9&sdo_5*VAgVzsb&dHyME@^%Q z0L9CRW{OITAaKJ7m6s)kQJQ3=B$KpWozucO2+0#CJzl!s+kx#eSq@P#kzVg?0Wja& zcp5UY7HUDbf5%*UGY}W=g4rm~>ecv31Z^Loa|tRuy|}IXAc8!JQt_J1?`dIZLvxe6 z%KagL{G%78^Gxb2zZ)!fwxsu&)iLgE1ffD{^yb~*iRuC%Tj2dYl>EQ@zVtCj!#HH6 z16lgoyV9?}FMX7AS)lH0>HR-{psBN!6Jr4&=po-*WE{>i<4-PX_{RaoQ~ZtqJ3=t@ z6Of@rglz=jo)}Z^51)f^!^B7V+uml1ad>oA89>OyL_>I?ctsg6AD!kJBPjb(S?R+v z9*9GzcqYl?P-My523K@mH=!)17H=YcCp9Snd?w9_pUyup>9E3j%{9Y&&bMF$@bD@q z@n*;$ngk?W*eGn33}I;J<~tk1CBg^r6h{$t!)!;jcu2Q&PX7>}#ATXZ)xIusm7xf4 zs<^MrvmE(h#_YMP!u3IFOt$d1FR@wZlVw3^gJcUOhM zlLWJrSI!2KfF12f78E=^jl4lb;tD{~=&M;x>tW@TuJy0zT;ZOw z6Wm83spFfG-o1Z8iE|J zT}^cEWEo`saz)9JwE6%VtVAwySg97lHzzBvGcVrc={gh(+Kt?D0VhD?`p+?=PxYBA z0BSdy)%|EeExvPU=B_e-0y%vWBY&3bFJt^inUgd^XPI}><^VFE%PVeR;T}AMOl${o z5dnC*v7+i<_`b5m7DNLw$-GtmTgL1HUOQf*T<7846>a~;JIdVKP>Ol3{|-9eEuTb8 zobdVbL+Km$q!*A2NRXz-wD1#(Nt;op(4@bOGI%VicXjVh6!w*2ZQ8!ZI3pam-OZI|SZlTaWMz=|rcbN?$Yt&yJ%D_LEj2g|(OWmA z*TJ=mU<`!M9idBANGgx++^3h{y`(cUt0A{U8wcvYijiD{^xfLm!7E)IG)FjPMcJz* zUPEDA5;$4|`2b-+p1*3ST{dWIJy&s=d zr-{pR3>D}yzKN4ajLAe;^7l>>40n8eU%NMQI17;Pb2zw*gOG)^@>jvTCz;=;mQ?(S zMdg1C-F{|~=R-dxOAy(Xnm6j)usD&a2%4X8d&mQfBEF!N4W1IcE#IJlj!Rx&pv?+4nky% z@sD7Kcup-rSQc>#E}zB`KXi-E0L? z@ z!C%`@^;<{ETr4UY$}2gS*Ia2cB=vYJ@tys%DmMo-l;N0stl^=GmI|w(s;iAaty$2E zE@5mh7mSh#5yBlgk?L6l+bq{v@37V=&BQq(bBsbBR0A*t&o0Bu1OhJ}7l7lKtP-Dn z7(+Sx0SE&enu9<*4>$vI!uUB$e&jlEjk;rJ1-_FQ~bvj-x5_T-k||-b(51sjhu6u0if5ugg&mLK8t&`ub$;DC9## zC{D;n8Xtj}Fg6L4B{I-Ke_#yxKk>BUxg|cE;O{Z@vvYWjv-~~|K#!_FJg@$_$J8HP zR^RpPhbEO^exm0go@W+NV3c+S1vhx&iRt#PD&H>aw+=sr$vqBUog*2YYNUI2=TzUo z7~q~e>f(e!heREXtG5ce6P?F6PiQjU4z&xqUM579oy;6+-MA1^2HIEX=)%wg4Ue~! zWU8H`;BbEcUw0$6$8>E+cU~G+!<9M@V%=|?*GO+y7sl^v*yF;yb$H)f>}NiiRN5Xr zM8n&ygUp$PIVAk?WsEI`7a)pq$g6*BwBK68&;UZ`04Y3TT-N}c`s!U>|L&BEopRU} zmuc5E&^^@Pa7{VyaA|g#clSba;^H=r zKpNHg&JJ?ETT?z!RjSuHNvP)$GRZb%f$QaYChOH@6ABELbCe+Q!Ktur*broG5ID}d z=EP{fXR`0Adw$QydOYpjW*n#BcfaptkPOGzQ7J(WQvA-iCaRE)Xg!cx>x)}meCgnm z>Qx}L^?KM&Yid3S@vsr}4z3VojAnEj)93{RH)V4owUd958Z>VZSHjH~hE?M;*LC7b z{LlGc&ILO$n#X}H2CmcMcenmqY20hxH5}goq^0i zq@2L%Ekn^cYJYoM_rFt6>1Zd&t~VPv!s4Qm?u+Kca@A0b z^@{2%ecilwTJZ)t)In#Cn(8+pREdTL!5$Ygyw&SOO9z|09QTyClMQUzk4((p%+2S?SJ)97u;?K1NGD7M0m9k?vZz2}Qja)CeX zmDPFgq}iWy6kTjHRrcbAchnssKgb@}^Daqz;SjBG60^zSSoT08r_kH8d^X8_;2?wu zhLh8?VC4wr-0exu;qW{*3PD0ImmTRrACHm!fAl@Ie*PV$E}K$vojSOEj2=3=ZdvQ` zp0D`?qyz#TnmD!*YX3O8Xxrc@it|P+b0yvjWS?W4C7ib`az9nhYhA-mMd3R<(8el6 z2>mjiTE;6iwSVRnMK|{#wF^o-HK);Mv&x;uk=;JjgB`BV&*}7CO%?36Vw-z)=4U@7 zxu@~^BOLSIaaWC9k1zIOG5fm}Vtg~7H*=Vb~(op&geu>xTyL{24q>2EUM7X$-h2!n} zC)@O#_u`4R=lAYiX%nI_jVAl&=m;zDu5pf25e5rD6# z`#blw{iV7}-oV}j!0&KB-+QQ?7kheR>#{Npgek_D;o%Ra${}*%d zu8Wb8x8V8M3g>e)#XEGk4TL`qc`c_f=2tQh%>wtoRn>2P>734A-ebNoz6K!o`RL)L zaN`ND#bQOHxT!?H%D>!)E0mp}%E`Z3fWb)W7&Xt5a8=&~B+5G)9Om@cUVotK-@gtn zw?a~O4iZ>x3~M-fpn~g$0KBPQ3x({cdX&|-Do<;$TGn2DLf->m$Kzwh!3$!3GM*z- zQ+BAUT&AV{);Qkfmy5OG&jt+jP@(@ipCWnVxqPN6dn(Ki^|-@LBw`-e(=2$H=F@gD@Bu5YOM zKVDbz3_4|R$%fU-AINeTDwF18M=y?)a00qR`Vb(8*7GIw27=}t7&iV)qXrzhPHp47 z)iqk=9_?A;;TF3UjrYBHR}b6ZbKWsvkI*_}PjC;N*#Hci=%p(gV-!^3Rpr_S?-iHz zJPhQ^KQ4M0?NwF(=AP=;Jr+*h=5%lGYU7*W^5%ZX_wW*0Yd_ZO`;X!6ZieUdQfI_7 z9sk0nGAo;qH=e!TRQ%=lRrw$8DRcQ`nNK?hYJKkeN?v<_BY~_mxVJa&sQ&km+B7oN z;_tOxHGb|r#jmYmgt_mnL&aabiGI0*Hvq$!^PF9YbexhwEC`@*cUPdI|%MD%05`S6m4T9B-EtnIr+ z9jss=cdMaSRkewbheBl?wT}{7t1W1yJ+HCub||9eK1qXV=qwJSmlaCr(0pMXzO<*Q z@k13y(NlD`wv|-o<3_&ezoc?>1sJH4jYWv(lV}IT__;YzX8( zM5OE-Y#r&&e^bGM#+EIDb+!$6H?{WH9)KO&=r?nSp2WZO3OIjTiHppOcNk)a>VNZP zrREtMFWUwAMK*u^ZI}h;F~O-@w;?8XczK!9xQE<^68IOv59bo$M5iVgSHFi|WV@zk z<&fC#79ie9=pA~ttF?L_jyI08%UB#Sri@x)3T(YRs?S~jAy0_)Zk^|%59@SvU%5vB z4HJ;T9DvqT^}AJNP64PU9q*%rfRp9{-yFhb1v8*}&2bjPVS(>BWaI>NSl9KawD1AsGr6TpM^JHa=Q4!%>l z-_^t-U^R-6m@VV2cuQQ)Q6Mw?Dj>~udwWf#PV=5U+C2yoAliR;tkgI{SVq|H?6s&PlJLoVzGwj{kY5jYIhjz|R}*)&WG!4&!9wU*e{{d zPNBTd_wF#_Z^tgXl-F6#=camI9NLUW^(`n+itnv*7H6n9gWm;x+>JQtmbQ3E$DN`U zo?{HhFgP8k)5)bbn zAs&LbKaSVt(666yx2*myGj#!AH`zt*qPI6xd$j@~LvFSzYQA=a3^kDp00{ElJWS{t ztt(oBmb&$O7d^SvI;Wv7&^>s}GuA>Wac>db>$~a=v!AXh&m3)OE_lX;>Vc9F_xJ(E z{d*Ado9pNX#t;v-_3D9czB!=LjawLZ<^b7kyj9WR4a_diK*Vt_&mG@6RO9_71_5JM zK-VyIo%;<{AI2eyAR>)DL!>-_DWIUR7% zkr404v7XpTa3Mr*;CH+P8ji`pkwWk6LO9Af&o?gUwhANYjPqL>ocjoa*4__JmW$Sz zzz3t4N-OrVj`M`Le$tk9eMMTuxf{xS9d1{mCNwH%wbK^=64;RHFRj(cIQ#DW9Sr(7I1!fp z7z^||e&qqL)f0!V(o*-vu?|-7Ri4m=dKw!J)?LYJHvjM(m$Aj=H#enaKeq^{qc)O2kHCt?UE zi?Ov#y@U;6SVIjbI}4EW#`UTO7?ZG}0lA9rZL9sYRpp0~Tb!7Xgp4l5*o4F>p4)(4 zbGdu$!YRhW5xzS1V-x3en|YnU##sMN{tx)u@^7-@2p0}fqKI60BZd=Y{o|$5GS{eq z|2Puet&Uy=0|p@~VJ9B8tlS#Xt>$UnY|W|L%7s$?-oZ3GfZkmg(&yekAtyh0{ZQtd z{77_Fm$G-2e{wL8laMjFcBtC-j+K9efySkauOU zSH16V%gxYS;@d*%Qo-v8R{`Md_mW!gO=%Rta}`;Wm))abZFlFCZ&kvUs`dUn#0UlH z!4#b!I}3oP@yH+v&3}Ghsk<9WIQZeoyT7l_HH;jS=yiX4XZ?3K)qQ6lV~-|bY(fIv zn>%<|kd+aX*aC6Py#T=DgM8QR;wx>%TNo^iO71dVuYXn!)qV%C#8{nxC(m?_ZXMsmRhgx>G0jQvgKnfrPtNo>1lm)RHam1rKyhkM_rZQ>1cCpOt~6@o5oPa zE>91rFhCQzy->R&aHD!rccL>8g@o=wL8?yD37YQOm03JH6BdV(Q$eONHG05Uz7a!= zATi$9>THxWAZyQX3legR-@L0}jbnX`Ys+2+q?e+Wh9e9Q@4Gkho3S@l4(T4AgYPN9TqTZnq%Xay+RwhM{1Yi9 z#?uf?yz>`d(&6V`*YLkFj1mH@UCw;~Kz#2t^}lsXkvn`IS5$t{V}Y@{2?R)VHFP!l-u141f%-BBM2h^hFNu!%*HFny7TUcCf9BT;-tIi zJ|5`m*0j?5N6I#jAqrI;-o`-JlFFBmDfFv%(9_-Hd5u7LLl^FTSFJsCxH+xG?Al39 zp=PX|b-e0*uw)8o8O7-CG*rP?aAB&7b@sEHJM z%DLmaN7{cs!gxaNT-T!pIl6YFyX!L;IH-c1i}jW^4kjT-j(6Y#B3z1md|oJ^R+I#Kixd|OB7+TgO61`ojC@8b5nQ4WzmC+T`Av-+KiZrz<_uDKTH zH|cRNGe>gWq|a>*T+o7Kbh5uI({P!fm8`W`!R{}$}Ufa=;qkP5hw20Odj-5z9|unS(= zgmid`Eal>xBVZWg;>MtkUVBm8ouVC?N#+?`#zf8~v(vm*(N1+-nXNsr5X^*-ckdnR z!RELI_L=j;vU+!p_3oVkjUR)b{@(pV)!%}+-3BYri~e>kbPj*5c|{|R@G!>hRuWww z*RANRw&MX60X0X8-HrQHX#=OesGr3tHYxdE%Q-po$v>z6{!cIKwJ*Mn1cx{D=l=5F z)}Q*1eo8;}Qy3P?&wd|2|C7kcpNEysE#Q^8*Mrw?O<6NZ<*xF(Yk4>X zW>##2!ACk|qF#JQd;jG%6(^XyHj{UNz`U~^);GQ7GE|7={|1Z%!{+LvuAXr&>F&O@ zuznd5{Td~pVHh6K)KI3ZxzbkXXA*Y3xDc0jP!!P$CM1pG#rv?>weksby}75_TNnt~ zXu?hQb|5%kd8qm=jOZc)RN#Bp@f3e^Luovf=nO#UZ0u{BYJK6JdN+?CHYY@-{SwR` zf)WQWucH1pAL!th_S6T=Q(h|C}) zRM|-CrSdbH@2}!KptR^qr-qrdK}~XBC5&gkt>gX}u$b0p8YAIoJEuEt4{0@;*L7I& zOL`vwO(1w>{AD*tEb0)F=#_E9BTt9=qT>*ZE$GEMzMK2)pk0~)U`re~#1)o+XkY@> zP6Q9|Tr|YXO%=UC?;sTM8RSf7U6$Qj9+{;{jvk3>zN%i0XSC$y8wkqLW?thL0oNI1 z!6*Xp8-M?r(uWuiFYA>doWFWqaXzi|kDWeQw!kRrx8KvyCFbX`g%CPT)G^Yx@Y3-{ z5>8IGxAkyG5C8h6#-0PrF3g|g6FEocYj^NGkTI_-AGcNeDxUJ4mhz7Q_TDl+2U)_n zbufzF$&*+phHbzGMrXRa_CkvHnH2~-UfZ=K&I(zYa;h zd92JMT-!ezhl;;_7a6k`h4D!<9spJ6I`0G4`KyrK5Y>y7X?i;_%ESAXi(Wqgp*SH2c;P3BsrqybuHIz6AZ3b>{pAFvj#3aU?d#Ppb~3(+i5FrD$a)GR=!SUi6h5kPj1nY< zQF2bn_t8LWk9&b*S~+(DZL`DRRCH^Mw;U(+a`X=5WLcB_W2KL(TE9K4nnhQV?t_yHV`yq%H+WU_x! z46@WY3iWRy7fyt1AHEld-8gP#;=*B_y`JuGF9vKj7Q6LFrOa3iLh>CQd2>?-zkZJ1ssL6hWpGZ zHp7i1Z|eeM+SWjlYeEKwItQ9eY-?~Dmus*WxI%d=$8lHG!glrW>|A`%_9isM!zne1 zw^N;JwLr(Q(38d+2$rM!fk1diQV3t;nD*Cd>a8~*&fFWMF!J&;^7zKL=Cn9|5~d5$+$|02b03hC|3zXF$i$!4fB2aT zjKd9mC6JRd`uG3x|5KmZ_=5h-&;0kgIr_)-$NtzE?R?%{h5w+R{r-M_mz?~qzw%f0 zUmyV9+U2!N@81qli3f0~+X%d)4MiBGU3>3_@zyo}TWzUW-c$EIys*14{>|gCXZw|h zk87y96Fe!SN4FknGhWnNI%{!bA`et7LSCG>1^;Lt$9hGN>r}J~X{nzS+G<34xBRFc z9?xmEaUX$0SdO^njU#Qm3(Vr(7BVnQ7&oO1e2=IgI0ljYKb zpCr*i!d%N{bR3UJhF1KBds^@f7b^|j*W_i3GqAIg zuq(W}P~Bkm#1M^U53!ZU%1=OuXEK@|K{|(zPEv-rO<(79diA8Jne~f%N~9w#rB;>3 z>vv^R-{)j@C+J3p>GZmI7yZt(YjSsR=L%@;SoIBjuyu$p%gh_xRZ09pxOg zS{PJfuBe%_jNby|iaa<+$6I1&aRjnSJXypfkSW|9xAiY1IR^(pwa|uQh=RBWn5+9{ z@p*YV==l)xzN1214K*>=qB;F0M^ACQ^~Vc4bKurV>p|!a~-^#qX9=JAtR4L z+&_F3Vm;=8W$>8zL&&}(*I;tU?bzq9W(TlKTZK6|5YSNwH-OFeQnVw3bFC6P+ zU5HcH`GGiJZe{R~c0Q@gcdmt6R-@c@;yIk%DV&ykR_Sw$|1!_)(Q;$~lIrUf%VkY4 zR<1VdCCenTIn>5RFdtshv?I}vlflU-K!CklPrT6fAl$R>oYxep;rg4VNo zGdicYkRnjzo^)46587)KKbBnTe!6x6=EUl9Mw(@u&6K=vVZ$jSuPK zzz5{ylmD^);%B5U{LD}5n|BZOU?Z!Ke)dxu-ub*b-o_WNWfze`TMTj&3bx8Nv- zqY{Z#NC~XA`CdiUYw)rnisU5|mtg;UE6S{H!O9>p4u5Tyb#$#Cs%bj;$VID?W!DG* zc+n9T13GLB!<@V|c90=-&zF*>np-E$y)29X*hIXik#sqfi1$z9D5?E;3Pak^NFRda zIu4s%RUQIrrM?Ev@&K1HCMZwhsHQJ(eN=OuRg4h#lsoAZe0VRTEEYdmbgdAW^)4QP zdzkD&X!aq(^;5bZpVCMVKd9dciE`EckiOA=0?#+60S>Z(*oTuQFeHU!J*;uwDWBKF z+MI@~yXxYtZXZqP?>C;%VoQzgi<(|jsl&;4vIOOu#nef6N)_dAS01H|~5xwN%&~%k^u0k;OQ7BWB8s1PT z9z+c%0blR#>GN(%8@#-D18#GcHc-^zyx9P?4)2=0c{RG7;kb9 z#V#>U2BpNK0L~B~=urF~fO`Wk0S`YU5T`h6nY+K?4#|A($QAb){PY?gp!0t$8_ox* z0<_Fs2&ejj$Ws7uXYqSI_JDH(*6{(qLkQf-IOIJXpLpL+MD^A=6ApLLwU<>GS*I282iM^85~^J70wJI^3Kx;cyO<6XcjIpR0Qf zS$_pWy2U+1R6EC!UfXZc64zYS^P2{$_C!~!|tL= z2E}?;8;yB=z4xRx;ss^6Y>0AoM)XGfXxPUy9(jwbYgc4-U+0if$Qjpkdw4JZd46*J zno6Sp9z?7-#27Oqm0ip)L@K)sV4ofiWTdm+)~(g$&@0NGZj!M+-YXyq<6$RA$o*lQ z-)lSqs6x6%80$@h3G`-B5P$gh+h5`GUqO5K8dFPRxVm8Sbm5{6HrdrQNjw z?N%l=Qam~drSn|v>lM}BYbyi6^*X<|jK)>Q)%~*Ci+VS{tb@o^LYtw7mkExa#l2*H zl_!0YC!UNqH4s%mB=2|H)Gy7)7RN&?#i_#*s;dUoc{yS-1j<>m z--#iZXyGL96wtc`Oyn8p%gn@%@{fQ|6A;9Aj&%RqC5>>b4Sa|+-$M|Pm(U5?q_oAD zyB3UhK6x2C!A+PMcs`rd(ypQ~f@ow+M+PFWW z`X*c`hm9DH;ZiM~%5Q0`2FIBaBFCU^+$uzQ+agz6m zL+NLAl6s?S7s{%c)=G3*KO4_zx;KFw?3=NrOSSk6pkPdKZk= z!PuAU+PGPQIPHQ_UG-OLdh@|$HR~A_leLiFv9hi@mUHg|-86A=Hp@Et1rD zD&)$H4;_Vql!&cVDvfqCBp0iXlk5u#c0pY*75l;gb)a7!?cfdSw<6#DLCx-)$eLHh|}n3FO(_w`g{E-qpb!;5>Odf!1!Fk zn{e4{n0-H+4kdh34$Hx6I|sZtl?C6Pn4=O$YguiVCzYvJL%o$w8wwR=0s*q-{W%v=gcxassvMi6Bfv zaT$*e3FXJ-wQU3(F8B3aLD0Qd+@{L8I6KgecY(HB9NR`q-7?=%3_A*#edt|Qv$&>i z#D)3OEAc9#Th=ySM++s)#yLi8l;cMDuG94lq$yz1y=LsFozM@{5K|KOMn=_9#vBTq z;@Dz%RUxWn=5GvDc2vb{?d52Ut3wRDOcL?j1IPmkYQoz4{(1niuoJ`pduvziZ*M8# zq%W^GJJIpMo+`h*rqt>-MjznCu*Gk!Yx~Q9;{825B*1n3NbPTJD!#_+y)8AqyRXiT zBQ@@{_0skgwe~Oudrj>hjp*Bl7nH}qX94T}ZWGd))V11KO{aFl)?q9A5$}Dg{;1|! zJ3MheYy-Z%zZ~Yhkix_uXVu-5UawtMu~Jdmh4FSey7OR8O@Q6u>1ZFp*@lR$K>lv_ zw6i~ocMoVoknHVvsm>Ky-K?&?)zj+3alOAWryK^Rx7$)}Ez;ihpsI)Yur%l$Hq}~D zaoO4SJTrRat)GJM+*w-;Ezx5<-c}t#jptYG6fw4!82O@xkb5_0^g;x#0di2+WN#Z2 z*=8zI$ifUynbtT&$vfl9@dzH)8IJ8DV{3q&y$_Sr4DVG=B6uG}ejY}rLO#M|W-oLz zO%1@Fd$BrA??S@3IqwUy<_K1My`$P*D#)`1s^ix80DHY#QodUbI_>9lO_#weV>7@t zAT=>0G=_jq=RpE{>K`myO%%9a91ar=d&tFX9RiPn@UiR8SXT=9M#v*jxufQKUk&)M zca(&}RyZB&hcmjo46Sl-4nT*duPOOr<$jpoD>fI|tsK5+}`Tj5B#I4-tLx{<} zHN~&oQTwY8_3Pi7(}nf77#O~4BLD8D-hFLGgL~T{BI2mY@fMC?FCBJvcs_1-&S?h& zUuts?462RkVQ*ZkV2<;Oy-It4w|)(RxZ0Rj5=YbLIXoKD_3{~QG$$1|Q($Rd)pA~Y z)rnAxs8no17|@rgw1!6@-N=+XT|08;enyV4M|-ufYp8#}tkxRyhC^U%_Ok0X?(Z1hA7v0|OYX44(VLh#Z?L7!E z&dCvD#!!3vwMm3a7`TaMwp&O4+rid#noeIx>MZx*riG3^x_+1Yw58^=N(@5wxrWml zyz9^v%^m6QA9vNMVR>-WLmC4%BF*n(8P1bu9B`gYbgbvja9WObf)2YHuD8B#LB{uZ za^w1}i`AiHb_#y>I2Fjq4{}a^@cN(sEdL&IqQ`z5l2Oqszq=f>tdBx625x*sFX{O| z&}YA2a$+6&;3s18=k;R$l(y=VDg&hD{hW@f11BYP?aA&!H11bbPL5$z@wL~E6+2I3 zAH^JGFg?&5<}hsorf4JAYQsT0n6%g%Ty1C@aJzko$tr-%!Ti|RTQ9@fB}B&#g1b<< zr;ClEh3U9Aq-r&%I99Njhw}j!9O}U^HAf%-8Ot zNci}S93BUw@)nK{1=&x!dl({NE0(w8y4<>rh4W(E3uAw0FeGcn zudGRLuPfe!fOsD8)Z(`{6a$jFf1o~|M(sO?YJTaV;x|?mUxy;SwWE51nb4T&_9-O>hT2<@CO|@PS?Y$J=+8-Di{cbR~6H7gF~$n5%@{3&R-OM^blmHu*rq4(X=d@}S0yx`5TH zN`*#{-CV&7tJN^K8UA*0vcY^MfNLcl)^TG%?HYQ>Ex9A}!1xEo<_H3Gucr2zL-st#(WJ`S;-3Z=wtY`nB&iF1QQ>p+*kJ*G9t%Q^2E1FS^~@4ILgRs`8~gmVo-(%is6KsqZJ%bj=%((c@fgf`KH z2i%jpC(0w_3yBM1t?8AO#MC&+---(A9shqlyoL(!zJoDmr9zPU0%ujRO z^+d7nZ8hLI!p=Jpaf)=9b8gwnCIeV$@B9OGQ1 zYO5HW%`S4APugJt@3(Md?pPQkO9bko%gmN8As8$ znw6BQM>)M;SysJe0ky7hD(=|lpXJ$%&tZ2NPqiI+5#FsSt=q^BKlNS#=iGPr!};@j z$ce*gu74wL!PQK)Uue|b~&uk5Jxij@du zgQ&DSDWzkWI|5|z^58ja?Cki(s0`!tzMThQt=!vYE0B(EKdCdN`&uZj21RN#F@hM& zdjSN}f!QDRM`4LJz1v6Lf%3Sz|O*o0n_k;1$Yd3>{{0RA%w-c64a3gj053(+1zxgYXG1|ca^0Om)cMLibH>GAji3O9@3 zT7nJ1@CC-seRvO0TsIOw2|(l3K|E|1OjPjcVEAqb=%Q|Y1RO$&kUJcHCR#zk_b^Pb z{#-+20E8nay-ZrIDx~q{iYnheR(Aux(k0jT`r7+eUG48}tGkIu&N!4eIQAQWs|m{{ zCT14z1jDBHc+WedDvZCkAr5ml-t9GnH+sIP^inBopG?nrObtQ_EhKT3A)5w>;ryYV zNk7m^q7X9H{k)}-wjM8B$9u=GIK+G5RmYopEU_L!#a3{8#><8K!LT0JAz2kZK;BM8 z8$m{GknO==oV=rn;;!!YPKCt3W;ue`4(Lw2tZeKGyT}@Z_Kr^DZKXo3CRcFWX*(s& zJ3NlUczOwCH!+E)9BF!ZCv0avF zLWc`w=Jy(2-g*GnVRUpNwG9E;Q_h{0^N^YhU(fY4o!Zh;a#cg=Bjq#RiM6LEk)q}3 zt_DV1p=5t<4Ef|c4jX%E%MlFMKRFlQV&)s#=wPIFpf9^TWrMNBLbtl;BS5P0o2|6=`x629+kkCtC#8+uDIM(snh-=AAy+(g zl~(`l9dk~4cOk$^7YdMIz90{Fj=Z(LQY(bES)V?@TJjvb&l?Mx5kY zW9(hU#F-{e=yrb=Ck@({J%n630^@Gzu94w_R!`^N2{{(diLo#_v2};BJB21VnRK4B zeHf{El8-;k{XG$Am!om$K3D1U?=ExWADaWmrrbL$@@^v6{BYf<2?$mdC(T=c^IQlc z*m=LA<|{`h(MHQZFKC)tNjxHS%DW;b|2LzGo}CX-mg8$Y&nk}$kNJ4!wi-vAXBYjm zb16a8f?OW&a97;O&~!^9lirzzF7n(1&^#Ai+)2!6rMDamp&cSG!PmHBZ-@7? zh7EU?<6>h5<6(zw0Ua3xdmNqc)434c8;pscwgc&R|FQCoez->`(5B#Ixd{*lyxFME zsD6+P%b+H+hhTeVDjCR|@zVO}%Dmk^XvecT=W#f97#cQu*PUx%%_i41k=+hXTxYkd z>YcutIGz46c8+F`w3K}aE^ctoJ%}T?k27UEG>|&hVs1sB%3ss7=^J_^x~C_=H%DIX z_2;x-8__{)Sk(lWfULW0{9a`gM{QQ~I0Py0nDUb{j>fO`y(!gqxmWbwSl>d{UvHmQ zv!4q)MB9MWZKTGIOskp*GG1Nd`B$)0cj~I#?CHDfXSH*`pq)E;ZLgu(J9*u2E^DKO z`+3CZ?-%s${u%A<4u+aC?(AJ{7PJ~IX(O6u3`c`AV4O+C9k8LPF`NtkN)Iawcp_=lV6iv)7nOuud0FTf@8ESkf`nzXP})#&90h_P zm6``SonFz<97cEn&utzOau(xp6{B)$P?_v7EhHaV24)6@jUdTKx#WR^JE zl*IqV^fQ(TO-C(`cSeHS@_WV{MvX)Edm0y_zLcYMXhYl zY5ZsfGSb$;t(3lc@Nv!U-c_&S?{IH;<;5ug&SC7G2C|Y+Wjn3X6f$)hz%HdVTi8(c zF#!CLsZcppr$?nnrpO~((*{pq;2jynSP!2pBRG^@u6v;@3bjtWOyYVxPKF#9!<)unIS(o~ z80+%reT|IOgAsF^|M}W_0B8677(~Kny^M!E8n~+MlGd3o&(oAcuE?ql)KVT3KEI>l zsgtU+UfLLG@2cQNWsKv|-6S5`1&#H$0{FRu_xARPoHyX>T6WsCq#i`)`8=U~uNp$T ztGzSY=*=tFs3_3{tQ$qGc2BF;A5hWDpj@ZXD{3t|tsy5~PeD*;)5-v}Lxsvo8vsb5 zBN!ptd6d$f=p1@7s9w*J$!^#tY>?bOoYLFnE4o`hr8_NGT5-LQQ9QQM5T#q^_uElU z3yB8L&T}9`hy5`$KVNc z4Ji$Q^kL3E!+-4@WVq??f^q-0?yK|@$h2EHxKB&1t(X7ll+OLpmZBeb+4_=F*O7fh zUVr2=$DjjXUA9wE>A3+VT=L!J(QW+(bBfMSY3P}}O4CrM?c-2baM+2qZuL-t%-lcF z;maMp)_YDxp96=Y<N@e{(O=tNrIfgxC!u%aFB`os&ihyD5H#s;1*rji5b?1pIEndAcv_4fkl_jc2Fx}&#ijCdK<1atmM zS;b|XRt9TivaXr)=-t&ZMH6`?w#(Yt8xPU-f#@*gWF59fbhC9KG$u?Z+G-*Hul7Hr zR0}HX(zlw(!HeZyeRL8%NJXL zI61*QM*kk^Vnc@O!5)m@KrA$O!jelN0j@Atc|_mQ)1#G4sP*-1;ZBH{zukUPYwh`f z!A{cld?X=FCWNP%57a;OqBEX4?Z6K9W|%E>C9Xr0-P`^!MA-Lv{{es5;~M4rlY@Ku zyCC}izg(05`OoiN);WBT(wU$7j6OBGqt`Z)diM%lo@J_~%C|31}~grRq_uAQbf?+mB`)6GLv{FpiedT~@!13MwfDXw+( z{;ee)_C|GO;94+VZr}b3dQ2Y;nI3qnX1a*k#Bdqlb(IA88X$7max$zt><-|WPU~;= zcl4h`a{yu)Gn-^Ii`rn!zJX!<1S8|cuXTj+b(HACcrzoM+f_}zi_o6tuL=IPhv&$E zSN%@&caiaQ(Wr4m{4u?w(E^^ng&Pmzb%>=&;w`+WPjLqqnI|j4t9pvN+0!$VZ>jhk z^l2WiE1Om3;6Tl0;hkcOmhfMi&}^a5RsSs_te&DA-GpP6GDU--EhS zjmv?crZW9NqFf?-_EK5VlUz3iDZjR-mG3#Wkk`@@9vsBtcr_g&5Cd0H0vMaO%sO#A zc?ysFsIL0E96buS|C?u&a51W_BOU+zy4Lq+b>Tx8@$(~!S88gm^F4I#aI>g`ctQzW z<1uujG~ELXor*B36$tt;#&I;>4)d|1MGS97v;6I~dG{jOMGqWhI}iP}d~INgx0vN8 z;@7ow_LV8X9n&AqZ1vEXby#b{p{$XWb3N z&Z`$(GDI#MZP8dxsWj4c#5lIPDxjO8(cJ2hc3w^DxIdy(XON9WU#G6k8wDga*3{*C z$XRROYUus7^Lpd}Ye1C0%nfD#jU~677;-oEG`e)q)f+c2V=!-P{88L$ z4B*?h&ugl;rm2tgl{k&u@C?oCc%&QXIfkak%e&-`dNwVyjLc>jHU@@6qXZm7n5!CjNNx+1ZpbXCYAFMdz5gKo2!D5|+Xf6$t3N$T&`jJN~*IMe85k zQk6Lx`;*}4S@hdGDPLQ~t{muBc0a4fbqlxc9wXwMy3vsD=DZ-2@x5pgR6Gui@$B2~ zcgC58D3I&P(yoTiFfTZd-q2A&e~%B*fTOH_BYsx@@zNKS`-vGaWk_+gt@hgoAsIU! z!~H_23tdRs5i?z9B+6Aa4w7NX$f*a;Tl~iU3;KBRI~thj$Sn}QQu~zt$o$uof1a_g zcU5^ABG_eGKZ3h>Zd5Vv_21l8d$+5MyVqYtrwiB^aItrdYvZU!OJEuQHjEiKZmCKI z`AuoFfxP0ZdKZr)?gv`~$l|klPOqp?Y^u@BhRBF*$}r>Oe-F{|W5|}5v6?Zmj6|Lv z$FaK7*EyV@zw>wgPRKd=m;HS5&;6?Yu?y39Qo(}G( zwU?gJ#pydCIVohg1Jql9{2;UG^|^=f831(Jm(kt0t3S#k&t}(xx4f1b*6HFAK*q-| zKm@Qk0r7rg9I)M2vfEV+udkVec^2EsE@U)xItqoZT)EbDN^09ENeu&YT-MjHVV@m_ zrJq783R(3r6p=&Mu3GD^xbCsj!x%aN%~?|8kO~ZrcZ>9H?1UwoPiS*PVP)Wb}kIcW!C#Q_tie?E8{vULjdn~O~-fo%D1dcT?D`ZoMa+&PL~W>CR&T}~{J7yz1@(PM z$s3s5)0zV$>v#rU*KhD!gc0guj)v>m!rq~JZ>_4i)ltDwKIA3-&I28Ow;95&_PT2=yu*G@Q=|JT zO`aH(GRnVyJgm{uF`f)J#Vyvh5?X03<5h2KWEwJ#5pM3=WTZ6l2n6e+?&*|Qv|m?s z6VsGojNS7xj{$6Q&-tX>Y1MLJpbGNk#L;Fa zhfWrZ*U_1q2dcf^2BYR@`tQjSNzdq0C$T35j} zNDzc(vJ`4XIHFW<=0o055`z{TmlQ@3p!HVlsKmqZTn5?L^Wut_PMqJbsr8^0*4gvk zJvG8{kI~$Vz(|!at8@aeXAm2EZw`#{mDN-7Ci3@7bvDpqnfG0(7tn zNd(Iv`5)!8e`oeLH1IcGQ1s~+)EhhtCfSHHHprDh)!+9S+^@o8Zg1CX8j&j`JN%kP zhMc8&3}JD{^&*kdti)@kUAAeg-+IsgWHB%Z8Jen@V58 zMwHNF_d9Z}qiHbFRc^ifKU`A8%S)DCs&dUK75UvI2Qa?f@2`ZdwAm-U)Wvy>5qGxL z{_T6Z`Rbqw5So%p)^jT7D|Y+du;9Ey_cIy?^;SbQTQ7}v7{d@v=#?}%ysu#g#b>$p z0Ym|UVp7qprL|I+(WQ?;cV2)HO>wUljXlQDKQ52q(4^O8y_2wOIrioW4&v`bqpp6v zul4qEw>5Ph+w%B`&V0G{uS8D%b^G}j%Q}Dkr}dxz_-W}jzO^R~ zRx22Js3Gy@nmX?vg$|UdrNK}^(7P4No2jt7W^@5yIX4^tb-1~&2v9b;aLs>jQ9W7f z6^3*}W8-BN$J(0CVH`?$FBduA*&-mBQMOmpXnS975AQ8!7S4z>ES%ZXz$eC(er8&U zX@qZ&vrQM2eSAVW6eYWm(}gmGqS{mDC(kMQ#3`jNPlZBQ-8XiX`0!~(3mBMj7%U#M z!6TZp7tY^qbXGlvapTUoQ{>2i5<`IRoh`;-9HGK!dM8o~C0pURM=_d{d~X;SdbksS zTBn?iKM6q+DTrz;vBLe)kA)*RqnkM*tAMa{Yw zhRH+Ay*tJr5e4W;KCHuD#)BN<xr_tO2=r?#m;48adZf_Ud4$ z2s?ry7<{y(pGdioK?ixr4*}+41I{-Im9Gz3YG{gJT ziX9!v71ht-_2ftJTsY3PQ1TEM_ZAsuEYI>DSQd7Ixlxw8(7J9zNC@F?m%h)Wlo-Qn zo8|8z0LBU1w{}&zVQ)AJ$(7kuClvBZ+l#}y^7~!DtPe1=g z6S-X#M?0ZRzHQlP|3Kq~Jq^0S*-%@f=+$`6$)25%Wa@rM?zb~A2GE|zAe?4=7e_;f zh>|P;Qdhzk_s~FDU=91*IRER{G3<^5tD+ns|19_`K3jFDrF^LdhWp z&lNR4if)}nw`S3a9_K@EqqEcD*+qHy!OAy`A(%CD*q$k#YKoob_!$8 z{Bzxet!4^&Px!?+bTPK&9drUYOmffp0mUhn~2CD+jv4ToEIf z;o02*-93+n7&~|Jo`M7+H;GnF1MfTtiP6cylv<^dMn}P(#hA;R##enbJH72e)^OWXOKhd zpfUR)*Plj~E-YdTm^s&#ac`Zg$nF!^kc+cQ@|=nCk&<3&{OFug*pvZ47}$m(Py){oU+#W)Wzz{`-9 zPoCB29~#oqV>LCGW--oq%aDP@JF; zIVU69n?wj);nSYzPJ28osW_Rm(ahm67nKCSqALi^S=`kr6xd!lMoMSqq$e*)&-1!C zuWo_Q&SDtPo`J2NLK%kD-@stn10UcXt5Ptm#tejy_eotN&=Q ztWOW$(^F$Nbb0Q+&djds)DRv;XTxZl-_BAR&=lR z??HcB)nv$$4=uR?hekc4b{DcZ+*isWTL@vhg`%9{K0nJ?U-sCJtDm1#FEbln{rp%^ zlsL+%mmX6ub&?^kIzYs18<+zS158nEH(Wo4fp|ZD1TaSxueD+vy>7r~(bgKT6-9jC zo@D%R74W!*EN)_~j~M%XZNJ!5f9(j54SDc0Ia1M0grquW+uQ9R?49mWiB!@oTggNYO_79o#upAcP7-mQB{l~j<4-1_7aqP;e1e9 z+)FX>+Tlrf+T~L1O}ePu7T{-(){!N9X1jbZWGFK6Gr0erZ11;qpA<;5&*}aosgKSp z{mGL^jjOoo?5GM)4(Ma2Z)swX`CCkfx*5(jxHNH38#P!;QwqtP6~hlQ0({<&U3Nbah!hC+cEcbQv9bl(~N7JUTZK zjHJ#lb3gpOj1x0Bq<9~UK*u!3_lMCvdx@?M;o@PFQxMeAQ1d7(VYn;!BKkWqqTQ+s z@nKjO_;(Hp-D5ms?qihq{6fc$+njHnadMYx|BDf`rx=n%U0D~b=z7-+q$EUnOPP?{ z;5?tO9T|_aR%VXt&Y((!1mt$6ppn9%o*sNpvjxabHltQ5t0q{|=bFipWQZK(rjkHG zU1Mpl;3Hp95$n#WXibkK?`uA}f#y{3QyaX$4-whW#pJr4Os?tq#EL$f+(L&})H>#V zUELVs8F52Vzlqa^_uYQT*gJXF1BPMlK~8EmQXK0l%$+?Y-g{MvHhKX|h+xaf#TI?#Ys_KE0`#t4&B_ z8ZSRqZBx3tJFADsGuo+*hjt^b47|5p(wntOwP5v@r4Gbvvpu0k1ATR5a33!pBint6 z6S)6R4YJ_K=6!VY)(Qp{eX*?j$IVc8uqh@NL&*6o^O*rVEjz|uM;kkkq*b2Lg($(x z3g8$UZ?QiI(U^9k$xw^rRDMNcb2a5JFxRJxI$mB3tyac3_312n+XR+~4J%a*)HRCB^d>6ra9`Lp9I8=M+Ew zl=_c7qyFR1D1QEFUQh7eW9nbH%ID`5pW-`S*IqPHcmE^kERP)`TVA3oaUYh|2h6*p z#*XBt)9S6&6+3e3h|@GWiOtaLppvJtho^8RaA1y;C$)uwqrxMU!K{^oX_afmP|bK8 zYVu({)N@d|tJ(@B4V+iExizfj4eZkgKaQUG^~3V>FP3#iO4|QhpA76*Zs_K=>HuxL zamb+7V8XnI*B6ZRb_HhvFUeIhU4$!}P~2c*w*iJP-PPu6X$?%_cfh(E2*~aI^D4y$ zT3Xmu_8bPPm{IfQNy+S?A7=tCb1j6X|AjmH!h4_6Q{7j>zIi9unl(-S2%f?J>BEZ7 zEMWi;vZ_4~gnFFs;Z=kxn^p*im8}4Fo2?9O?x%}D=?lXm;V2?v|9J1I4R6fU9`AvZ)3C))wz^T&stn zL6K2nZwrhzj>C=)J4`QDKCF*qzN3*RASRHS{&qbijhU@EM0vQG*FCtexAM9p=xlUf zgHw3$uD%ISn9M|8`_FL?D6)ILxXy~3%XRUB%)NXv8|Oec4l($6k3WbUc&n&$emEj{ zByRbBDSns7aJ`3+b{ldPiixVG9|urf<+a?_-mjK5_J6_q{p?k|9TPN&-@;?+W>7}& zpYE5H>|nehC;cRI!1+_%O~${herl5UhM1?ClDpR+996#iB!&>B>mMm`^F^KsVz=}- z1SX|Klll0@w*$EdWV6v!{PK0_+xHdy(JRaob8=@xU;c|j`pi$>M2In(5Q6?MUDwJR zc$#rSrF>PLR$4E{AHkcbLy-5w^X~C-Dp?vuUdF)Ka2;wwGk7MYah{h;ZXJ&6MtmW7 zZZ604*}<=ChjsJ8|KuFrEc1K^^7hI)Bpl*! z2Jg7lRs8h_+IcIfiATGk#kvX{_x`Rnzktl6m(!19*Z{Zo{kCr1n+un{@)rT)Pd<7= zuuanKxz6Fq6_8=C!PI!qq{`m9_tu&yq9gu^vy5%x13hXVDReS+#LHV{9B$ghsd2|| z6EN$O&t*OFa>URe!v#)wIl>iEGmtUBId&mFSLn3IZD+w_YTa|h&5cEEJQ@wf53rgp zOlC*G$E-sZ-)FogIA(@(Z&yQU^sPH34LJgWbJTJh0S-5>^21w9GgqpR(QC4E_+2#ZV;kh*j6jj-MJL3C079Zt*tU3WAcuY|(?|e!Pp><-NTb*l?KzhQa!DWcuH3jZ)xgG?W8OGIi7E{aI#G5=nSOZ zIux&S-ml(O?frIWl38ozLW1nb3w-`ja3q%nn)9JqrRPXqRyqsOo&m#bPmJ$L#x`L& z=e(X&w>#l~{Rn(=R=?-l5v;II?H`_z`Aqa&H^#^M=R{}Xs+Z@mUC40ULO=Ex3)>Rw zgU{_^dMoHmmUGzQ!Ab7j*ah~uKfmX`J#TKRcN>iKk}9^j`LL&V9?a{$F6ctyzJ_{` zUG&k*u8yVb3Sz`vj3+krX69Kvop@Q#4@5uY%Q`>(SM_JDoTGq`6~JYa4PJlwOB}{v zJ%`6Mz+?id)j#<)WuAZ(T*bSZ!~5~xFSqx;y|3s)b1J+rtn^|olt6Z(-bfm+G=+ib zN6Hw}&yOj2Zcw??087%!?K@$z+C9xx?#s!n-qDalmTR?a$mCD_#AOTu0zb^X*$9{n zIJyJ#j*LJiA!Q7J1>Rupg++JFHF?V95iHJ+=MuS^qS<;nkC5^GG8*;+ZzJT{0E|{B zd%%N?fzNjYLEGj%3y@2(yYSR?z~Ft1g~RfWrZ}JC=U(A6gw|R12K}$x)px&pS<4@( zEA!zA<<91{Jh&QiXd+jC?Do~%?5nzw#LGoLvVG*duUdByV|tS0=xp*#*Y#5jk`_B| zjav<1=m?T)K6o1;znhp?XG}s|)UH!Osqmn^71ZBxrgDytuzXSJ z4C;oiXbs_>hJBCCR8R70yrX7%K>3egRNcngq2pb~V-*5%ZYhus?ueJq%C$fj=j=J# zECGXu7KP{t2pd2-Lv|ZsIxz@lCw4&J8MBPQkbGoLpx&;Ygl%XUA{ik>u zKZ?>@Y3m8uY=w_VKTNXXKcn14zFWh;v9=Up6go{mq%21n$H015SBJrLskppT@^=9fIi}xdbL<KhP~OCJ;7zv_8(q zZy^(|<>9E0JGQzm%r`OOCS+&O!9nl_P)}_g2OV&ZQDH7pejLnU+TD)84ea703|AR_ z8v~oJ3@S65R=Q=mbyJ>;!)MmJa^bE#3J>L8aH;S7v?VByYjrU^Wl~X&X=lp z)c>t}dgr_Ip@&TUIIVI#1_g29{<;PoU5!t6imXJ7{C7m>K?6r_tI>%50h;i~lQ&d+ z1fp|xG;Bq0-f645)=>65_vxjQDhBv2bL57E&I@ew_YU*z=p1tyNVfMtn~ZwEAgqC$ z<7{M}!&!9Cs>PC$Bh1M$&IY2829JkvY)7Z+%1tmvU|DDrF@vpgBCuu6vU1GxJHKTG zNAR$(gS`QLr~iVMd-qg4Yb<9{US8F=|KXIDpT%LcjdKeY%hke^VlOj$`!;jwdGa^} z1+uL7zyR+|Mb?ciQ6Jn1kuU#nPyV~Q#c_ zrp6A{tGkiwoMvYCHF&Cvh=A)OM=Cv&)AFaf8u-z1eeSj6AIfE&-$PFRivDjlWF{n! z17HR%geh7EP#-;oFaWq-?nt4G=Mc0j91|K-eZT^}w&-0M=U~#__O^DYw|{$5L!EtP zM=-i=JeecFVu=Y}MhLCkFYW1<-h56MkFEvJ-W?tjD4F{un3)bO0kR(-SL(;la1UpL zM-UnO?3P@+h0y|>rg;wmi4PDm@BFZL3(!Utgy-w8D1Py};sx#tQWD{v?)~q-timGR z6CP4*!|}#-b^qJ%DRN^f1XJtVivKAj18|89geE|1cm!X=bI1D#{e=wNM+i6r7|j5n z9v73K0@q%~@SZ}D@POSlbnvmP@)$L*^(Th1N@Bde_x$8b_Wr0|-@B^LUP9fSwvP6Tdhhsx*2=T$ zRTHY#26U@^PM`0c(p*0qk^$>+QTOCx$deialnSnqfg-g4;JtX7YYiyP{djv}i2js; zx}snICIG>|gS^)SyjSn5@~6J6w3mLSkON1<9_~o*V8Hyh zlbQjUfBGAb>uTd|B~CH7Cblbhx^J~q^$wfq0~OCi%1)|A(y((=DH#GDQ>ub%96&@yC-CA7#YAzFaP{QwO-x{ z`5B=}Sg4B2J-W>G9Qxq|z-nFbmypS?+=R$O1Warj0OG6fs_|F8sq_o8ifn*v`3?ZH zzy6zmC_*)Zu?@L7=*k{)yy4I}@{4B`y}G8}cNwoOjDShSeog(?x7B|4SgAt*ka

Es))m zde@KDYw%rn#fC)0?;YsfyXSSib1p>HeijowfZnwGC2l!|FO$@9XIR(ci@FmnsRR-4 z#tGfUobNz)hkJ)XPS={J&@_mHuY>-@zsj@UeSkiUG4#mY5ubhQyO7%3;1+XT<$K?K zU7er#juKCt;F_aR@h^N&onN^QUZ86>#IBbiXb1ji9n-|I}guIb5rO-~$ZaJH@Da7$ykL!HU5X?}cH z1Ji8{jnwtn=(ZLf1N)ysHr@CY5|{_z5K2P2)_(_o2vYC~F`Yj|}=L!X{h z{wZXA0=aRt$Egal5cQ0s$=v(e9p>OQ#@e`oE>$2MpZ_BF2>}745^ghc`yEAp{a2N^ zYQn{N-4Exrw@-A%y^gRo@gcIh1@Ru@yiN|b9Wm*2eH)W?>z<9^BzkaahT|;PC8Zym zQu5hTj4`%#jQ7q>DE-j|C7+nVMqyjekLcVZT`fG9Qtq*m@~1Kyf|L}Vgw#Dgr4%}v zyZ~OGA5-cv@a4k1e)gZ={oy1hcrSnH^Pm5`ws*GmU;OB@qVq7wGt)}g!!=MCcmUe~ ztY&>X`$|j$1bBfVEW#SzjS=~etQAT@Y69|Zz>z!5gVb|%4cpv~-5S}%Z-<1Y!)J@@1}2yJhQ`(es8a$ zPCBoVO9>_1r(^(Q?w%F^c;b<1B^L%l0j|{Qo+`UJWfJXBh{!ZCMk!6T;Qu`F6_s?&k-!@I35(fHCVglsLxwJL36w50$;l zShpY|TLAAq3d+=J2msoKtlY;_*f~;inPZxO>NPxx-^Pl%?SYdTc~7?Go#Pnq z06#R*MdkW50M!d!F$E%H4YLwY>mS=tD)|Rx4`>e>|EKv#j9mS zo5&K=AF}g(5B6%0kxPth2ss<9uQM(X3+H5=7=PquWfQXARnp1TfRq!my`@z9{CjE) zP(t4fUI&MYzx=-1FF|Bm&Csaw7hayz#Qh^>@F@25y;h?gD%cS3(8@U^e@J8O}$DT0n%Vyzk{DlZ;JB!2{&q z9_nexi5u22N4m4lb8?OebkchC&O`N@J>?$(2Lf4yP_FV_Zw)qq@KWkEzPHOD25;O{t!6n23y{%$6B+DVRJK%LL4;1FP+ zfD9y@%$`lk1-KHfRpd_V+=rJ^neDLU)b|x4#SqD8oaY#}et#a?MO!}DIIL#Jtyxgxx}29_&Lhzw)@pQYS|Cbz|rEN28J6d41*Mq zpY&)d^bZ@(R+Sq^kEU~>57@LFkdY4@OK&2mg9+eS!etcvOrDcBnWv)Vgt4~~2J5tm zyt{0Gqdt}a%Mzqr^N^egp3%Bw*?%2J^EG5@jCrsFw9`YURZ{Bzi%-;Ktj2aed!Vn>I-Q3G4Orld<@IOi+Rxg4FuwkF+V(1C#A z0VN=@6T@xrag_HVBFtCf^|jETH1YIlWSnuubbFa!PoE1LL4Nihz7fdD4_-ekBqi~g z&wNH-|N7VU@BDlJzW(gn+hNON5~dehG0Hrk6Z`DBc-h2*Y_Rg6@?*vuuOLvbVq^@) z231eMVNLDTmgYayQucWa^JUoIVqW>HOz>lK3?M)V3rsyXt0zB(XZPGZ;0=4cIHk_x zOB(#_m`Zg;LwQW@18^(1NiF=-Hck z92V+{KLqG+Z>#uYfRsVbp-+dC@9g7c!7u~!=X+MDb10X?_5Pj}>7W{R76j5^>36>v zgM|NfVlKiT43Wdl6O4iLR7{i_jG4VWhc7Lpj;@=@*JqdU-Y_7r`OpP=76Dw~ z8q16qhQb|Jy_;!l;6QnRBb#n(G1>=Gl6YxpK(M8Wct@=`BiHh%YDjMC=Cb4+W%zHA z|JC)Zw*3v20a7n*O~speLuuwffMw-`dqc#FYPbO;*4A3)1`w2xoaOIn#pjT10Lyxm{m`h!pU)`u!n~r7F022{X-EOzbz+{$^f9vZ>u)IDfGqxz zE6f99?P$k$@Z#rq4j*d(KSm$GgXoix8ZY^nKte_$j*0By`Cd)n(b4fgiu~F`Hp%eZ zx-;{$JX03gH+VxJqDv+TQ=FT5H1TjW$j(E7b9>C3H(-Hu-kZ~ ziH1W3)O=J0vbc_Ol6=os!8ttHAp2`P)Aa|;DdXV$J}*-r@T?V_xes%n#v{+uA>)m~ z4_oVvFAxH6J9jOf5<{Dr#z^-Qz)wa;jkKE8^vQBAdVM8*M+rCMbh5Tz-r?rcqThvN zEtFk%v%Ss6l*&hiusR=OuzkL_>r41}9PHc&bkzAf?G3Jd4Cb4FKR8t9E_1-MhsXe@ zk6X;w1Q_o*;_ndcwo?i0 z;NHW*vc7-jGS9^G-i2_#1F`ak2q(E>rvlam`R6;9NZqC&)&^jOCGsPho@LJ-J6>751c zrD6|ln15$dTN+Qf!lQF|n6gkU;3&jQ+R1{_5FA$*EG8;iNV?ubbp3TQj6JX4Xna@~nky>0J_=uTW4WX5 zn1)BI$}H!U7zNmpO$|>pl|ENcYBHx(qXE#zN?ye?@iO5c$6+v|WuC>dTeN-vD#!M+ zxbL7dUaI06d=||zHqJqD%lvQOJ;@&#=eu4aypCu5iy%ll(;;q z)Q_K6;?j(gk5208XSy1EVMOVVqcaecEzAVkPVkTDxh^tt(khoK)+_Of-D`DUK#J4wACE$BjWLvx9JJsvxMD&YlLO{ozo zRkx8@R|zhrY9|S^;KFV+qgFo~nkhE6BaQaJopU_1iTIHtaNwppac_gA)&oa_jAO>A z4c>F4+OlDz@8vBUBX8BVXYOZzXIp)aPd$Qc%oKu7pR26tiTNk{~ z1P$gPe_%@gZbh|C$PA|_4z$9yRM!N$wtrFCYE45auHEXWz82|Mx1P~sy}QZ{Fei`Wh&X@i1FUy*$@Hgj;7lxyqf-MRZz!_8cw`<@GONqawlwgyhR4K685m`JCi)J5DC=?||_kx@zBL` z9JaLZS;72!i*wirIZEQ=Ex5iY(t>po1{U z{WrW@A>^~v)aM%B+L*%VC-F+_y9urI7PY1cZXpxavP-3gN}U7VJLS?uI;{r~@m{DC z;KquJ<6EIr^hohoB|L-mcv%I zu@gTHI?R1gJg?RIhP=%c5)$UOhm3WY_eqr4vSB&H_@(+S3@gUpp;aqAUR&wTs@nCW z1{NWAUI({m9Ao6`kct9Va2=VWNHZ>LUOWhO06b6LayT+tQ}Hr$KF?g(1FS-lo|;y| zbq_)q9vv~kehLt;VaWSH6P>}}Ux1#Rj_-y(F6VL& zL)(K|n|ncLU3J*~u}ZC@(6`3RsN*BY$Q5JkoS9#Hhv#72EGLc#I{a=&%+6kx=kUN7 zXY2^sIf_mQPh>La@v!l#Hk9EHPs7^0BhSRu*3=Q4Y@ORuO5Y7Fi+$kXkZdYt-w9GAZ4}(rlVIb zIBA0mNledjKio&aP3wc5KFhkvxR3GJ`ktLnWE@2XVmm9AiLe2I`S#rQ+nPGhoLkRk zk=F_4W?>Wp4xx4p70;cC^_!?n{n$jR4#ILXOh_zQpGFBOd=3ck+-Y@PLQ@%5^4$LGeC%ubchMx1`%U5Dx&&hUMP28b5ba z-FsD~FEG$H=BtN_|H<1SL=_F7DBfcD)*Z#a{5pe&0^rGqtm$ua?yufJVDXCVRs6~| zec{)xYUZ7{lv~?8*_-|jjB|_6VBAs2ML^J;Ea#mP?>yvM9J9xLItRpF;8!@`07_+n zdbq2~-*{WS@2sI%FmSxD?l0X`^ZWZsUtzKh3R{Qj{=;{bILE#Jfcf6#T=s0wq6h{> zhway3!d4tF*%S2T;KP81$Xf^G{z< z>sRk7#DRO1aql9W57m^;vQ>tqwxvmlbQ<#WxV0UzJ z;yy&WtWmuGnfx}tA1n9Vu##6s!g{N{r~!X_q^{xwW6n)(9Z%^tP&*xMIv*@3LMPI!!RB&GM9w(WUmyJS}KI_ z`#`R$(i1tnEQsD{E>ykEoaR2g1hT-nFpTkC49YVQN!RtUSMTIYlb|beCrf^wD=+Uk zH)0OCu;KGFefOU92n5D+ZkhWsGVSMgzLE*d?M?lI|J#V3I1hMuhmDsje)SEN|L#p? z9|4?A>>LUF>iY`Yk)5Amknu9)Z@sDZ&%LRH`w)4_%j@H>a(=$ASpz8VS*CvL4R!zV z8xSj#4DQRjU;f_L)%YLYReBLZw^vdBAHB&rA)0Op;L!cXzB>Qax0QJPKJ&==9o4wK zruu*R9i`hWj5Mb9yZAzu!8`o)(6o%I7n*8d;3 zH25rl?_~tz%rCv6##gtLp2nDXEN&gB^0gz?c~lcv=M^O(KkhpHo&Iy^#)hWm%OR?m zbER9za=VPDp6{xNLC=)>q0Y)?cR|aeE6V>k_jwu_FA_|Rxv(4DdGjWs&6Lr z&(}Yrshu?yQs~@4UFCN=`p55oN{<~OXWVXdeOLE?zNzfH50sukPmO_V`#Sh*x0PRn zXgasYOD11%Z!0dI?!s=D97~j#htz=e~_j1wsU_7$dHM zv(7fV%l54mMH|RqoAX=#AM%~A@m;XRc|c)l@{K#%`!C*B=gaq%eB*&ueyO36 z74YdSGM3?Pcfs@j;d|1zZZbcNnZNh{YwugYqpZ$#-+v}EnIx0@JrE#-I~D~}k>U-l zx2>&sWp}p^XrI>h^k`ep*|g{JX|1*0?#FsgZ7auCJ>5NJ-*mUI(e~6*YSC6Mat9Fv z5(4B#?#X15%q{bu_g$GFU_t_jLi)ctOy*xR|G(C^zV&_Yx2{z+*z~0qjF}x^{;34& zX6?}THnD!#R^=CUt(AFD8<$;Bd!ro)KeGc#v)KMLA4)xoZy!SMGrQrLNWGKsr5yxNKBhH9Y6i~ zOSty(LS*Q$Dm8vZR?;>_$!a*7;3OlV?nZUt=2P)fY4lV<8cwOxHqc_yRI>J7*jpPA z+RMmOJv3=_wlqLC4UoON5f<5`b&L*feRZ8>n$mMP%n9Yl_~~TVuLjSw{Uxk)0G+ zX)-cuI2sC~vom9|QX)l_+QwMB(dwRB>Zk3Cb*ii;jjA_B^J_@HlIvQKA10~miTAJh zZ*}89d@8!^6qJ)bX!~_@$Y6?XCa$GS^1(ADgT^QgzB2wz=kCs;@@f}HKg*b(3@?qZ zCohS9l;sZ70rPP>VK+@%ajSlrC9MWXvwJW$xdAzoqy4*kYF`mes8e05@rjtKY$^|< zIHMODp3&+KEw5$d>n5A`XRzV1=$5*2yTWiIiZl-$L}M5Fwl|_@dk~RYZKM`J-yS;0 zx7!e}2_PAiiJTYjZ%H1EE;JELClsr`%p_9nN1@ICv@(Fvg+<3~RzqphpduGVZ!~g> z^jsuot}+_%BEN|^wSkjsl6I>k$PJxx+( zhDJmLl%^<7k&&W6$wtE#G*X(gV&tQ`S9GNnJ(3ga-0O*=U_9$U&QY5}(Gcs@Vs|#n zCY{jG#=A7O>UGhiPmz9gk+;z}X(?p^byYgn!OwDLlqb~I^gY!F37zK4XeU)uuNsC4 z@EIftu59XrzNdDqI@9omdP7A5HQbTGJctl$th?sd zsdSK~dM?W#(xV?s8tD;Bgq3THWrFJJd%K?bEY8k5(S;R}^E|YTC zVUlWZGT1DmE15(>Gz`tJ)Ew-HAt7Cv0EZ9858m{E&8aF7&sW zjqj2))XD5=9+TJKhZGVOtrSY4>_uh)^&kr0()?j$PL9J}!3bXS$y6p1Sxb}l6^*Cy zrCu-fC@ET3*`!OdBhoSHkSb8+9?RdUmrOdH!FtsyMfKb&$dN22AtAuwhig9_0|z|uBKDpLO)X71h?iIGAN4t zu?Df-O>k+6^4oRjA<^tu-vhh4gX+ZlYaz$3dIUEF(9oKPq$b*!cn?x0@V>GaYIB-f zd6>Y0*HA_+IWQYq9GzDvh{{Id;)lxV)m#ngL3UDI}7`b(3jVwANTkACMn$ZK7T z2XC3e>r4}qrOc&7=B%A`Dv=DaoGBf?O~KmQOst&-jI2IM#+K1-oDD|-SmfPq>)6ONlv3S^$hKAl!fJ>fMp#s6k`}c`?QE$Hj+$ySu&J{Y{Dqm27DWnUmOK=q z9le$&f~psx1F3YdI!|{8iZLB+W{(Q(tKvh1q`MP&rM>V>>SwGm=CQAr3c$!rgg|pC zWb93Ma(jdv!i{7ye?=<&%EofbfvBrrvQV_EagN35PCtdm9}$3jv*W!v6^=*C9uIaZE>I1Ql{Fq;bP z#${%b>P-6`WMxvu%x0~3Jjya_kv4<((~yK3yz)d+*mF3JmRKIf6gERql+_(Wtc9<} z5TcDb#Wt1PNFCKawn-XSvUpdFgoJvK=8wQXl||G%6D_OL(43++Icm+w=QHdUI3a$Dz+SpCcw1zpzjdLWebgTmSqSOD03YS7Z2HHd2U~G7~O3iL|Km!UmEJI9Hfvf z6dXNX7~w)U72wE0*v%cx=2PL@q|tTTyTx0mIh?U%9AA#Ca~^}0y1C?73n zW>Z36FplGmyLrHR5>EFx-J_mq9&WG z-Zl+Q>-S0y z!#uXLZWwH~9&+{D3_QU=$vH-7}X&j{0debfRy~A%t5O%8daU6|JKs3vRDTR&5Dr9iOVoHwMdoxrW#m>5ka8cbSr&l5SdfMulY(s|w z2>z)ZbsfdX^EM-W44*fZq>TZH3KgyGG4@gi`&qL!mxFCng;g8xr0n=V%1H<{A4JvD z!FQ$`Y{fJyo3T02sa-ByS2p$O{Qv*7ncpc8Jj#I7=$f$`BG6%5#n3M|aoh|H^4 znWbcSHC^c3(2YGU)65%1`9_dCV|MO9s%2_}^j$v+kJ+iVGtuuB%+FfY$M}9Wl$3{ej zE-B&fQY?fI_9D=piu}nmpdZUc#7d<-_aU{n3vaQar)ot^whNiL5d^GU_}xKsx@~8h z7fp;VN-|=|U_>RN64=K^&)j5c(;|`vtBw)o%SVje@yusLP9xfV)Iu+#OIK|d0*zke z6$FrW86$lfxX?R2SX=W6Oo|^yo~H@1sE(zdKq8TX@pd&MxE_RP7`IpzSm54(+^IB1 zjF8@m=V3Y>yoXU^+g=OReUmV2+&*)jiw?6p+>wnmHi*`sjyg-{D~tC{C&}wW0a}@3 z5;{`7TwefD8VO%&AJQ^oIONMfF-bu)qqix!B$BfAN7eJ+jWIO187UDod9qOABe4k6 zxK~Ee(7A0dkW%=_ljZ7E8ty z<~f}1M`31w_i&>*l7ZAHogMR-;p+x-jC>cJ9P``Nmrkv0HJf-fG`SEX;h0Dn$dSAm z=)|nPJTvy5PsgQAor2Vr<{FFljAFbij_{7e9n{~Dg_2A! z3MTiFq|x@g8$jLB3?#c^$Q`4ek7U%w@{t|wV%_@SPYyHi456jVkECD-$LN_RWoUtU z1RWhdR8beo=(w}9NKEKM-eeRxqc;n!VLH+<1B7@lOO|5h>`d@Av;D=;S?9%zxE3?5 zE~HyQG}<}lnDz|P6Kz_j^JiN5n>=dk7}l^h8)HuCjAF?5vyG6{gzRMO=xt&2or!4- zPD0eJlH#~oMjx<9CTM_lACHG*Ks`AbNywmmpgFy)$ z7bfPiEmODZnlj*Jn^il@FX}O5r>Z#}S?vLI(<_vCTi8x*>}hjjVs{t~)Xx%H7B`(j zLo^RQIvR^kyCs~B&CK_7TgT_OBFeh-dTnHSsGrd!)P)LUMp5W#frqwWmlb9R!2pB5 zt&L7VxpyD(Zle>KmWK!fkdUn%n1f8qM_ykCnq65acGEVv=z!fUKkI61Ukc_@cAas* z7WJbiDFZqFAozx8Q=9>X2QJoAPoIZUCdua=vs~SH+wH>~l0^kld%IYkUXeo9t-lL# z1|&_DZnXEX9(k|i+={cr6kjeWJggro^offr=Aw|C|TE)nVyq)RXdJV(zYF`MBRaOkRoOjLm8=y>Xq*DR`aj>=@;LgMA2Na~jPG!?suk0&zFW@<>?9 z7@X10MQRwjU!4W_mE##Kv3=Bf;p=Kc8;RuAFK)Rw$;r~COYL9&@|U=8=~Ddcx##G# zlhD-Kiu)Gbje9ni;~(uhtoQFWtaL-;JlvMF6FXyBm^iKhUA1ofK7KQ9veuy99!EjW z!DJevnepA|va<1gT!Qan3w(Bn4k(74;$HY?(ODOheeP>P!)7mjkDKWVy)#kc^o3p6 zdE^qB|76UcvIR+3vBB+a!Lf~WMR5=E%6sAR#IfhVWE@2)DaTQopj3>r4K zUC(3oBz&HKuSO1@N`BcKh_}6Y4wl(T_!4Q&9P1stY**ku`}fFZqv>cNQL<*4!v#x7 zuo|rKxE>Ao2V6rb+4vOm-)8x-nZLi5rT1D9=EQ?THXRQ0bqVuWZRMNezx|Zti`0!Y z=J)}AtIRrrKVvo}*T%c}=>$7j=ZCS0`uAAhyLg$=3+sCben|kne)ikWJ=ipDw!`G>sRg~u^u@U2njv>(C#aio=xW@hqc3YR>XOz+3 zQm^hPc$GvsijG4btcl&sTIocEdy@&^o{Qdtg{;-R)&x4aJtTZbn6q1H&b#r?%)>w8 zZ5qKAYSA@#(hlJ7tt`ss&m;v|xYXT=U&TL;4YrP_cVi{pbJ7i4eKH5srKCLwrqTYbv@mxqH`(6VoGsb{0e;E zDq%~?z~50bN?lddiBFJASiFA*f8W77YjJ!Ov-5YLg{0%Z(k85p|2vNIcba$gM)Q>{ zy&rGcX?Qew6=iDU=fQhOHo7zi8n+kz-kk3Gc>7({gJxQTBHWK(oA&cLw&EwLqyL5} zytdmoht;^j?3J8JTeF8Q`723l@Mv_AIk%+7%Hflu)U-W(W;X59M43_QYYj^u$DQ$d zyrQH1txih9M_t{Ig1L;wvy;*ES{yZWKoe3b@zb7r>0GzqWtL?L{yAIl zpMgKo7HqJt#8j&i`!NZhOnVL4)B8}rIR*QzkC|T1{cN$5`P{edGF-(L{aL#NfAH); zU(AIsuvLAL`P9kg3ko+O+yVS&_*2-*_VggFWl?fH$#4OmGoLlX{98w9hf}f7E~f77 zFed@O!?JJU_iLG=)6MTCLD2!C`o4OQw1agWTa2G|y0C0LyqA+COyNsCf*PJ`?Lzp4 zeR%QEZTNJ~t4Q|KVHfb%mljfF7J|QR#tX;p#A5puxJk-W3*tzx@Ihzf*c)o`?#^P` zyb9{@HjFK7Hg#ClF$t^Vv)M|3+pKqSS?MmMUY<_*@_DqeZM*0Tdal5~*m-z@{$c$5 zURbvv z30KEo$02Jvs;n_ae@eVZ(HJSlLv}g7MHyr-gzfhty-1%^nTob|NZ#IFMg5ubTYpB)vw|wKlw@G z)BEx5Z+{!#``-5wpH9x#lTSX0Z+`Qe=I_BUTzBW`(m$%-3KwI(gwgoyzs7tCqw(3J zHD4DaTp}dfwrw-SBpQt(9*^UdS6)FwLqp;#4jede;J|?c2Ol0R5)#|(cB8Ma&%8Eo z-i-V1yAR=T7`pD@{TQwX;;#iK_D~Fm;d)L!NSsN&E>w)hNa%dSk=dESk=L2Sk=cg_ zS6y8ldU|@G_vOo%;|pK-0<>eSLrfevaNxj!0|y@ktQj+A*u}-gW*DcowibTBA4ytw zpMbWtFDxuH6K1_$?>Une_0B{h5d;DOGeJkgNN0izAwMVfocMe`TyxDepd68tlLMVo zbS_YjRa;vd_U_$_H{N&yA)Ui;2KX@LnFe{@@tFGlwIyGlL_qGlwIy4-eW;a8St6O_(qN z?d|O*l2=53u8vO7JqCIQbZyst(B$L5 zfddB)9Goo%B_|phD4jgh?3k@+IX&HP_OSV&A*a{U(t>g0#+hdh1(ToBQna?Vn%|r+ zj5I%ziF!;%=vN0F@m&Z%a-AmTdu*S#fj^u z;6jtnnPUcSfB0ei_d8}LJ`+VB!{Yz)Ej;?@qj>DGhw!=CiR;3cxw!uuKfn)v_(MGX z^dorS^2Bvv{Cqt4z31`53oqcG{^<#P{mR7kQG)U%k6`(#Ri+ne)v8CZFmWB_3-QSE zf$Pih$ngZ?Y|};q%9p%XzU7Z#iMEX%fFrX(QNHjI%4&((VcXz*$Z3?x@Kl+d)J`3} zJ1ZCubLx;zVc`V$d|reCEoQQ8sLz7imuhxmJr&>i&UcWXpP%@2=8(hF@Pp<_3 zJ7+ro;%qRI{AixZ$vq}1NcY9i)!dBc=5~aVeDG&yB;@5mMs_xQu^`i$(H=^IFFS|7 zI63XR-~BGC>N*BZQypZEyBv8lF2!K{WH|ZUefQm_o-{Y+Trm>)3nV)?C^Gw<}-1+&X znANxj-~ayi@$+3pxaJO}qH;ewopjKegz+^3_*h(NpItm(e4$_sPpRKkC>+6^RSN`H<7a zE5nh=Y#|n3UW=71+p=ZPU~38W?%@IA^4BM`v&Ep{Z${}vggTlKp(E0S$Y?kM+njTe z_`(Ma&5zIo&Y_?*edoi%aQV^X*OSqUwx$4jqftboz32+Y%wb+;T5(D`{7Eqcy8>n( zncl7-V(=kF4CI6~O*9P!y9Z6v?~1Z?4o)5l24N?|$!F%wnRxcuXUzoL4+6u<=ZsLW z05_Mn>nM%)aLEG9%W1>LKfh)sYVUk~Jz6jomx!IrxgOW#wcw4l|If^S*|O$OXvR#; z7CX7(R@_+Fh&8`ii6cjjVExOl;utQ&$1g^9@;=buk(g|K=0QBMt_oFEiI+)Qj725& zSpCupb8X#At5J^%%$Mal+qBVu@=}!WZR^GlP%fibX5|a+LP`BftlpZqAe;|5jUpKi zDl@FZ6A!MyI+m@fY8_r$T@RFuW#~jWG8-`lB`4{rK1Si)i1#Hf^u^5~p*pcxP!z70 zBJxu)RNCo&qexssB||}J`p!^LkHEnFPsC8q9P0W2hRcsu<(v*$?X>>SZ{jD!iJtTib>%`r6bt)O@u#ue!hwnj7@F)6^|dXf{~Z%kSL=ZhH& z=hGC?$jyJRyLfq`U$?weF1Q{whN%!$HTot>O3TkWZB(FYJvOsI6|~?gQTc+)NmjOE z<2pxXgQ050gLv?Xb*M>v7S4y9Mw1K&li8^lH)ak)|MjnbZLXaNO}aeYl2@gjZgS_f*IsMx zt=9m~HZQ_(`8nNk;gp;LWcy-xecfO1#V>scU-{bKCsO*MiKYMTo8QEz{^lmE`Q2|4 zSBFQkj!Yi{r<2csOw@C7Vtr|dN!JE2@L96d_w=`VTn58%@);=#K5+x)U_0JAS&bYF zi4qc&jd)t!x{St3Djpj3#5hmsI6v^CEi-4`qJi)lufrAeR3m;jIhbro^ z`so$@y_^n4j6umsJTB)m_)<(ctN|x?DmhV_CWj$!A2EdJFEE4QyYt9v9bfR39bOt-G$j zTX)4us3%#y0uF{i`4TJ_BU!!7EM;HzOg$EcAe!r&5Fn4Z_uhNW@Xzw6f57yl_vY&)=Ay)+{pT1Bl@^Vf0iNS&t-&Bt z`QFq0{ykVexM7x&VL15=k33v4XFT7tFlX^Y_}bV078Tk2IPqqD;~RG&uSN3BLH@w} znv#6v@~yS09(9c(P5CGsYf^yHB4{wDso^M&9@79%A&PZtVL}Ot_;#$Wy8rViM1Ad1 zRMnUcUL5b?P0Y2ZucRs7NDL>R;n9Lk ze_UyX-Cla>CA|3JT5Q#X*h9a^iWMudXU~3AlAO%HdKR>M@nzR8Af4HdJv;cF?R&6~ zpRT!~!YrTu_)XW5s=R|8Z!vAt4(uj5x#?4%!1(dwvEcSwNKSTQ%U|@&iyZ4-!s_}G zGwXk0c{vs?xy#H!*}R^{$v9o6EnbLnr7d2>PyKT%&N^)rpx>ZZ;I1X*rhMgQ-cG`x zJ2D#?&WD^vy9@^=uRgt=BqE`Ed>J@08!_COnVF9z{yvVLo>pY!j5l*nT(FU3^&!&R zgNO|rK77~=muPN+p!pnIwrnxWT~AEYYhd_9={ihM*F+vpbncqJA!n!66+_LN(7m;E zRjXD88%|JhZMsb7msm8$!3)AwGuQkY&=` zmW`e3{u9;Pw&Um^9TvmMM`azTqv1Xe_4fcymCu1N-b3Tb1ud2Xw>mWA#^S|Ov(`nRzUHd#3d&O}bcX{Y@yJzZ7@ebtC3Y>%!J&p2qU+Vs(e{ zm#xVtzi}zc^kJ%Vq`C*`|#G9R3ToHkD)k())1NjW^=PdBE1ykK+kbKu2an zq3o)~n3w)8*8Xl6weEb#Y4pkPM49DWy%;x6E5S7B-0{~XNZ$H8>}q#pHd2`F>l1&U zgn=DLwdvO2b1cpsa&&old8n?gMlcvmTpb)2T7Cw0h&>Z%wT^~+va>aYF>*Zr{PU=+ ztW10w93O>z&WW6yM_j1+a^S#$0|z6+pgkvo<`ney_L_)Xa||>;VkC#+4F@?5IXOPp zY=f={d^jQ?Txj{xyc6}#sEci&2W2>H+qTV2$W1XDnG6q|t+QgqieZU~@KMRh;hz^!xVhGec3MG0|yQqT-+FzoH%eW6dZEmz<~n?4$cP#U9IE5fddB) s95`_BQNbZ64jede;J|@{3kbmf2U+G~=EDfcCjbBd07*qoM6N<$f*SN~4gdfE literal 0 HcmV?d00001 diff --git a/peripherals/I2S/imgs/interface_timing.png b/peripherals/I2S/imgs/interface_timing.png new file mode 100644 index 0000000000000000000000000000000000000000..2948adbd03296fa48e0adbbd974c8e47734bf47d GIT binary patch literal 22592 zcmc$_Q(z@c^gTMr#Gcp_+jb_lIk7RZHJR9)*tTukwsm5gxAXn}*AMscJ|sESr&Cp3 zy=(8a*V+{hJPoLm>K7KwASQi?8`lLE1E+nAjqJ5eMp@}kpKH#<5 zB`+)_+#90b=fkpS_SA6i!y^B^r79&6gQThtPja@f>V6$tzspA-y@j-1_jeUhX6MWO z*l8Coj?2+!XU>fY6W)_{EhmuE#&`u{04(Y z$jhr8546KXFs!`!b?HOVXu6l{EcEpBKAV@$5PS1S*+TZvAVR`!&y}cRa5+);hhdJv zH3UE);AIMjqKS!#MUi~*Cg4E|_(gz-(VN0%O>bw2I2=bsCOI31%LVTbft`WKt?ljZ4rK#DZ;J!ZX{Ls{y12alo%J^N=@yES0uUzl zwCHe4OAA+NX{lk+Wuxaa>jDKIAD=p*7%JoH7nUZwy=)1oW>PjbwnwXeVM?%@^@&7r zO2pk3%*ez<3{un+w{w%x*-AaZ$B2Hx;?aY)gsPdSAA-AFSdkVB<%ewvP736)r9#0m zC#Ne7QpK$#`Azm9MibD9+dG-7My0N|hv-g~>$P#)J);w+?Y1A#WH6s4|7ga9l>)7) zB1JNwWgJ5ZcC`j(_JiAsW^m^1xS~U@OoK&CL^B=>q8LiCCa0i)?4C9}JST@pyWJ!B z@83`DmQn{vsa($Rj>q$T<7r$*MYfsT7FR2|;@`>RB-$;h2?+_2e{l|Sv67R77{QI!XgF4!<$QM7Zo5}pEI{?j=Zj$xC#2-% zHc4YaT6}|K&SVC+s}C>~=Gya0wGqq$Dj8XU!{xZs$>QgtpF_VkhZE@NB*S~GJ6;1m ze+4ISK3fG$JA|4A{$`xja=Eu5gV%F7Ba$J@L-7p;l@g4Oj!xYiXE0@>&7G~Ztn9G{ zz4fbA`!^hMOxH451p;+_ZdR++!3rAB((2yE-N9&I9UVr}>Ry-8L$iml3C1ag>bAanw5O~RUzo+dhs)(8LGBLr^OjA|xZse@=k#^%-GPk?@wmX7dv}7uSe8u)AwqWP5M} zAqEl*G~el_s>O+zEuP^5q*ua1CvK@h_fKd)(O-Sqb?Scp6B(PzI>(^qdxQ)gIUmsK z>xasrXS+dic;^CFWtHil;FImzx2UR?B0$V#Ls@nJp1M%6Ac9)n{&=2-_lb{Z-v9>8 z=N`lZ>&$IMYZV&8@~nGF0l9|*g#p9tZ?rX-Dv-5ym4yqZC9`g^T;cy;LrW962Ncj@Dmgh# z!aEgEBL;0L`b-wZ&=mflF~5f$}O6*{b7PJB`ZXCOxqK&-vnDUHC+Y1CysCsOu~V?ysU*Dvg$;E1>v zQ^BBtHKzCN(8DZtmJVE{74Yrjqy*R(9iSgXNM1fz)>Uhnap4VX(ydOa+jhrs^BWw+KE9Kw zQmyIivC$2_;`W?9w0Wr3WPpDMVcof!p^>vz%T>7gimg-^?_qjB4tb=E0up5tO_{>B zy%T^%-cH}Xs-J>9q5tmO_OunquliU#WCPuq*z_uQQ?YS*`!~F?V!#a84_~}D?fWly zt%P}Ne>LJ;1S)lp;OF>t)dz@wf4P}o_6>DFe2VavEdJANU1WmvDHkT#oDu9 z5j6UTn=VbZoxFgsSouh%RKG=bL?RhZFp8^zhLCV4QbE!XLs$m&-+{MK{PU+6FYPP*2(Bkq1MR|%M*@EzBt?`1FoAw?v zS*0r<^r&TE>7dpyvz;EcLEl|aoe{9xDHBf;|3hCHZ09D88|W#T%DE=9g|B~RP>`+rcpNy zd`pUrjSZlMyr2HiALt-f+tu0Le#V{8Ab+*Ve#DuHw!XgpyS3QenU)2dGznHnP$*!WX1S1 zrj^_0!_Pd>TwGjMpJseRP^k#X$st+HXQN2^eXp#ntta@_ZwOS&H5cnF(4kQ&iGh%~ z$0Hd}eOT>4dPXm1p>Xx-3jKO$31j)ea!=GM;jYpF(g6b zX-&Q}Iid)_#X`*wjCVhZUBk4xFSR!u$Dr2@_VDm9h+3pS^wR%3!Q;#I3aXEMQ^?gI7R08bCzkCgNhwSX^ zgUCz)unkQY7h8hGhKa(>p3n8E$HbJ%#l5+a+Urbonp#>(hGM%s*8-Hi56@As4ZzDP z*J|lsU0nq(5)u>piK8mJPP9g)ex1)2hH<3}zPPwR*=Q2mkDD*oqUw zAtC*cl!6)F*d6-!=mgBR%I(&?y-dJm6*KapkttAiY)3{+jK9u&&gNv1p`Fj=LicT9 zmLM|4pQMU5m;y z_ZvvNgpA~}f0*SyBaw`^;i+zDFi{Yeh)>2N5{)nv41kTk9}T6|YOXY!$scm}sYUdi z36ol?HkvB?#n!%7p~KfZFmP=N()#M z7K=HtS413~u(1?2!6K#YhK)bI{{H?R zLIi`FK+mE8!kDTxQ{?3@1CmmYX2AE;dzwC>!_ksF-5mNNlSo`xdUv8A25_ZixvtI9 z)jOYSbGzS3#Y2OAQ4Dyhr_*U49ViJZr}Zwnj1J)XEF19>tkYlK<Av8i7K3RIohQVsdM9aJIhJT$em-RDv zOZI%VspokF2y};6+kK(EmBR-8VOvZ>z^L0qa1CFaD!LiYK@4i13^MWJtxyBqNCFtI zBXUyfZ)%L^j8KtP*A04!9-Bxwv6qcajWm?=C%%0N7@9mGS-SCLi)>kNl&YtpmI!>f zJJLwGre|cBrz{rpgFtex8}fUx(pwatO0^sZ@G+FY>zmoiNg1|meU+PgXlk^P#}<`v>lAO^Tp4 zB%o8_xB^URg9g$zJsY*NS-~3$LmGR!*sqfDJ5%ffk>0w$x4&7*Rhqaw#RwRgKv3Q4 zMF8YPfGpI{0*U&E*nXROi-ibmn~>4aN zHZ+=C!O-bgS}hG46iBJ4NVe4&SI7G@sQZ>J$d-gpt&4%1-^U9v<-q5y-Y%$I(II^3 zh~VjLb`QSo7H%QXwX=tQ_2`E%xUan<_wXXizq5wvV07J7sKnxoSPNds*udL9!+ZF)D=f8$CZ(!Xk)y!pEaVneTuH=v3_IRhlrF(W-HiX13l)m@7gCCA@H7#kI}7z$EtX#d+5Drj3%i~nL*XkAp<=<6Fw zru!7&EStqbr`@}+7F+#U~66~v^7iG-}ItcifF1J0U4a#s7k zQjf25WJEGPk5f!jH}SQF|qB7eQ|1zdBiWTTK{NI z;p<;F+mr7eN4{JIF^$-*U3$V`|2{qqCG{;Z*o*L7#Bcp`G_jZrwN<4lM(36-NIs%b$kB0>SMY~9!xEznjB}cK7pM*Uhp#6QkLJ9Gj zI&tV@w(`$@>&)7C`Y)WdLtaecA*93odE2|#cXXP)pn{TAhyMRY`Oh={;6+me7|=KL zHkOYo^u8EB-7#Mdy5AglGa3zlINe!>$r@TTPZQf>eF-iI<-KLECnDCk*z1NHEt>J${RtoC%-R|k4)?7H4 z)J;8glHIBe2H?{HpQ-zNhx>3?kZ}Y5lPHoIK!{iAu1wbJ+^KwCctB{A)a274pogH& zjZ~yoj}uTT*Gxk2$!ceYWB%q3-V1wYlGKOU}240%YKIJ_wac zWe|C0d*~lo@WxgcCes77E|%CtgVow_%H$ZF2BQCZoN;9uhrpK6NIXpn2AF6RxMAZr zOu;;fxW%{EXW*qxWbl~)=vm1XSv*%tHb&l9xkSa_^74}U$B!Qj;h0SE92^{nulV+| z)*J0{9U?3MRjf~=Q5yw>_Glte#vx4L6HHOeaPDw=E14L~maPfF6StL*3PJYN9!h4P z5|0&huYhz&fXlCZup+kbb!S^!h8Azp-B(`ECnXalXSbgdl(e*X!2Sgi3{=CSr?muf zd1Q$^AB1g2Fbz4--blh=I!_BV0}URxOXoYSdNmj>*AaZ}cRc5P0&c4wqyVn3;$t=` zUjb~lzeT{q&21R$%HJPJDagDQI4{gbW{SshC?P^4A=ss23J2tXXd}ohKytG0RUnl# z`i|Az?)mJJ`o)})jm>Xs9LH~qHFZK45{TX|=(Cgz7W33aoA|&Ed%0cE;SK<{nZ6#5 zcc;>SXxGNNMLLC*T&XaMTAm5uzY#EE<;QM;q;>6sJong_W9tUs1ln~j9E)YY%PdAv`yrC=?Lyp-6%~?~X zP^rqq7dE+E65fA+bu&VMHNQw=v|iT?!{gByD2WIO`MJ|CZL`%a{K4}`!TmRAERY&z z%cLz|r6*%D8D|5#s!%Sg^}nG5{%7c014T&1Q*W~h?Y77*>~?u~*FRtO3t)E*gE`ANXt)`5J3sxO z|1AJrD833nH$dcwB{3gQ<>-L}l7YGZc*y_hfip@K2;e;zcc;J9V}#-;l`BoBe!9vM zAR2;q+W^!KE&zXhfmE$?cvvF7P~1}BLoTTLPYywLeY&B@mr4qe&-oKgV*d6B!gq03 z70}d7{aBzR|NnG{=-}^U+44C7mfG78ad>GQ9fp zBol!2LEv$vQt#`RuV1SGDMCG2=>MAIeRx!%NIV|7MjO4w<>le&F#p+2K&QF5zW$(| zNhSXcrg5=l;O&0oGqa`IZCC*C76OuKrZTz6@bErhoi2M2_$~%@mZJj29<%@ag1#&o zn=Mjg06dmeF8Pg#G5_lz5XT25vji2Tst7p_B@7L7sl|On9M6FS2#|W>NbqGH9GD~U z5eAyxHfyksEsWxBQaqh z0CDd;Zq%B62QnbTqU6j>g4RE;$q7u$lg@G-e>~s3mangvlC@f#F1h9K{v|jvpH}`} zs(!dzu?F^80-X+Eznq%y|3$_Pd~|g5HxJ%@EYh!-R`y$f{Gv2OA4-hsWLN&LP^k!c zrNgVEwLs)4Gf_$Gs7=H;&mJ^B)FjzGHy0-!AhfPX#Ug^_Bl!%Js84Qq(r zuF0^`C>X0~(pVyovG3hP#m>e?S6*J;?rc^Jm4Xy?^hz7qWIT1sJv>r6B0_x$*r0>C z(1kKr_xC0Wd!py>axt)<7YrMs$>VAl%Ikd>$#fEAI|Guao}83Bqmb}V^Vr=XIJck5?rU_p{DOmv zYgDvspKl_p*vULGxU_@`grn_r#Ja!H1u_$wTymkjz+mVS3AEuQsue$rVKZH?_phSE z0_T4ekVuO=11gL+u&IYcZ`@a7?|~q1W1Z4&IaE0!%7{1I^AQE@N=+ux_s)O%0v$P& zh(!~=SeK}jDbzj~5#NlZvD^A2*w1zstX1bs?0kq%E}~_*-4K9c+ybx6dH}#zW)AUpr?j?XTXL1;{oC;FhQWNoyV+sL^oBe8`o9Or<*O1 z1bDg^LXxn9}3Aiz$8+b&AKPbv|8%N&tF!7u!xn)D{<~ zvjo1P0g+d^mh>^$XMqU#)urk+R{&WFZ~#o`#oTfvK3780Ls&7`RzqBDO|2GOAJkfG z9t9km#OF8 z8TSE~Y5;hbt2bY2N_^Jnnsl}C7AY(L+RQ#wb`>Q8#h{Adp=z9#Nk2( zegag?2iq`-0m(>gCBLj3yWpWyt7RHAaygw0?g72; zH5qil&;!Cvt{)5jMuTZVmo!JhI2>9AxMP}l;JW}Jv@BBAP7N2 zlOtEIoX|$xdp+(cNSq-Li zknr%}hyHEnSevz%-{|QL$5J#?3H9mp>=#kla1JA(a@`2#bW1$`m3rA&Wc8$wX{GcF z_{xU0ZI21uv1>eRdfcgQB+z_Pp$9?SIEA`=^U&RjBnE1JT zKuC$3knuSNC5v91U9y<(OXbbFnYcdaxyi^a{e)@9;c<(NOw3ouXD-$(R=Wnt+SgN@ z$LN7T13D{VMN~3G!&QWwHx_=e8HcP=I&NPopjmolagBJ~P2P5F9CuJkxv~4W%IEf) z;HsPB{A6>$FYKl=+(SC}n|~4w00_rjyL^&O!j|*xVF$s*)m5Na>~iYb$1rM(mD1L$ zMwsTBF;0;|rNzLS)keu!i_X9p&G#W?4G6h}X74l93WS{XTPN!!`(b{nrk&5Trk0qt zMHThW*=&yb9C0$GVyNn}9>p~hefA94ROtI`Tf{R9Gm_~s#+B$}j(Hus0#iDQSW*QD zZsiJFBi9n1CCmeSuE&8@2P^l#cn<>814*aE9N8MUsTmd4gs*r_Ct?m(8t4|B!xuz- z@uV@YR9K`N0KH--Cy8Z^GDjpFaGOvIvdY4&NBWeW8{lz)`1v5L!Z`k!R9S7RaI#5< z61jvl)~S_ddR6}$Tn*PMBohUXypvH`qolYSuj0Sz=FtL=k;W;UdxjDPgry;x>CZ|P zy&<4#Jr&wNzq^NK?E%@%I9)bQqEDm#_s}mG=8nGEW@Ra;9PG2j96>c(WxaX($UBEf zb|(vMqWGR>Zfx85SYgXX<@Al3o#j2R7Xv4U-=9Xz4u>^pTLyT)t(5T)#)Z`xL!+|T zCUGwNV}66Is;%8!0fK(Q#H0_l6;*tP+-Kt*7N5g-DC?ym{E_O^CVK`8izS-@JR`x7 z61A;#_r!?&)#L%Jy-MRf(bTsL0`> z$^3kze+5MZ#&&=tcwc~wd=Tn#Cz9_7tb`s-VULsn3COvpPrtaz9$;Gd)U0-RWsLj* zLQZeKhNAIir#E-(A)t`Bh^goUb!_;k0S`IDf?sbmzdAO_|Bd!~e@%ce&AJy~LrcCd z_O1Se#Y`J+@r(86J(E@>jjVZ2wDY#=lkMY#0$QBJ;*b4D``mcXVSInJ{QV1n8@V#J zcUwnc(uo4E{>+9)cV8or_d6-ChRkBp5-g?396z)%!-GJM5|526LhH2-TG7aCJiaYT z*chJ0YdU8>7a$)7MWyhsSZl9ke4}o#DwahZfa|KS<*we%nU*+NSj5@8$39etVEQ)93Nk_VziroizY&ahDye@3{b#!6R zTm{))zxZN@c6@y1IQ37)S6qlP;yEQ6cO3$RPrh^iNp_-{(X6bkjcu4UG*+9rCvnA? zadwlDaAvmF0AFaZ>J`>b@$&t5T)%z$u92mpAgtZ}nRmyx%3Z@9rM=_3;&X$)P7=2b zg&G|0z*(=QrEqX$=MCxJqb@34MM$AW2ll@9J^x6(a@4X5fe*NlSq|>i?5y}(>c3+- zksonL+_)TeVDHa5TJ5j2wSxHyiArtS8Nok&o0+4|yd2gy3b`;r7AssF=-u>(f_1zPg%2<19^E=fYBDP#9{c#gpvT)hd zU87^Lt4r{y&Mh|fa&zmhYoXc{?@_yTov5OBIOKa|V6w;44MU6bE%~qc4(JhM#nf9E zanHXSn_a$+S8r?18$%yPng|%F=RnfuLkibXAWPB*_|To_WFb74tEP401)==4T<9=c1A*;>ll+V5-2f##hBx%-VW5Ifa(E^#0!QGw+ z{jCY-UsS5qf2ZLQ`WCf3R=5fy9jgOcdSEc`kyo^N1NVz_IU{QCluL=ymw%|sPAmdt z^9_p?rKO>Wu+P+-wj+5@j)R}oL+(*fDN#37M(ajc&B$naaL1hf{7X=Gy)l4L#9`vA z?w&}hQ3brmNC?_t z&t0DwWu{T1f$U4ylLt@qscaEBwF5tc@!qn(W#~MLsT*{EqV9anU(}Hq%LM94|9yUo zbVq=Ld(gpZa@*;LdxV|Y>-7H0-NBqFGvk+t=}pcIp4@E=@QrwdMrW(806X+_wPapP zG-GB=fPcsVuzED_b8d|KiVb%1yApVTcrVz!;kVMON~;zJRnUxxqIjNM zABuWpy_sPLfn(UJQu*J$G+#AtJx~*ZaV-|iNnqlKvf0kQly!(l zsk~%&X)#C1P)1#LyuU{BSr5S^OwdacpT|!GlA5v*56$db=*(b^)*`DK^V?er{=7RX z%@pxP9f-gUWiSvKK_;N244GIVHI@4y2*yDLx=jE#mTuU`Re;DNPlUgR+@j3DwW zKh=XL2EGnnyW|8^a)Gq{6%-aX79wJ2$|D8*r#@{lIHRt8Q;j$9`ZDz|w%^8OS zAU@tZxb55$jg^DSGx+oPt>K(!}dj)F_B z_pwA+8n`Qc_l2`oiRECQ)9pylNp3i?MSqHUb=^d~--O3q?3tgy?b1jX9#;CsRXrCF z)=zJni$FPb{EwG_HV0B5*^H4nu~$hPPcrRF3aQ?-5^1RLQ3t{@^4D_svpeN=)kJ?a zhJUh@|>nd0-2^dM#N zd4oQIx^%I_&T-V=zf+J$*O&jnairR1MjEdfp6>rlt|)!v>FVmzG!7X}<4OR@w=~Js zEPi=S2Wp4x)!lL~i;I#pYs%8dm5v@RclK)LOhxl&q_VOhZHS55vWlYfC4j`;l^1Xm zX$N`$sg*JUl(Y2X@y%~o7s&Fta(bj{c_CZten9(jZ*GfW6F{x{PE;UIsD70Qvy8_E z2lnP(j8HQXpSDNN8&$-oxR!6%b47^Kn=4Y(bXppQX z=12@tTc)bLUBRhua11OOj8Q0M%6CGRlcB^bbd{b|Gu=y!E#6yHNF?XN+_uD%%ll+- z5iIGy<~L%cu+EnK91&5#GlP<{G+mO8IktF{LJ)%}j1iaKy-8;Q=c@CG{nB9iL}7L) z4`=wmQq@_sw1L9m_2!{ZeG3#E4Jp*=Q7GoaCIFm^V*F8zCg1megy_eu!j@(0T6pap z=@w$`ouU0UGh8{=u@Ta#?DD+7nj<5&@+TayuIuXb`vUzgL+k+hsFmy8yr(ScdVIv* zAGK!WY@CwiIlZi{nD0J01ZgbA>*gSu+UNwR1P|7-Pww*pYtFsiterrIR>*$L9K4dx3={T1>(m-;3M zTVou{jp=l{FKZy!f3n`@<$9oKj=X^JTVnP$ip`q$EZib9Uw#-Ja~}xTg9=*AV;MmB z++AQm1$2OcYvHnQH@|MPFuC(86k?K0_bn|N{s82T9ipio5oZBFdutj~%86+XqtmP< zwZ=%usfHS#x*qu;{Wsevb9+0+n=)Xr?H z_*m-GWcrn$e_)ZWm}27{tUq80g9wFOj366-_0^L{;fO0R#yHvjdWYMnFi& zOA5VvNUH5mnXJjTKUkXKLL>$bg+ynWY9)WxoK=cLLvc^mmz!wF}ps!c1+$XvmrH zTdh_UYH@w~FetmBzgYkc>H=tCk%!V9L^KB;$!L!geD4<| zll7Lr9)tdnpZA5LgDz`2dp3Yvm{Y6TYorY)~@g~Q?WRrGz}e0ZjbkxcZ9fvXz&*w!CNY#+RRPx~Rm zSm&r#Xs;f#3LnVFnEd=KxlR_T^p&I{rBwq^U-B?v_FjPlN*;TRo_HmIW|4{ZRb!0| z;9n`WlTA>jrl!~d_W9m#(47&*oI$P4jRm03qs+>_p%4dfYiPVmes}3ZEHv_Nr5SEv z;D)>s0>n6CSA+J0^){~MIz{(PfNl^?pw-GX!Ra~QJ4i%9NJ)1$G$ghzVwiO&S|=xFZkIIkH^gqc+Ht2;aJtomgMK{?d=}lsHX2neKgnyOV&HQyr3hMGX|t5 zi~VL51hpHKpn&-!98wE-rxnIcAr{8@rgM4bprC*RZ5E(T6!$*>LKdyV!1bZKs_ay+C|+$?Ov<_zH}yc%#OV2MB79gylB z!dNk{0nx?a=0Lt$2oP$4nm-t@3qt?h>8E{xEUNk zMV(NC)yC%IHF~vBc>cMjdzm31~4s8I#iqJ1h=+ z=OzUga581*qc-4SbPB^pI)n8!joTI3?dG8C{zHQQpMz^c2Ceo$>91MWU$8F%%l`?> zKy@DGe_sM+w#I&#f!xxa|A=51u65Cv@mI0(l&UeT5v$yg=XJ&cG}fAX7L*m)f(O>t zYAO%Rkvx`KXs@m(XR8{qn{i;~PX-=a=&~HfBd2*SCrK)?uGFr*Vt}GIt(r>ulPU*M zOc)q)YRl-kI>_RA>!4w)tFawxy@Am__BSEVe1HEh5^+4$=+p@BPrT&&v43uJAGliR zO)9=F|53&rKeWL{x|$s%=I)C2XR@W2w1>6Qf)+o*_MZDUzhhpnaWKDzE`H>W-eN-2 zJx8dM?Y#CPS8}f9N2~Lf<#}S~Op+w^Iw3$dg%+|j9s;-#qQ#g-XmLmAbkEJqECsb( zxH$Vy&#+vHHMiAiVsPI;M&WI$gJzMB=baDvxeh*WU#bwz2JAy(Q~{K1gzna;@8Glt zy#^U-VT?q1f9|bnbeRg9!@Z;QtnWih3xma!GRk8$+fgyhtgIT@5d3JDc3}NOakKrt z7mQ)!SlofunwqvUChN=1FLgpB_aHcO^S)RKh*(y4h|i5M zIg?4|kE*1-B9m6^Vb^5b(iryPtWuGrtIo7gQym!gm1z$cc}II|N&oUwV38%2kX#vNgnU zu_JtWF&xZ^6?tDTPu}nylRW2*rBsGwHOO?*(g$Dc)tJt0Rn<6AtIqw^v90cYqgtem z#eW+OlVT3Co`}%h!|_xL4QNt}U@ci>QXkJ|t&*X28ujYOJzQ-#d1*8sovJ53Zr-O8 z=ZSG~H-S0UnonO+#p&vP{9LzP%>7g|X-I|M|Jst|cw4C-5sqGn8iZ|ydj0a&c%viU z%f~z1558*{Urw`B?cP6EtE#$C{aM+bo=Q^Em;|;#{qnc6Mf@1+-?1>MS#2jLA&&in z86TF>INv(;xWcxWCntScr?=n#%>}g7XdW?IZ>Uc_{ckQy|OwcJukNPmp2`(=fu4SG>+HLIizk(W8F8W`-BYXLACqiDOnuGLotv~4b-()irT#XFdM6=M8G1#qyzpxoY?qb2icY zOD@~va1S3mZYE4}{!=l4biI5sduKamz^PjNm&bTDz2qK}y zw(K|_VCJ`;zV?AVm)$eyZ&uK)>r8M=JvBQeW;2t;Q4x29IyvHrOEh%jV{dR~B^Ai! zZ=Wx!!$o%20>#((hvW@KTXpURHH)|UMR^CFh`orvZ|eg6;^sCmH)g-=Ulm!U=>ypB zCQLFIX5!7a4DZ6_XL^Z<k6%>z*lj7Q?d!KeVMQ!-zC;JQkT%) zak{8T#6=GGP%h4yw$R0Mp^8-BsnPwQ2T#X~77?h~^(k=ONM7JydxeV@7(r78(`gqmWmI^YTi$ z`IyxP_+37ByA#$`&lh>MM{p#F$Zb6*{Mm|fRd@L&Gc6PsC&(XUiVNDU?ctj`CPc)X z_{B5l1LBT z`0$iDTk;Q55xvl*mJJN)+pAnlD&Gqw_dr$~ki_=C+k*{Qwe$>hR2o|GDW@qBNS5g! zy6s`?J6`%xpjPc$Hj>2I{_oYwWOEbRCxRDHCbO{QUoF_grzF<rl^s@^8Q;Swfld$1t2u*|N_@z@0$Hlq7w79uX~`6p>188^mzjsPP%y z<+bo3{6_LwBQ_p*-4pnZpS?6#Y*j#t(j~R){U;wf$-L1}B6hbQ5ati=0wk#U_h&qx z?DhnXj#3bsXz;{AB<6Hh<7Qy0>dt9tX}af1kZ_<@{|fb-nxa#SLC$2g`d4B<;LX6c4+n9*up=`qGqi*aLM$~^+uefXP>42bdQ6MBp2Od z1&4m;t9B=QCRJQr39^77{rua6Vt2FtS6O!6y~h8$EIaP#&y6f)(WfxM)sUhw^1$C2DB>RUW6Bu;e45Y}XmxwT?0;e`vZXsq>>vU^3p z*yBVV^|8hpe$t|gGZ^F^8FmY%uC}HQMC_Xh)0Ybk6+n$*ptimgcX1HH>e1y8Tkn&X z7B2*7c)o5O|AEVbAiU&!p_kE67UWlUnTKj0=-pM<$3No8iLDyf2s!&9=< zujd~~O;Mf3Z~9Jy%aGV_J+Q_OHCj0$gN|VzLr_K0)MIgAB&mip$+~>ij4k!!~t98l<3&DRb*r^Pgqy+K`2DUqL?+ws)g#T1$5k z>Yj=>)r&D=8z#0=xlidiCMHt5;846xhJ;T2wYrK;S4%OI8{WVLN}Tlb&n=~X;|3Lh z;HK8u*zs*~sdX4oWVKxN@?>58*I1c;Z=QnS`j!mXkO|8KSVU)y4zRFPzaQNYI z2NP}4$q#kpug;kUa28Sj1@|4;1}ggdDg?&lq~g9RcVL#S~7^%h=TX0P?embWfG4X09;o z_zUlM_jM)y(0CGsLlb#IuNJe!>1p^tA2(g-a|DS{Fro6aKpKRr9POFm;JDAe^2&XU zGm)3TVIfDiM%=b5uP+rc1Vz>~4{=^$irDj8gE?1_7qYY3K#_jnt$&*uj@$RV*OyEc zoo`oc)-d=ZuZb+D7z)Mbm@mBc2piXGt;x$v?qo}%qD27|)#ZNrY*Xm}cNn3{b&Uh%>Ndf*T#Ougvjj?@5Qzhm1`DpM zdMDZ?E$VOLb3x^P0jVkD6S4$svR`|;S#1KjUC!PEgQ+2Y1k|g^|JKTN{M(sUnH+E|k4Pw=v#iQTn^E_{U zZ-4*5{o?wZ>%Q)D-RGReh{?dvwE&^}n>#Sp+4e zhnf&cDXC&Z$oa9vCDE8l9HqQ`x64~R$c&FbbJZ2bpVxA;=#Pu&B#NKJGC*oA;$u#m zaVjmCI4 zt4K;1d``|!_#Vqjw8~5aqH;)_*1EN%cpHAlZdw5@RZ8OF?ml>6c$A?QZ?%^D)m z^5Tkzr14j#%WzV3+D4v-jr)biy-zKUA1eX7fP2n;7>1)~)KkXqwzea0Apfx;!nTjJ zvd%XR#{m7gJ(}z`MlUM-f6G=^{)n2N*yD`UAoZ0XkUol3LaEDC$`yTT=zB~}e<}@K z`uaofV@yw$!c}siclYw|Zu3RM+*|N*4B$%DoVNPtdYH@yBKBWC7~$FNBJFt^-q9lV z^K4yg7D1d<(&E1(bbPD4YAVoDoo(wG!GELD%YwzkX*(KzidD?VhQ7p?nJ^lXC3rfk z>D73_h4wsB!{TZf$l2t`11<#}e=kJhCe%jffMWV%t#l0}rQMybA1YxBe$|Zq=iXTY zR13hecZWaNT5ht3GpKTX&Q$1c_Kw~Z`;(O$zk*3X$J>vt@{i|vJJ|+Z6G|~gKv+Wn z@szW?YLlT7z0mqCGAC^Y7ypw*vJ6(%`NLhn0(W*^_26 z`5tYyU+)iaSxUsF@c?p^me>C~m1&(U|GGeFQ|bOyPG5VHRR5FCs`OClh`w8NzrVfo zLTj3q^y<=bk+L*Q@-2-nY&m{n8nFc2ZiU=;WY-11nPq2N=Xu7ZS;rJ46`-00?QJQt z)bnCp-Y^^x_6{Wl-gZEaP8lyx9j5GvCs{hfEE(Suf6lF&cR-ZC<#(q}#>fSR$y{;D zYbt?@j=391Au2ll10=JQX&)3GP~=!IoP8VWaZG<9&MYGUw0eH-D!os0H-oh&D2?-- ze#?V1F@Zc$3en3IPc2K=+x5WXr;V-+oQNeZi1i=a5ROnX4c}`I96~xdeIU5!;)l}l zxTFe(9XW)d3n5EZQhp_DJERb$=0nV8+L4R9?~WN4tBYycJ|=6sZSOzE2hYPpO$QOq`l?2+yE0FHpeP-p7)(A*QBM-XN^D+%IOs9 zuC|r#Loy;C1ZB2kGIZ-b`Ru6>yD0@kv012*v%*TpG(cX3>F&<29il-h@ArFb=h-k$ zHti=Stx@HXp8ra-CS`-XkmdUO`}(TQT?^lXO({EL!Fey*lwp7wOc}31jXV(IsTpxd z>qY0t^I9=6Aiyu*s0e6Kpb-pGUilLq7Q}}8;;%G^TP)XwegDN)VJ}JIBp?x>lBR)X zjS$nETvF^}`@@t;O3FXpnKDPDuWw!iG^QJfU5N2b@+CaQI%8Zwe&*Cq7SRfkRv*(+d1(bJ?tJsF?X`TTt@^?uCIUFZ-qf7u~3~qc= zu^!tpvD9nx*J2#U0r2T(tkk;l#80gG=aZMBrizR&Z5=HW4|Pq8uo``U@vzVL}W6xP2lMX(FoLzW3x5g&OmQzunBFMx}-J zMr*DiQA zsgP9>)i~&1y42Qxz}mGgU0qQ#ThXo zux(jeUqV*p`g)Mj-u*$^qJQS&3BAtJ5A8Of;=K_}Jc1Dd+p#Dp>7Owgi!r~fp>X3JOQ1$?bj?aTy=p*X#AlNs26*oJr+FhTEP4AONt8X`mbIMU_)K*W z-iD;FW?nx%y*nP7X3L^vy`9gY7%+0$4+4Q(T zkBWn{J97LB?NRfsKiLW?24jonM1@x|l+rO*%$JW|WL9u7t&>J~euLm+5AkFUT*E?^ z;i9MOIb&_LJJIt&q#IY-yXGxOe}MTQG_h=lW+%wW{hw|5@hPaIvJEJw!ncPt-GdOe zt8o6*IBA2^epas#wH*vYs)B9fWef>t8uPNC{qkZOGpOrQX#7# z!L45XVv!90mx)s}!E9Ibs3!#0w_d`FL$iSz#8ex7KpzFnLK6R?=(<2A77Mp~%B!Bz zqRJ`I8~nNSP_cPjUVli+ zRwYcc;tUR`h8xp4N6%zufJi7)%yl8mm4P;k+o`9946}&Pr?22X>F-0%i5YcL5;wP7 zsigMsQ$X3+!1LAP2d{oxkdR%wkGJQ@Z?`7>B4D2j{5AksSXf9GtA67o)C5QZknBqU z*N!1=UsT;VEN%doAMecG)C9l3riV3C35NEaJqMG&4mB9{S^<|617$+3cXJH+_Dcl9 z-%x|uZTj9Ul*R%iL<-|d{>aB09QmOt7oWoGGnoMrt6A3svdYbKIK~epVPDfEJZlLI zo0VSu74-W=lVc6{&re(@kD`vmy`qR)8&=@2cQ8myV4G)to<{ZEbq{;0)tzn=cMv|s zI@Z6B++2$%UO|+{SCRo9CMC3PwX~=I%{b3rFAYR+`L*|`C@)vR08RJtSNC_{xE*r1 zk>{A{u%r>yqnTV<>?=7gev%wigWj{yo?&v!CUQO_)A0BO;0i4>`fm$zsNDi?)0j%m z0VhXU5O;Gg+^lJ(Tc|%haR@5V{+y$p>tio$&$jKLp%h89R=Wioy_4$NAk}=NR$62O zv!i5Dm$RYtn*)!yu!wEGWZoBTik6*WBlAR{?R$37Z1m<>xu-?;)>k+5q zxLlUxzC@lwigKIB!Q*ZP*Zp?;bEHDPS5AWMVHeXaVDJd@zl#8g?^Ow-m<&sM1{Fq^ zJ?1b4_u;h2IBj=1U}t3@sx|e2NbEI+7UxB>Tn^o$X)G}j zQBcw4#@)EkP+7BTfM$E!?u*#{`LZ)&9gLa(Q0aR7_+Wq1GUwkin!R_P+0j!A$a8m- zj%Tp@9U9Cwj(+Wu4LA9I=}wmH)Pj^fO_FR;1{tO}x3zwkg?f3Au51W_J=k)o3mrA;3DX1(PuKALV*f>IG$@!UR-b{ zd^P5+SVr zW#}niFHYsx8)^m1K7*oS1=ybJS-kDz|gNO2j^oKj@9*0+6 zu;4ox?0WN!QIs#n`0s|dhKS{=LWke`Vih}Xm}}Lw0tP(gL#=0}1kx3Kc=P#WcG}w6 z<5(`cY!PlX^Tn1g$jzU~$1fTxRIS)wXwyQr(g6!IcX84#AOn5_1&kIq1NOsp9@KNb zNb2gys^cCsiL6{=o!4p5WOeO7OXa2QMRaa1_lxz!vR>=9U1$$f?AJe78W!v#u_%b? zO=P3_3FQc<4sf27*@|V&VeV|6xQ)ZDjk9q*b)Ro@U9|qH*omV0z^LP;dQp40=m+O4 z*!Irp4by+1)05@m6j)#+`1Tb++3b17R8j891ABVg&4xn@nkS3HF9c@D#!>e|!YG+s zloGf+VJ@e}(=||r?KMZ;ngf;AG&r8HKSSD-)O}WQuo;?W#(Dnp3#9{!Pnd?@#pxTp zksuOE=%D0l+AhisxNkpB_4nXUVKR;>FphOAD-)G?b`3tODQIFq4J9RH4mVSMC4a{4 zE`rcsc@yej_@ZN5kJd*^DpMmeijY|RM7&683|%3MrKdDxL`$Bj(W(wj2Ok^aWlTyQ zf$12tjS4Kn+REDTyYML@%&28iN7aH>&C~H!iM;%bf~?*&yE<+Ib4l~a&7oeXa+QS1 zbj$6Q3@oYL?`NFyoQ%jvq0n~Zmhh`B>sNgQq8ViIDRa;$8|s5k_Sz}Ve%|(pcm^Uc z^`oS0a}#wgu?%#@TP3ev;ZI&J0^M)|@{}xsB6AVvXlN)C3`dI40?g>_$O!q!RGJx1wRTaQ%a)y`rq)s|-I=GgUEH8Mv zWCFZ45oV(TJLRYt*4`Q(!m&%@Hi~Y%gm)O}93!49v9$T&-alP(-FT;5x@p+MZJ)zV zn)hXU-*3?dFz_dH1cS1ufvclNP@CN&d&{K7ot$Ui$|D>I#;)=fO!+B6U)|K)N2Kn= zuw*to27V-}e;L!8s(M78`HiEhY)wc;t3WI1kM8lX(wfnMtg6JD4>*>QB_%DQr3{On zTHO0J;r&NVXBUBros>rENygAqeFmZ*PeRH-{Q6A@U$9MRr9FVBP5(|1XE3FF8wOw<2x@DxV+r&Ec7@ubrt7DJ@t1{?YH=l^Gk1oB4gq^*3#A$5 z4#lgIx)JG^v4@1kyeU~{u5Ixd@ur*npC$}Ol}HUif~moyaSTqZT}QKHO@5v3yNlr* zCJsrIj;%W753#=cELaRA*mU7yglbY~c_ZflVt-kpJR%ighS@JHiSkkRVE>{r8*Pdy ztBSWNR|r*q29NNbWhEXMKgj6S?wXtL36bFK$G*^z#^^oHPvpqA)}NHLrx`24!fei8 zdM<^IYT-vS2*!Bd*Z!TDL9~ly?G^LTaxH9rnfE9}{LS}Zb@@ScSgt5?=HDAzIE4N4 zl+WyL`Ofd?jk-f#p!lO_dRTiMlY!93$@gUI?!4ci$$_PH66b5ywa@QpWGg~tt=NBR zba}{z)vDY^j`A+rX4Vhc6YY1r>IWO(`NQfiZ{U)pe(E=n%&KI60lsj{$JkPy}e5t2Jf1Uk01qB8D^JhPbOyqvPLm zsmJZdI|_NA8-;`+zm1w^IOdvmtNk;mJb$yr`kq6#ckd~>c@$@-62d)q(h z1%4`ja6K~Z_w5(-QNACq+L!-*^p*MEfF}v6=bckpQMX(1y|D;2Ns24o8uKr~0z@wq zyf-rqGbVlAUXEqH3hB6yXAVt>1_BKx$Qn?9GIf+&Xz8u5&oo&80D6{QtbF!ycn&VB z!do8-D8j|bBEu5Sm(y=uJ+Gak5A^N|IP-z;+$;xk8VO#K6YiIw?O-L#P~QgFOm@1e zaQmciwt}70cg3RB>fVZ0i$!0epx|W8a!|p{7YghQYs&_vT6)%ZgPR(z{V}-mU)lXG z*F&6lj*l;VD{i!qZb&ZNims81wVPb60)HMqbn?n< z?5}JxkYt!UT;U;gTqRCk;MtDL7<~eXTcV|)Hkuwe*H@u+%_nyFD8Pm1ahW_fei2?S zuvLyx9G@Os`U}9>q{)z+(4wT}p`uwE_-WIo4%!2;<+{5O^t;1R3W+!zo`b&;F*;K) zlnsij-MTR?w(fU)?w0sAl`>$A^OE z1J=K-PEH0M!{l=s^XBS{Ms^_PMbmh8AGY;CnpZvzE_Bs%8&ZZLIxQPJzfFsEMwXf- zhIovcri5KJvpEKUD8UPoY)F>M`6|l>oOlXT=woR;vp-C~dsR9;bRlKZJ5K3UZcbp& z#gfBath`U)*;2CtQ>JS70j`HMVGe!66c8$-jiE?Ru$ z<@ntFmlfV8KTMD%^~Uv~0Q*;1#?nrfD&=%;nD;z}HOy@~Zc=)64DUb~qAC$$D1<@X zLp89IC+R#S1h_y&+vJI}Z~w(`Bg&3`!4Agob@K*haq$BwWe>-#;Je#gVDW{a&q;^S zop8gc8N)*?;3+@_906oldyB35U4wM1&)9pM2{P)5l!qZ9B>w>91s+}s((K@{>)^Rl zhl(%U?ABE8S`FVtLr9jJ#`f*>18%{qb&u&E@$kGsFKWMjtkJoz-VGvXBykHto@9xi z)$wE+YZ=wI<@ogsm4UQ+Lh$elUgDVft`S^;XAnK>s$UA~;SkXW9EI~3!8e9^sP$d} zdHDF1LGv@5EkU5hBTZ8hn@l?Ds36OYXT!@;b^<&_zv@G8(~XpKoe-08>{f+rneQf2 z{=rEGzk&!jDP2KmGc#Bri!Ep5qLD|98~U~9kwDa4yE76g&YiZJDnoj z{qzbN;KzF*^Pcb2hJ@}&q6YT8eDyeTLWoWv^$-&JjsKudlfRpAK;v0mHhP`7UxhOU z^6Xc=9+GVutlw6~O#_mb4uUV{WfAqCqKinoes--xA%}YGT~Ddvq?nl`%asg~+5qM{%=&dX}bOD^6!hpdmEQgu!sg zP_MurILVVUxgvo`qJcNCUGM{VJI0A%3w-VNWG7ve20TPGIODWu10%ljE<_j~EQbl} z>bLKXj?>VGBaZ2fDs|nIMH6SW0y_>#sDG16l&2 zA<7ZuZb0ck_5vQ*;VWhrvappRsYz~6=BFWyceY;vHgZ-I(I4FnhA0pavBIR zAWAHDtA}v)!Da@+xBB=IhXPa4Ld3X`SKJpE)-+bcQZR6JJ(@k>YG`k?F_e8VLo34U?v zv?LagKA?o&pO$;qzjX$ieN<*DV$#1a7i`DYI}dn_9lsILgI|-%IB-{YBiSvD(6oe# zK{fo8pVc3Heuc2ds1_iZ3brX|$0O#_H+`;q81|2X#0mm633g-3O%IZ;o!KMPCG#GudAm zC5yh)v9yVF?XQ%J39z(R7PEj(!RP-BFEt`gUGcUpTd8Q%GlfBQJu1Lz20Ckj8{_ZN zj$b{mg>!-5$H`mTrCC`8dn%UHWm%9iX9SsA<|7$y^aY7^BoHIDZEngzT+8Hgb};C} zcH0@cj4&+F&F~D;UqbOyG&mr=rF@a#?L;+ySZiee)KvO-?{+Lrj_-S|{@+I70_~?| zpl$9#|o9uxSSQzw)}Qvg+bL82irH z((O2X#A(>|UmjI9-F90!^My2dJANX#jd485{=P38f;AphmC;HtEo}QK)qDHTHeLOv zeWbLpNjL{TR$7Xq(ZZmaUCWiT-aw32MD}vfVCPT2xxb!T?`6cCYxWJxID)u99T;&A z&cKzfy++EQsQ&e%Ew~~n=IpW9R9zkgEgN?!(0+-G!yJ*cc=Ij^ZD8_aev zqJ>g~Bz3ndP!T{CR{oW*2mv&Ihi$C4b$HKl)V%H?+;z-$0+2!_$sq62sMftUL6_id zy$-cR2S8?coJl)WJjo%)3T^wLIVuXUN%d-%MW+FRiw0_Lp|WWq4@=&%GPerT;665A zVY1kf&mFZrJ&vnEOA*SF0P;5CGbk&fIJYie)CTn3Vc2x8-&m4Ry47=>h#jO41WKOB z{Ov4J{tbI=9#%j zkfDm+-j|2;*_HYg8f@KVtYiKmL;aZ2_*A@Z_~Nx?e$Ux6TIkXGDmaVDwZ$YE+izTrQ;>;r?fvB^ zz4-l(QzowOG2N%nU3kg8NUgl>%6JErUYyn5T@%;|BY;?&&n6qEy2*8Al1Wlm_=HiM zNl!F|@x&>X($lnZ$bVhWjA00)((z$sy;)*q=@;A8^H82ib(!}1?drB3N@flmgsU>8 z71uW8`qQ)Z@QVOCXO>!|Bb>5B^AbR3zXcq;A4*K~xBA**xf)Q&k*whACOjo3qeU?3 zKLRi8yA3L~@r+;+a{AuqjUE{XAQq6%Fv zV>3qXl+d~cN9cV!`HcOZ!!-jgmDF>Iy^&%pk^tO^b>;D5-(hV8ezr=K$@pC$ z74E(58NK8A&AiRmEX@pi%dEcz?s+e>yKX4f z(fyUgE~``j$%DTytI|-thq821@ET-)gEQ_{>S_BvQzHo+djlGQw2n=cZ|jZ^K8oiM zBDo9+sq~zpC)p~SRM>mFBXQT|#quXM186UE>_~)+Npb1H=5xiDCchKZsB9J(P<1rW zls&j*J*Rv2G~yR7Ly@Lc3wopdIqD}vlSo5{)sb^LN0mZ@*uOozCAJK#S_#GDjHgTrga2$tHS7sj{r7J+yJ-ANelq@}jYGEzqd8r=f0(A>Hn*B#MGCK3CS z!^s=u#XpdV3{Kzo)+-or_5M3Xk1mm?UM>=4s`D9s43Y=vOsPsC++OUIwCnIpio#ku z3e!$HgLg5!n!V7l}uak6E$1NhOXVsSVW7bg2*nPL=xIW_}$8B!$VNmU%TeX$XCuBP~ z-QTK5Dz@L>T$qqJh?a*ek)3CGw_EsoG=&g%HLITC*=?^&_W z1ThdkkRaNfVdZ39m+66Ybva}!l| zDt8+mi(@B0BM|}8$Uf_K+ekzy&~Dqd54blsqgEHRMi7J91gG)-c3ryK79{j%?c3gf zC@l0hKj)1}c`Q4>P0mQi(plCFCs(T||PK{%Th8=&1#+qiyL?1q}V_>*KJwXxm;g(;IB3@8FBj6^IgOb$u{|i*;DD``n(3{ z*MFEjI@rfd6ieJAdi0T6^A4PXCx_FJ$T;R*=X#_EJD0r9l-%3UcBxiHu3eMqO80<) zz}Kk^H+(t{e>lp*6vSb1w<@X$>m`=TO>0ifE|)o!l&oi;dzojfgl9Y-)1E|D_}g|* z>&dd#Mo!*vzMG?P8*)7^20T}i^%6P~NuSSaQ9L7>Mj3#k6Vjo$JY2GKi{Zikm&Y>* z_eH{LJh4)pA)RB#_PlbW5BHaW-GHq-_uPH+{ahoDjH*#b8d`=a>0u6CaW#dNPd~6?Uc`iee9=8sE!P(y`B?~h$f|I4z`P_2a2VSt4tq7-EEcQ~&5PjgpdQ0QMY0^< zN+&l6zCqZQn(37gln%+8u7%H=*?dOL7BZXW{_9K>w3T;3j8gVm>U*)Vh6vw3Xwg3% z=DE;64ZfPeD$iY%Hm*A}Csp(`0Ss19d0sRiug%V)5v@$0A%$iEU&XwL)g9IbPrrA* z9{SG5>XS#NKtwvf>xO@=wtRYVyk50PtZ>e)ZSeXf#+&3kz_II!{^raac?JZzJ=#ixbeEU+C9>I1)P^j;pV&+lujx94>+Wu$^? z6{~gTl*bencgjC@%`jiksV;CWOB`Zf`c?RJQS+HA`Z!1;7At6ZsPLGf)c>){!}uAz z?rLOLdHAey-7}A9KBKSiX4)+l9G+~vmP|#wpp{Zk>ec#)9dB8nw%EnGBPy=6?tJwh z!nf3>U67S;yo?khZAU>jmW2RM07>;xzzb#U5fKe|t}Ysq>59va7)yE@vR}*XUp}uI zyBn&sD`g+)LDpOEXeG@Dv;!MH`Z8@wD<0?&>(u%*MvJ^%Hmaz zDui^eCse&z_L5iDrhOqz`!P96NJ@NN%n1FRA++5@n)pK9K5>VW*H>o3=#ltXz$QB* zUzJ$q)7;H$mLE^9rDZo1iapT$DwSz2JzAGu+~?OVCMUOZr|z=ly15t9#UH37QRtX7 zizJSp`D;Bw69-dW-PMqrDx01DKUcV8o5!M(Y-$-H7@EXAFssoXS=e0+>?Lw zoIS%Nua;hA{ZKP8JN=e;Dy3)Agit|Z>o#*#U~A(f$LaYhRk;JAqCA^+DZ(t$eA;l( z`z*WXNA4lOk`1a;aGRcjVlnjq4m%kg--2!wwV-lG_RgifCl)VoQB;_MB>f*C%Ag&p z?CSImUDlq78wg*oFQ9`8;o*9W){(1_kkf=3?NYlg5Uy7vgbsP#HN87K;PfrizZ8?3m)4&@!O%$e+ z+7eS7K4L{mAU*s7{Lx~L+o?D+&nOPcY_zhjVYn7n&ZhJDRvnh!0rOqq=P=%2N)qV5 zyjIL~mK`c7=dAM0WjI|dN;FDLLtJV(+4kEI+oT!zuQ4oO#XBcHWxg9@NO&m(77FZZ zxPkI~rTooZC1&*N!8`I2T1;*CjP~y|vEQ0Sy$Hb@8`hAHn=lP53#Ef*xMTQs9-Lq_ z4?=jxzNqCM4~^7#K{Z)|l{bIFPD?8##C>aZ!xaDPyf@cSB~?>9jXukYUFR$6ww3$q z$&yzY*&{b(f(L3PU+sQaQ9iJkd?UCT9+@m5WvyokR^(w$GxiR3c*^=NYJ{F6LcQs9 zTXpASG`;choex7aQR8sB@aLdV}kD zavT6GYEgk26=q%3&l}bX)5(JE|2|L8)JA@m&qw>HJo5P39-W?lw~)C?d^L$5=&G=@ zP|ei$C@ahIhyGF|gMoF?v-~Nk;x-2Il=$apnfO?4C2*~@y}9ot4%m^{p`mc!Xx{I) zX?FtpU`B zOhyTpgZV_~%=F(*9`;-y-%pU%?7rWD6WC^Eh=E?1^NZU=w~mWUkzf@3d-ty_C``+i zGlMrsNxPfZzRb}qgUbMRA}Qq-ay%y$kjEwI3r8+TWW_*vYVUHWg;)$*SYp7=eUr+c1`M9SW$%j|j*{P261)SH?b z(K3QhE7aR;v^_6PAW75}eI;Mz17|##t)0h2BSI_NZ#~6`G}~gYMOWZutC7?AwqFAX z&U&@<(Z1!N?@H@9uV9m_?a=qr{;LlsBm{S=?_U`6W)|F_pzf#5$?HPl*e#o3u5&T` zz&uXe2rtH8s2%5`p)e_^dFQmdTcPPBBu6d+E@X(N%vx9z{qgH+Rr`-&K_~=dpyHY7Z zQ6FiHJ4=EU&32=-0o?^9QE+vAL_!eW-r=xZHj0ObEohn+>& zpBQeMS-m4giz&FtR0M|A?;0*EU_54nrA+HIZwJjoF}F{v@-}xP=wHV_4K-e{n~Sn( z1gPV*NXf3jDDy}|bFDb7tkR`LyY*?gk^IVNQ3ZTi2d3=7f>vbT`34f^-Z-?2K85U^ zK1y?TUh?O%k@Xu(jj_psH$WmuZ+8HHSN68xY9aWQ^Fz7e-YW4YE5Z?BhI7T7GJ1}~ zMG2VVn$7*5*T?ZB@6wl_W=xsevKbn^?(1Q^5?s465U)!VUh=XRa`WB1d3y*1=5o#m z`pQ1%yuMRGe%&ogO9EZXz9lTTv;33|y?f4v--QCob%XuVTQyfkW9V0!#kfHRO+J~o zq|1&R8%rw!QNeYL!JBcP*)}7pb23nP6@1#{cd2evPk5DiV~py|>a~F4`@#o%kZZw{ zDAMEL<0KY=CC4ol^&}`rXzzTz(+N{%EW{;YyXJm&!*@1y0={r2&K>g8{xBFi{_*ZN zuru+jOYnbFFujwXR!Y74*ztCnv^MOwtf0dXm8AkB)T{Bi?1~qlk$eJY?d9`iytDDl zbPc{Xf`SAXuqM85easO83QmWh8ExMkKHE0FNG2JbHQ?-Q86lGciGDlAxK~|H7$eVj ziA4B`5x#1s7F86Z=QJ5?{7Izs(CMhI)yPH_P=PsFE;|yy2Hf*UJhh+SVZ_(?W!CG* zCYmoXS|j1|EoWgvLFw5Lmv+_?BZm7SUZ2*D2&=w4KMFMcioU|GDsst%ow9u==;ziWG@>RnQLHp*H2nqqjGy5o4A=UhBsYxMy!TFeJ# zln6eX$thtA3g1usY`-B+`lFLort7%zfD^eB<~>;Dy%{>NQ~v&XpAb+DM%a8KQTxpkHk0z1{Q$_{ZRC<{Ri_ag%M0JwRv*nd|z z_bKvD7O*WjGzL0`|3OmtO?(i$m^xb#V5%*-BRWjG{7p+8ellNtfRpr^6-uh+TDuUR zhbw&j1WW7a6~Wxd+QW-CzEOG>^!`A6c9Z!!?%slnSB^w!(VXvWBwlP!3y!5Ex^Wlu zD51|nTv5XGD;Et}FYP0_Sru~k0Q4*WJfjp51HKO*9n|F%YasmsSCLQa=CwS?{UGt} zH9ZSC$7m&j>z;+Y_E+<3c!nZMOS7lLZdwLCR3Q#9i{Zh>{KL$8jr9LUh6d4PlT4=&@fvkW+n!<$(d7BF5m7N69i6Z)LLs4G%W zAH+ZG;_vm!Yo_EBlPp4^>Stn9MsfG*oKcxr!-?;My3gxbd(DfCHceLjZv|xkDf*N$ z+UBMVq`Qj7jb=a*bwJ6}9DC4^GWV_Q)qan!QjZCl77TT~{ZEu)ajLC*58iK z9|Ac?{q>H<$ze~+$@JA9xqn!QjD{}#&j3Bpk_OzP}h6-aTs3U$LnE&rA$~|X% zm}rn1@p7*6KeU68xc$(i{PMr@@rQGsLnF0LlN((upx0!W#LX>k*Wu^j@GL8ml9lY~ z|Cb(#{g3ef(+C9xGc-H+#8jv3e*{!Nkip}B>Hj49KXyhz@$&z-)8zX8zd}n*G`)Kl zq`fj;a?SinbuBwCUOO|=#|R4I6^cc~+0?A;f2sw21k)*8l8HV&J;l6%1tck4 ziMWSy0ga(|^9#jai)GR(F%LWsXE^+)_3-Vt zf?Wqi30}1S+hqJ7_4 z`VG3yYhl4LK0ZDh_~Wfjoxn`xz-0XSIi?AI-Zai;AG-{xdIYIITSFTpVG6nz{KW>2 z8Jxcij_$wz_(<{zQfRa0+Wa3$x-d-VeHR6j>*GPm5=h#2l#&Vx>J9A=9~%VGUQPUOqs97^?!Y1pAjLNI`*c~K29eXFA z8l6q|LhDj!GZRIeN7#ItBrh+ogtKg{;DTH5mU(=1d)Eq>?Kg*(H+*sbLo7qw;pk=E zRqezKlicZ`H(9q|W2KtJv?bG*+B>u8Qf6xLUqH=mcP)pYt3jU0iEPGiSd9c$GL>>} zcgKIGulRXZ2g&q3gfTtfT22oL66i}FaGzU#tFFxorhQ7g5|oWBgvNySztYBuYb;R4 z9loEGpEoq>N+G%&%--WEyyV!O)mL5O7TJV5x8k>|G#kCmm6s?Q2n4rxDUTg0J=`@c z?UQGCICK0DlaK2;;o997dV?r|8{O_NJEmw@d-y3JL!*`@;3Gmv5J-W@Kd4G$^P`DZ8=SAE>b-%1g0P zi6*JibXk-Zgsrn;byj^|4fcwz6f&^Yj2aS%mD5d@aRh&Iur|o7i-XYeic0Dxn%mat znq{%A(O!vtCh}6FOZK#S5ce$;xh$ePL)T-ptMx6D3GIB!NOLbqG&0#ZbUDxFcQ!gh zKHplCwZ_#plIK;oP@>UfN^y8e{yKOHY0HW|38M5linlp`(BWmf{w=+g}zLR%fC;KBwq0-*h2I50HY-kECk;33o@yx6g| zZCPrOQO5JB9?v)0!K-WizPksAMDFt8#+qj}@$-J)yZMY9TsqDiy9Pp-5>q;f>khx} zpdPF}4eGL$%)PnnaQ$)XA6)JT_|)d%++oo?Eysiqt&~bWAzJhc%Bf~4IU-0Io!}vH z_=F4Lb^CAcuSRi(xe+vLMnQKud#_lm{>=b>f)M;rsI`3~tIqOMK4_0QPN4sM!M?W+ zP83~3g%DvEwGZ_qGw)6jYlYNCV7`s|O=1B`K9{m0Dstdw>-6jpYkl@kU}Y6SKYOm= z;!(8yJb-q%WYhy3=3r&P_OQF>4eg6Q2{z8+k=sazn=+l5;+x$Csq|A7E;qKy+_Rqy zOSlvjXcXqXksZ_gN@M25AyZLdsKF(3%UZ?j>b+!9e!e>~jLYf!&-{e^S)mBW?+na$ zY`Ep}IlB3FSpVrjUGCFaoRiXegfeLt>x#3hu(dhsj%lo_Z&EBDG+lXj(D9c6@d9}G zU3Gai<=^{pabivS(BgjOmYoG_v-4T{+|j6E4Pk|Ne=|=ui;=Nm&hf2Cgy7EoR?oGNF5n&o8^<2$+P|0btsfw|R0jJ5s2zQZ= z&+N|MUi&?~k`}J9Y)bQZB_(#1Rd>Ja#LSKj3q-b|c4V!GdcMn8-cxx>V|9b0p!Pl& zqHCs<&WCWAw=A{F(M6O4kF6nYa7^HXqngXVs!u9O!C>@lAw{rRotOK&wyZ+M9 zaRlba-Px+oI=#|;Kobs+mFaz$bgr@TWwHUgaI-z95SquEHZfhh4AYgw@m1|m7gz*u}v^J}7suvA6mFx~scKVPB|-|!J{pQooke`!$sQ2j+BU)@Io zSkSZBgCMK;^>BtRv*sK=K=0P)Lt8c0iHF2ijfFu`<9X~$3y_J_v3s_}_B(^C`fVn; z*Yf@fOm#I1WnUts|d>3qlvas!prof}`h> zfsQf@hET{Gi*q4~h11r_F9q-mV(pm@VYwyXxYPnV;V89RBpO^I^`YggBnL0hh#gcM zhbeH1!afEbENggoYb)aB28kZcJC8#=f2A<|T1m?B;esC^&*{6t1Y76^n#$%#6i#rF z|I{CTxQh10$d-jbE5yzc%mXpr=x8cF0N44_k#;;-5p{h>bdB;x!h01BHbK@c9t0C1 zJ`?fF&hqK`j-_v#XSUOp36CfF2Eiz@#wWOdOFWT&k9EK2uoL=KZ1HF|Sd2<9GSj_jLP#$>=II^c)MH`(BPVZ~uL+<#fVm3qM$jFm z`8e)yNNa-JOylO2kW1WF!Q;o)ve9XqvTn~hQe#$W?E@T+yFw{DQgQvXOPDDs$Z>f+k|FVKfO4rR@{ufoekxb`gwPQQi9IrW{&VM-M|F&&AW+5Edi{E!jCV%8R~SG zNFdjb*oSX1vh^rk-})^UkaTFe6ZBg+$Jzfu->=;Iq08G9Q5DAJv~g&s12{n46olGt z$I^Qz>CbFh2DgRK!;Y^0s4H;>)^L?Vq@r(d9Jim%`)!i}64TWYM6a&$tBN3RQ0xQ6 zyoi^FEsNU6 zax+;`mAUok%iAJWo)M0we$A>WIPtV0#mD^v5NA65Na#+VU-^~n@cQBl|f%tbZAn^D$jD7qOJk zW#g{0LWu94yk4!{uYWPM{%J-!1YBU~YneJrb5N6&ksCHGY#X+g?l2W!9OmVZ2e(NM zm?>R4km#M$lw|wQUKeO#PNAJ!d=NRD)&E#?a|OKz9A6~@;l#9KTWLudXNA!9;&Jmj zFPShSQ2Kk?%#mY>6G89MZp9VRctrgHmA@-tLw5i(#SknQrqXr!!%F9WNb3){Ai*o} z%rkZ^=+FNmSeF}(>yK{>!@FH*d%_wlzfpdgJrpP}M0CY%Z!6z%bmJRP@$+tP%pe;$ z6jYFN+P-BrKd|eXt?0Ra;ndL0OujZ$UhVoT8pQ*g+;sKvC1yfmAPWfTc)?bsbld_TtQq#;X$oTfYtEf>!Fy ziakud+Wv3O)Mc#O;$?HuwW;w>Gsn2t{7^JNgx0gkX4@214+?T38}mx-vp}TdNlyvW z?>M`3>-=-^xMNQ>_r?VwJoD`sw4qtl&yly{z#8W+1ljuhR70p|;vTnC%XO6ALkJkpTpQ!dea0dIc*D?V*b z(FWI;^z0~6%=Zls-|Vs$Z}`b4O1y@FSXmxQl>MC5yVvO*i%3*MODnaLcLh@7hPm?CB>OZps~)oNhZrmEQ|!8T0h3fh#juySZduX2bYI$x z&?PZD4OT%yng%#ql$q*-VVgWNOfqk2PfAcW68c{C(D-gAlOU(oPtO)DTQ7 z=!tb)s9b*>A||a@V-iK)RD;|g*bL0#pDDk^P#(hD#be))1C|g2?i|HawML%-IT;KF zlgFR!_o;c}vkMRfJXQAcQ%&6PPJ&gX zjB7B$<#pRTYj>$HM2>&Ie~!NTP>}Y4V7?B2NPl{ooop*gYUC)rlqKNY#x3e<>~U$f zQCiL=gp}vb-k05=(ufLRoywwoTlfLL2-h$}cCAbkL}B;p+yvR%`oR_L;ue@u6x_jg zSF6|R@#sRuNH=FVxc}gwm{+*C%7J^U#)h*_eXLs?`CcW$<#iQ!&YyOOXVC5;5a&2q zHApp~Z$J_a*x-nH!Ty450+A|5GgsuZ+Vx~P$Vi=nVm`JdI20W8xe~1OE4kp!2=WC} zVQI`ugi!lO#Un(D=%m6?LxVg{mU`ORh)cSi3x9a{3wm!vyLr7Lh%hMtf%{F%L3W%# z9Fbtc5mG;py+v|x0^w1;-FZpH`nZv!Rp1Kw1M7Jj`O2q!_k|h^D9ru&#N zg#uWgH;0_qhpant^1M-ruzUU2QGx6zL`Kdg`GkXmn8`!;&#@dUbaJw@59qzZ9#Q>F zQ8cbSOFo36IOOVBth)(k@#LtG*6lq6@Z?wT@>=D*TH&L_ts${v-w1xNj^t0U6@tgNix z4*QH-UuA*UAMK{3wE1^%EaXBy`WzsJ3Ivf_^iW2mAlYTl7P&Pt_HQ}QI~Ym{MoU|u zi$;Yjs$xLWVG2S)Mo#X>pj@cL1g9GI_CHtuhvJXmZF-K!{k^?k2(+rzKPxKw;I0fC zk8H}9Qee?7!5vio+t*7+_cX^L1wm+p05XSuf_76}o{nNK^{=;Jn%4p^54nB9 zVN#^CfUHccThopVnZ}WJa&nSVQu+z`SU`#25YCNL$ia_6-*QG!aEJho4FT6WuGP?S zfO&`;TFNmtiB;=l1t?!M{9|Sr|7g-)9gRI(TBTYR9k^8r-cG#RyF}|XVtP5)D_NDt z2&4C37=p7Y@#=!J(v!Lq)CV_ zcR!yTpCHg@Jg%=HAE-n>+Z_?d|JlG#NV(Zn`J%Ih=(W|cb?8To3=CU(&+fQPXkkDG z47d@S#|NG_!+iBXVoOI0=bx+1U)~Gg9Ux8DZJ3AniFPqagFgQR=$uZ_Et!wEbYd0& z%oyCn9&)HQURLk0omOM51mM6pN;RJ|3Rn-zooMI!HS^1&T1$9|FfRJqBaRP;-OWM8=dK*NA1|r9Ho2Kv!u8_jd^6UR;_ix|WK}U*k=(jb z*g9qFXh9K_R$a*!rE60hcYJs;weO-z;~pb#^m%fOlpJ(`G<(xqVlK#(B&Uq;rV1m` zpZaYA0~WdTM^{4LvLiRK80sA78sa^2h)@P5sWsCG3{^!TNIA z!@d$f8PEGab+SwU${z&A&cVIi7_EW;&rXqBKp(|<(k{bDg!ajFz5XZ>7Rc7JZ7U38 zGX510&E%wUCobNdKmL<~$v_eKg0Tn z)y*skZIjLM*+DY+v?1Sl?-b^9aL;1WkVpV`O$wEFgJRt1iWdtpT+rCpc=U+&*B@^g zR{3z%yWg0EMpfBfuG15WPX2c)!f(dAV&A+uvs}OGk31gW0uTqMRKMA1R!an z?bOM1H;^0cw~|V<5nW`@rK7~<|6&qi9^&%(mQ77_!N^n=BSK%F*&;)4CbsS%Q%NXp z#cPPa&SC2XT(6ic}FV?iimA*LoC`xnsSiLn75)C}vwKWS_m9b_0bp~>a zOTa$ij%u#Qk`1DI8=#_-!rhXy;6K}hVdOrJapJ9NhslY>`qv!%)e>-Fhhnt4_UzXj za>dd%NP(GJ?mIa5dR%_{*rBCa%}I*vQ#ok5AHBAz@P@B@%)yQ6Ku6e&4riwsou#lwss7~pU&fso zH>)pvdkqoX_*H&PRUJSh4v-g3m4P~2Yr>3epM3fsAZ zqR1vUfV%x4tH)`9;QT@0M#AJpB7{h?%8$FaOzfBi6t<9zCLiV10gAhZ4sSl3F255I zIyE}6Z?1iYyTzk@^RLnm5S+Aa=;df4OWJZZ==~Q!)`R_TC;8p=Fvs#(ICGO^Te=CK z%qb|ty9F+=%UUV>QjZEV#&81EPP2dO`Y{M5yWd@U#({r%*x3S&+uwSvObiN2*K;~# zI#topuK~Mh-6MqU>rd^rIX8!{ACGLVo>8M$^i5ROOBgnUx@7cLWc!zZJW3+z3@a5%hGf=zH&{F=elzz}@0H zz_GKk<)^+zy!07+<54^nrlZz)pQ9!Z#QH_OKV>Xy8OWU*@j76@Z?~0Gm?cgch}$ke z2l%t*UyY0~#2I3qD5M5`<|b4&8hySPzM?-eyXR~_IIwgx9&DkQmqp9hS5Uz5puX4Q zCovgdJ{ln)NTF4?(G|U*1mRV77!1X)r(ix#D(PV+H+imlWwZV@hEMY3`4$U#`R;JH zK8J&igWt8~?oR4{0#Z3Lr+IG5c~2^C{O!5ORryszqIv>w46xq`s9PJ-Q7~ zsR+VaX{VuGtcU$9E==LS4ObsU(w4~>u*qtA8NTTBe0awW+y0=IF{iNI`qS6whgKO_? zq407KxeX7V`KHyx{>V@RAI^UFTdOY1c3D$f-5Wb+I;tP*Y1&|t9X;~ljVHhtcM|=!^4WY6^N<*k|n?&nB}v{=5g)=>IAcR?TTZzQ|)Y)@3_>FH~fI_h9iEVwEt&QE(T zmw}%Q1!E&bFYD;*Gbo>LS06Eu+5j)@KW(b7q5~z47UF(j-9nW*G$?JAJoMMzG93jiTJ-mQ^-Ca@2?W|IDcID)10Zm*}O%?f_z{*aqDT4)kqDi z!ZHEko{BJjgD}P{PC@2zG#QY-CviaU6>3}p0LSFa!*#j2c8`3n*(u1$kuTI-20eoV zqfV_Rr9&Khta2{-dLYT!!Gh4)Vltp?_dMU&6|~8UuY=(Fo;C*8beYKx{#c278Gl`3 z*@^p)9qZR&swsOf(OF0R5U;92kYcj6&SA*rH2sbR6@ts~2ofMV zf5`P*TQAt8^|!?Qr*-cRZ+idxorIlyV?-^#*=}xCBy_{nG+g!zi_$ZFT?4bkRa@`f zhQ5dLf&%0}`-~S5-w($fpC`8Zc8wwfDw;im1 zQ|U#vQm)7GizusaSt!b67eTi{cPG~YGH3W4ec?6S*=GOBlZ-FtgHw}2Lv+l38@RJu(7(4h zo?Yu}3E!BWj;=rLw(O*9K2*5-@i@SPEok7O+1XY5K}uzz{$<4dxK$-1NDLaQWj;Ciy_!tkP=0RPf1UBfd0?Qvzgdq3vmCUbJCwcw;pmvo<>wChs(B2HYf=-j z$W@eJOE(7=YgiqrkUq1kC7ap;(bW*hcu^t()9>INFa=yyQCr#-brq zPVrP9~Mx<0YjOgvR{HvTSaLKj9ra#snaLd zy_t+vjmy)ezXc6|S1$|A2kYSM* zJ!32e$BvP{UyF@ z0=4|7$0aMdpTNy{r>(!qNk7P!uu3;lpE#5SK5_Hpc#+@O367S8i zOhcTPw6p9RzD2`Ze+SwG)GswTy0>;Y@@MhwgKx(P+6+pY2MJ6<`87oX-gyw00hsam z!_Ly2Zn<$9L_@*Nn>S?Vy%Gp7H^)j0V{vpJ{b*9i0MsIOPL<6G_-VC_DX+pvT!H-^ zHRDtIkhF&1zxi0Wsk9i5Moh^-lbkBeG^mwM=RA*g+(I&3TXA;N_pS`nIrl1K`z4P? zQ(DJrU7oNxW#7g1P5ob#eRWt=U-vJHf{K)=NREPZcQZ6f3aB6m2uR1!&7jgP(m8a8 zbTiTl(xpRpNjDSRGx&YqU)_73d(Yz^&TwXC?|shRYp+kNGgbE@0;o5z09d=fX?z-h zSACEaC0Ot11z2H`M?FvW|EoF!hvY8p?`6@|NzTl00mUKj00-~uQfqU-&!*ixGQuY8 z^EoDvIhi!4pNFE4Np9U3kpPq{-;@gId&<3Lw#K;ZV~2SWIC>NcL|l>L7|u zw{HP=0&vjWPyo)Gzu_0Xw5J1TCPoS1fFr4x59h|x3YTv~iHV<|-)1-;vq?WGzv1>a z6;>u9-1beF2wzY)F*=&`ocx2?8-4va-xT1;^?5KOh(%^4v#LW&xEC&ivW1ez${w z`tLChQQve1`QMeI#8Q(QdF%-$dQUjb2k}`arOU%^Xb@|yRV@-llD&$~XBn@(_1u)j z@RiypD@nE;3frh3v$N^<-*s7b-nnk6fU-Zp-A^#vpj*;;L`FX<*YFB4J)JWS=t;Y^ z;)(8lC^IptMfTcze^E+tihT)=BJeZ0sr$e-%*Jr!LGr+Izz>_RJp2kbM{Rke36^@c z7cxY+OWSGkBPp)mrUNz&1P%$W*J+pPo8<_y`S|0)`(XRaPgfXU7u4U~5`HAEnUrfY z`-r9wQ+uXQ6#!N*M7&6BEE5c6q?PJL)D*_p-uLfg?*I9eT_G_bP>7-XmAI97>*GJ0 z4iiB$<@$ps8U`IRXSUR9<2CGs$+#!UQ|(z1HJUbG?xS@us4pG372{|ey(;SZJ&Dk# z;{%9=(ZZpZCG!>QAbE&cUgXSo559N00eouNuSuTq0Wks;4Cg11{4S=U^1`lC5F`Iw z;Ewt}HuZdg7RiR{qYk(utmsbwjWF#q8AcH%TD3gFjygOzOVan@_o|Bh%`C&me}Y_X zQM|c|Y}E!~?R~0D>n>?(Usiv8GnbWAG2Zge7Ai7nSH4Jb{z|qMEO#WlY})ch+WkK!T^v>3oE!8E^WcIl! z^;3kp;E!(lx{=Z-exf6PQbBv;q#c(b)BF($`kt(+WSyiu4}slE-GR|R?aaAc+1XYB zR?v9X5c#E7XRCDkR1karr&sz3g!i+Bg8?o5mmX^@cTNrrZu5#$fkwicS>qDIs_AHm zJ{~mJXD1;P?NV~pFu~<2=iUt`d-^NUUeQjnyiHwx%kBXq0r%QC1#d}~W=X#4ihU`l zZdPHJOk~Ic%%R@TE}n}EUK;+EN_aeU1uX-4`fhh!1W%zpx0G%IzkpdXZA?O&Zj=z4z}mwTQ`g3mZ-36OsP~O zuJR4?@>!fi^A7*v((H9Lh{T}FN zjcmD4wu91-PJ=C3LW_Ygs}(p5G=L2F{aq{Qwfr@ZE2JYpv0u&_yQ z?8RxOikezBqdSR5kg$dr=%G-ZrI+3h2!?Uy{3mh)-&bZA6_9zh3pIEvra~-!M%0gU zbdL*6w*&e)9D$!6?;xq)oKn`h!nAHQD)qn;%6ocvJl8u2@00l9rX_Yd&8I#h7OIN? zyKr@l*UT=!qiMYnN2mM}+^9z_EBLnE17w$xj*E>#n28?hyW>J5GmuE@HI<5$8U|Wf zS~FbjN7~@a_+sa})sqxAA{Jjj3P1yw2NhEs%A}t?LQhZy0bXsQ&*kw>F6RTgD7Ev+ zAKVi&X`RGOV8TU@x#1sVr|EehZnvw!CT}MS4g6_S&XP@>JOq@TN-ErdQ_f0noiW8$R69J23PfgR(K;KA_SZ}bKT(Kl6%Qqqj6qo`8amo>#m+N zRm4L=Ahkli(2=GMQxLoun(mt)5;}Lk`;$W+DH9mvPUv&q+k!gFS4-OX4H!WG;L5e{ zc*Sn+C(QbY`FyzN{e||0Lj^2q?pW2xzE^qk#;r>M!&aGNy}1nH8H}hWYR40rXf${1 zpeJeT9c<@2Q&q#it~09T7_7MPS(3(Uc5>9N3Bh0pXK6oPTmoIz-;Zh9X;coS%2!Lv z%FShH5RD|WGcCCWL#{UaoN`U8M;-8yfOYPR?8-@EWOUQW%;z+6*bbl~zF@U-kFPSP za}eRyed!?aFBhJvJFX*so%E@MyY;(YOdr1!rQYk)R)EYs^8SpE6MPrrUL{%CPycoG z<;|h~>N;KdRL=ZyC0TJ*Cbj32V3L)b>34S zPNUZ`PK&2cqC^S&7I33l{b)ba)N|hrSU9gtXbCrPMK*2B)Xk}Z?!Do5-^D$OR0MN2 zLP46uqe3lrP4ETNmtLhC*z$bFGdxuAJotU_c53pyuODqm&c;kCjv8de?jquk^QJe2(Q$!-Y#Ebj1=W0=7I_x*zBN;#94{KJ zVu**(aL$o_%S+AVve`>DPdU}JJz}`V&%>>#W*F_Ob0_+9+KX=yNK3ol&1#HNG*fcI zA$|x*78b27JgWG~6qiz8r|y{So3;aLl^$%pOlx;}?IMmt9p2p#B_3H1_}zcWo$9!cAE z|B_z1aYo~ODUmm2s$|%xWLUV8$-?VU>-5@zxQA`$LIPhos0vGc;7G|~?FjF~XDeb? zxziRChi0uFywc^gVk1;d1mUH$6Tc8|RB_yp!MM8{8J9E!vG#Ch_sl*i2fNjnahHIR z_gnOJ&PGT`@qTa*zSpoj03Yg*?W=c)fJraMB3D?|wP97>!Cf2m{3Unq(hs+Dr)3cj zWiP^shhBauk0BBO?=MTl@<%8b#ZLQduvj+VAc8Y)9d$0{H94q6&8nIYq>>&GZ(PHi z@2?<^1KnoeEVYM(`@iIt-44>d)-%NH;0eY> z7^1>suCXbh`C`1>Rebj;kb*iqNI*Wk)G3dtRM~A!JAU_lCd$ge()6P|L#N}8f_EaP z#BqHGenN76AQ`uv_9Z|GW>WTuo8w;pDD`-TzI5oKI+Qi;kRsVY!`kG~&hMg#y}hM~ zXemZSNo6pmVlC9qvKW;trl|&tgzp4Eo2Yl9+=Z1+};yGc0+0utAJG)`wK+-HQ=wiIb|3K1{E2t8&1FgHhOkta8-XC1d6 zDkJDlJi~Q&4}bR9sAzDJM3n1X-P=NJ=hnCHR}8I%oo+zuNDnr9wH!`0=qOfSFRn}- z9P+l!rkYK)`1ml`(4|;Q^p6{l>Vy7WUapS|4;g*O+-?nN1C^OqWjmoS1n!|%n_ zjcg63=T_}{#_#yDOGm*CsKL*UFzxS`f^#LBNA9Odh{-dcDVu(wr=N|;8hV3={mRLq zgCl>jNVd@&>YKHO)(=*gqk__XhMoxp?ax=mVisk)U*4MA3#jNkWk$EA(|QZ4MzO#d zj7s&D@=H!>)HN$~GK3ekI+v6~wWaOldYIM~F7Ej(w-JX_>V;L)wxSBrufKS&haKr$ywRY3*-ZBbA1uAu|B3W2 zXbkloCcQKfRf<99Jdn{ZrHiv#)w(iR6Gr`!tJCfJ@lpGp`(etQ3O+vmX1MgZ#G1v4 zfMMq<5667Gn>U8c&48>sU3B;!0IN2r52a1gX0>^T+Ia{nP9g}t+Io6=u7@zC@4YQ; zFATp10XXX6DIvToGB`P_Wt>&*;g29_lpS=JBi2*hCx#+Vm!qzgqWAWb^Yl})2cXdR z)v&cn@FC{(yU-)?PvQ=1!+@Z#>aRuL|HCNT43*GMMnnIr3scX}v$_4p(8(rA=|K?# z;=&&RcR9EQhiOg@70mPo1z5;=`>InL9!KpaPUSR+rIw4?V1>4whRJ`Kd6MgDX_ZgN z*CUDVnEdG?-;ihM>jUNdyqRzP1nSs>ZS;QVimARos8RRsVsjI*}m z_SsyUC*~@5#h^SWDJj3eCnqOOTh1>g;herfKl%(Yj=x$-Y zi99OOZc$3RhEu;k30PO|hjlG0&|FC;sBRV)7ax>chKpS_Mk->-f;vdz-h=l?8;7t< z@srvu__E+i%D{7&oL_(9*cs;vl-;Pg;F!$I# z+oQs_oLam|FaLhjXk?=rMa9r%JX{3@h6B6*0c=a(=(~-my6;AbI{X5XYvQXR=)p@@ za#-pnG~7T>g9}4qnquVk+emPjX!08$)?S4oKw)wxe_)u?3w#8#UR>UJiu1w3z6fIU zob3t~vHxSg`P3!EX8|_R`D2C?=R*wc-!Hzyv^2rlRENq>7H$x`jq}($byN4(KU$7d z;fvO@LF?-{!lJ3+zrW_bxsrwm>lR@PIee-3s)ZDGiFEz#fxgryV{&7<2JED-&Ik_X zH64T{=ygpn$e$P4-}(J`!hzRDb>ni>cY#%Ha_c(IEzo~zI4Q3ZUol`-uv7|RTv~L# z!ba|Q)l#UXWwT6`BW+#c9!Tyww*Zaab11SlQm*>jqZ@k#m3`kGM3h;aazx?;6q36d z4zDRDr->@+x1J*|3(ENC=hP;D-^3x2RCD35v3z)SSw!*E?Rb=9`4m%}oT(-MGsT@m>{0}e_=xL#i?6xaZ%zug6eAqjlaLRJL7 zP10)FY%dwFlBRW*7LVY+j-Fhw%YegkHQ^H@;|kZ7C;btRw$c_G7+fl!|ANsHVNd>Z z%zo!z%?fHWqSQ~!_5Mw5RHiv@{`GgrYccsWS5a*MdApja--hqORW^oiQmU^M_7>}u zFBDq;|PSjFipk?&woR9K0q^bw!!@2{n1&3n~wSJSJ zqMJJn^4UKFU-H#0eDHEWy~ewQ02nA7>_C01X#eq*P!>$d%PKTQUmw0{iv$Y za9i88G*Oq{iWnPtVRoXJ4m=$HFs(EKy}zs02a}Elr?mpj!m+!{-2NSl+#)tO-}cwW zR#)@#pQDlROXN{}-I;IV-k6rU25X!qFm-U8adS08IQ1KIpbZ^?3xNM_jLR}{(Ot)iA zg)acNl{*&c<&A2mtiYQWZig7V6CMKS>bck-Aqi3G*$eKgg>($N{$DM7SJNKo)PK}! z34M|O3P5Fn8nfpUb*%w4^wQdgSP9U#Zyzphep~1;d=CNk-AR1rV@dOWc6Utx&F{P% z*+%Np`^w+?yCIUu--9D5vqy}_1Rr*mh%fhuR}yM*s2RqsYI19<-*R>U4NbM610Z4R zF#)#bpMj$uw{rAud{E06ue}enBThEO2{jxAeMtkvP~p2nJJ)ff4*jjD55EV*>=g^y z<)lqFqog0tJjQXpb5i+Zo3jpnatQc|alYPbbg=O?&8O;i6>9ld+k`#mt?RY*8>t9Q zj4kE&3y&EZ?Ds#*f8`CpC@}yMJ-;rc2iz%bBC(ba3`UB;w{oNO5?2G|6@8@ye)v0Nn;zb&MPRm>Yh$zo_|R4 ztnL<0+6OJY&551OE0la0Q0@uu%wHoOxedI2Of4f6sh%eKK*u?C`pIWCa=dPy9J0>N z!qi5fu^$xFZ0+nk)kK%NmgWxP>k15a#E-|cHUUUnO2}bjgu!Kb0JzcD5jEDE3DQFt zppBvwUdV^ZS2N`dJIAk3^hBrsQM%`9;Q~6y{8yUyzpwA}KTq15x#3T)fOWe5N%@fB z3ycYQGU5p_@ET}s%ylfB{*836VbCYixI$+Nt8Ht)iQU!M-$?ATBEm#+XJf;XJF)^RWyc3_h3KD_ifrSa8a&4CG6^blnts0e^li_-6<7_9cAp9 z4_rHbJq`XCo;!W@T1tE5qjEzWidND~i`a8ddU7VnhkfjTcYTW)wxX;y}hg$qCs1KPP;}6bbDFYoMbrb{$kOY}X0s$}V{d$ru*T39pSIor@m zjwra#ubn#oGqnZ}{ayQ$?1D1w;Cr&X9E^S)+0~V`Z6Rcs)nGrX_a9kVIh?>-X{)_o z9cDIFKIQfFSZ9xgh1}^E)Y-ZklgI38fc%J6eC}7s=ZK}-y{fEQe1L{4`+=@G5@MgI zK%U3akzHpq-0kA@l1c5kK&bK+`c5)ch{tIJ0ZJvwMKW4r76U8#*0dj5c*{kMMPfa6 zFA2&xs;h6PIH4-Pkp+aJrVBVFC>JrDXUvah9 zonXk+*g;>+p+JR`uv6qx%*#d6rD^VA%|HeBI{}WB#%WpKv^WvQ#>0<>OG8|q{)5Yp zR94i=WOw*&YLm#Q@m>9nMRJN=L2PzhqoMB4L@;8!UN<_Vi0H_OO6L|+Ys+o*UX>g>L_Z)5Qj5!a@*6hPgbn|n6 zlbSfY9+kQj0bg^MA9bo0Ij&kr+-cL4|Fe=>XQdGSzXcxV8DjJ@Rj+NbE8+&QSja%@GoR< zP}qNWZAUR@LHp2oRU9e6pv<%K{za~i;43F^3`g;y^#0x)N!{nzVDS3~E;Uf^LpTjO z)>7&`=1Xt!q3Frp;r+gRi;UmK^U^|F`RW%{N*v*iwQZ$I2Q%~s4Q=qdvXe1G#QBB| z70`Ct^K~=yn1<=hYjEljQ|^ZAfC%bj)4Y0YXNFx}*MqPM>UOh;%c{k@M#%)JTMXB| zWIlHIa_kiBKMB1PU}_PnlnWFaW7n{>NM}EWkIqcV`-301&)r)vBwK)l>}}ti9GoG8 z@8Ndt_H}0M^#b}?-!c82^5`I?vcd48 z!%xepsFu2dhBY~_&kmlqESKL^K1J^imQ0|O^;z5kkLMIks)4!`L1FwPX83c*7e+V;2d8S)uvVK?k`))PcINV_=SySHGtC>G zJ>C30@&0X^2(|HVQVm}xXZ<+hGPrqHM=1Z0++}?!g1C@46J}im7q`3+QIX+`>{EWA zWH@nWY@Yj7{ALYym>3w_=c)qcObF%%X9(bXew}lIvbtxV(vKO%=FCt|exJ);7(S!% z9y@nqzS!@B3m$jSbV%EMIqAN4UvC2S`ml0gHo|%#%tVm6-72+7AKb*S)$zV0F+(3l zH^!4+@sA&$*`?lQogQOB6Q#R8mm7d(Hws#GmjpjQ8l{@8K(^PxMZd-_G- zoE?&a;%x%?#yd|r%0J$hKJA}_7F?d$BXyIaP5X7Uq<`JXl7ukj6~Y_nh-9Ar5rVvC z-P-&XpymciC|DvELj~+3wkVO-bACUlhfCJ^HP-^(7y5FjPN|CP*DYSYW0r2QrrOlL z;T#P`9hB>%_X^0VoW-V}Fy^mk)W3yL3r++F`ml#GuhXG*NYeD#dCYj?lYZ3~>B$U4 z5|}`WM7FZEA91Y>M0quk)o z5tFk$PO(X9>O9ZgOH}YgvAAEuE25?1b{}r+EHstMFaw=!5u;8rOxcs+HLr2+SV{4Y zx^?0ttzK~!eQ(^db${sy_4eIz0U@S({YxeqILcbcx9@NygHM5Tu{wd-cq~hP`4$RL z_2#G-*ViUz&xUgsBo9UNlp0sGt(TdEuNvycu$G_p%(CWeEMe<0k{t zc4j%V9FA@SHwzVf54{8lJtLv9py#VNr`xtBF2bj?=qq*u>F&=}8Qk9A5Z*+E33>xf z)&naO91rM!|JEKVoL{okW|Xy9=|D{}QwkI9zJ*Gk%di)JE@l}d*p~3r)_RnMdVz&Y zi*E0Q%f!sZ@08KI1nJ7+73-p+Rw^2n15yVB@RB2KZ%S9USPHd+qf7D#o^)zv~Yb6c)6hjl;Bj7Z0>aDRTcuXTSlI{H31 zg9|JQUAxkTtn%gu$C>Rq(0F6rwJNSZ*|QOzXEyc8huNQosxPgQE^vQN-BlVpCwDo6 zo=>Jjd+!(j9FxT3c^~J>YITD}@_AE8mhIE=jFVc1C-j+2Jayx!v)RrhTNQ^H&cWl!^ zzEv6s8QIu@%H4k5K zH7GLkZWCP-sgm<5svrsoKIGcmLm$9R(ceu!b+gpciFO>WT0;#Yt^6;v-HGxX>>z5+ ziFD{1hEsp&iIeJTG`W5mh^&X@!AePLk5v+%Ft3^fU-8Gq`SX%LbBRuGiL(u>O5F$= zG3R}!^2%u26q78N&g(O`#FKAMH4z5`3qnFO@;w|V*QvpGXDxyDuDi+(`i7YpQq$^v zeB&&U`0a`=DLW37Xxh$>qVxVPFefAUnRwF$dRrOD?CO-`j2tr|+ej*b!6a z<9+-pT+`5CX~VnSK3=TNfmHQd<#Fq20vJdX0T2W~5c%N~bawP>u&?1EI;yKMXHl1l zvqyPAe*JOZZnZmtim(Tjz!T*Kpq0vo7hk$2BrHcmuc9Yq2o)%=gB$NE@dVxhO36sx za2LG^)K0=4)SLjT=lCF9bK%D7Rq;=p4`p4cS1DjD9v)snU7a@O#BYtd>MLkwBH*8m zbmPNO;a10$JNotZAJt%z9KOgl&`O2)CF=0(@!u2!=N~5#5Z!N$3zw}{#VYMHQn4tB zu&eou?ckaArjt+VJQO0vpG`rB2gAst-E>o@24|uyI?6S}(Z%xL2j5fG5l5J6jr7}> z@2-;FC2j5Uo&9yQK$@`mT0lMCMMay~NQGg?<%h7#bVq}(Cpk$R?^etno1L-O>ZhWn zWiy(Nm$u>gx`LYt_7DaeG9mN<<3pDji+h?9*!g&tO$2_19Cbu?r|>fS3M|YJxCnq` z(3S}&h|~4YRK84`bkD9v_Fc*z1w z&RyuDpnPR6Bijjnyf}An-;it{5^}~72!l0&NQ35L248?8ehAQdAm?Wmvc_?i_Sh^N zTdnFLs-(w)q|mFN{OQR046Y&}k34CxqSDHQ`M5ChH@*d@a>eS!dAQluNFlpa{Coum zE+&I4n!Y*Q(hy_6X=XgU$JAxyCIdcoU3WyEor8m!EFq4OwT@()Du?bHZrYtqe4^4* z0_ah$GoN9RPA(Wlqn(g z)xoY(sP6d`S#~x3NcR@;;mO3LAh{*PSz+QDPS#_7K!Nl!^T^b8;ZK`U5&Jm7nMM9ADUiW0v_ftvp_l}@;M7+f(7SaYKaI@a*atw(&o6X`CbP&Manv-^t>8^L+4CX1sB zX2m844xhzDF>xOPotEEc>`qA)gyBp+rb`1fmMF^3fkjZWq9EL1nQWylms`z~3IA4{f zNMol?3N&GomFc~1)8E0j5-jFYUrvmFBnVnJctE&WV)2-`W}qeo3YH;;DcVK!&eg?N z{kiAN9s4=LG-^HOtP#7&vRSuL21f{F89~Al#Kd>LIh%+$HmZT|4F4v+KU$r=7WaLL zR<~TeAbl>f0ca2tXrU(?{ir%_0vim9Zi?b^Nqrk|HYKI76g4>CQFxBI& zEXGSpLaE&jMmB1{DXTilz^Xu=S=TrF%b)kzVt>oN=#2|aiYyk|ir4g80Z&2&_-qNC zX_yr1sI1wl=_vCLvy4Q+yc|(8YYecL;lr`k#l_W3v<%DZ;kV(UD&!k~ZVBzsx4Wy2 z8U#CO0YmKBKOnmv6veTRiz^t;XDy>X!9pf}FxteeDq$&boW(CM(WlBkD}E=R63P-_ zw3#xgAc>xq&bcvK&a?f&a9~9YX8vx}`T`Y_}N}a2Beu$)pmArQe zRA72ocz+YK(O!S}99Gu^(g-SVE2qvM$;#Ay&2%@s!9ZcDRiMX+7(YcwNO+ngo+e%U z^_H+ZXXcQ4J#xwo4qe7KJd~~0a!e{07R_FHlS(v!uxMVAb)FYE*jSt8pDBZ#9B(O0 zp((X;+DpVrqWYD06Njvys8*!P4Q0HlE$ z0;TK((b_Ahas$0J11@&d$|?Ky+I8q%!0DjBA8Si-yX;_-K&|+doUGecPvY*xAP7)E zuLSd`%T{>r3B1XmNiN&^tgTp(W6704*mePqDaU6vEVjBhb%G@9OsFF z13C;1V42P@QSXE#fwzE6t8-!Z;hk!DtOiE0AnGAU1XU+s6g@)WHwRBQU(0YrdEZ(M-R_w>$0A-Tby z_&e2gf_mz6x`-@a@M-Oa8Jb%XW8J3_!S$lNuqQ7*^I(F@mu(1c{PV|3?s7X+V4ED; zw693h3PFylAzu&lUK9+9ND5tr^_o5Ua`3%6BP%lEQ>oKAg|mBZZc7X0&&=gfxN$eO z%T-3-*e)l|)D@=t;zpj6>tE>j@|XL3PI!~w5;*=LD{SuDAdUa++NdkI1xKIYU;led z_y32;{ktspzmnLl6#E=%pt>REDPN`Yb+}@0;U9ebjPdL7anTtpEV#!UCvZg zmZLUy!}s#ZBWY(zpDpiFkgAKNI32|v^4_ITXEhA#U_l$%U3a8D>JVNve3e=il+r8M z;Nm=wK zK&w+;K1#2-fW+vN?hdTquq|%c$SoNdf=ao8vxs1akc=;RTg8EMr7T$n9KJ-0&k-r9 z*`?F|qNCyxS%>R}psejrN-)!)C)S8^Y#zEWbbLWtziR0HrS@Tf0pr6hBl5sPF0y97 zUDD(1sBR18^En#Mkq@52B8lwJef|ImY6paO8w!L5;Y;HzA0D(_*hyEVK!qaaB8GpY zYl8xt{|;BmQXehJI;yP>&Kl3`9#aoXb)W2IOv3s_5L)?u^Z9c+g0d1?#|ISxE=iuD zC;FTp`AqlipVB_9-mX&@?Ussj|E39-KJz!DPDo&lZ7s3omlRfs%k?ypv~v6Ld6l!E z1b;g<*-z1Nxqyj^gGkT+0=RVYXA)Zv5Pi2z`hL>w3kg!qekY6ic~l-TeDc1MHmKX~ z2ZEw2^fn^Rxt{w*qXrn*q<^^OC8nP#8OelW^UgNpE1G2#C+e9aBEW(6rhXx)d!qHo zVq19+<&qC%()d}CI}r@bwQq^1?i`WDqB}o}T7lHjp^a0DB8Uj<6Ulw>Ct<8X-&?TRIUsyhEmkK1+BFp0I z6vvhOd?EhK5&H~oViME((50@ecO z1<>6PBJD>V5|uLx+WuAd_1+Nd{%|%?y)S4tU5z(U8bOx!oSo;Pbl0u{nm^9>&V=Qz zE+2AV+q%3QIpLU9RW}heyFt1jNV{Mmf4aFQRm0_oh#pdfTNu_-A;@=8#|mT5_rKM5 zbiZ^AB?|2$j1W3nQnh(IPD5nUEaRmm*yn82yh@t`60{#thHw3Rc#8tb?Pd1D0uBl7X{9_i{Gi4{|uaXcI zJ(1^vj;~@%ZF=3Em=E3w{kChx1!oCFiiH$$$2u{HY-c^aGdZ?L*+A4<9lnnk^ileN z-SY(wmeY>Pi;A^JAcHNYN2|#ff8x<5?dT`wrB_?MQ_lLR@0#w$qWHZ#>yhRLFc2}m zr6%EK`asr8%veR3Ez@m{?7F4M)~F3OLsl{zK$NSw#Ik%W`OBIQCu0tpz2EWYJ?XOK z(#U~Mh4HNSPyuBOYR0LL%`}fUSj6wxHdgFa=XmJhAx8qCVidF`8O}40mo#<-^Cm@j zS=-6mKzr{nosYIdme58WKO$3Sg&4xuNmYG&D55XT6?o;e7|vHosEMAOl(LO+KQr~P zb*bK2InP>Ikl;)WUC`^fxAY(d8IuNdR3l&z^K-z+I`Ys?cskP6SwUGFh`OOfc>X*x zwd6)rL!}qD<9%IzWz0fMJ440bh5XtiE;3Bdr$}4MgfKOPHNp$M`Xe7UtIm~cy9XyhO9p(Ld~i)8cptId_71W64+B1s7qr) z7;rrIyZF^XY*&0U%j0L0Bo;D?(c+UsP>TsFkRjIRG@6`{)wLRK<##%sWJZ3JZsFcb z7)McZG^?EeNu?OSD(?mu3&=tx-T@@ zlYa&0!bJ3Gmx&on@IKHbtsVFFZrh#GH|+3z|ExM7BG9aw8tj$X}d3L*9xt+#lpD0EupQ z??#xmZl|93s6+(sz`IEs4{;}{SM@=Rz$^^A!!LhWlRIJ-MDYIn^AK@BIx*xTowOIJJ53Vy|FDBHQu__q4 zloua*FRtn9GyY57;;ADf;$MbNbqw(WWn$*ZJ|HL)#Y%K?$CiwESzst?N#aEeDfi6C8u|21$8UHO~8r zD)g)^ESr}C>pd}!uUDfa*UqND3m^Y1nUD;g;&@;Iv8`Pl8122jp}3GgCt>uGUY1Lb_WHv*rZ9LxQFU^YElYc`?N_;QNdoq57Y&-G%ahoU= zGga}cv%$KE9SmV4Lcf~t#A9+({1!|LsDvmqRza^_Zjc*B4m`ONoAV0)*rV+Cd1>M6 zNr3aj0Z{s$pJLgnh4!1GJ{Q%f?H+)$id}>G&Khqmw&7wwk-oRyB3U_GPf38&ga4P2 z+LJ5m)Ih##a!{hi=>e)cVo&>jW$9#q=V6?)=V~_pPzco|LiU1cykgh;rgh{vY<8|L zTiA3qfLR~*5QHD<#y9(sL9QF!9*t!byyl<;Yc+|5c{RzU`9=qF34Qu{zBDt){(Gqm zY=~;-GB34rJtbMULf>jeDZcW}`8Cqh6r9FW{$L^K_qWsFlkr329LTka zkJLV&sQ-9hP&OuSFf|Lq ze~j1x&F$Rd6%xxPt1gA?H;T$4ug#!?MNwBlG$47dw6JeXi@)}lXd?UYagqiC_QsaQ z2G<%PEY1eq*&ZIh2vHxISoHs%)zvuH&V6jUITh}?F-Q*Ii*eEfd4=_=e#b^=#%8tF z;$!-~1CRpx_7_P(+7(XYn=1x)92z)=TZw{CT$FQ`k}VADv;L5$ojNk=KM!%s%mtD&ymOeQMzg_b0|JPEz1{rDjR2E8|h8p@~i{wLYC3s zu-)4}@NA#Hvs}bf$^ls!cc`$<@Hw7o-TRqd;nyldWvwk`QC^A8Y0y*>Xx-?8%_aNf z5ksk?J^f$mcMG%aH(b%~NJuGWT{w`dR|=cusxxjp{$xc)w!M{J>a#tJ&<0=ap+GNc z|ZX3$P$8vMH0-sukAhO~Vyt}j;j*VLZ@CpTf@d9Z5 zsF(yD>#95QftK@fMQ38yquTkkSzi4j5IIrcy|JcRQXSuZO#(wwDs39NxsH17*Llf8 z)GdFz1IM`g1HBc$0={Z+g+&9bMPz?of=5X#V1jn<13pIrn1pdg6Filpq8!KHpQLW4 zBL3Vdh2f5y{fPVah9twcE1co9+nuBNSM4yX;*0KS>sFZ87BvJd7a>`?h_H(EhBVvTeu?}$8A_e?D~s4tCQqr7DxK&o!5XUezfxQ8A!OtI~a4Yf}q zY0LRH5fd2CCTyZWDZXN%bl*TPEgFRCCmxQp4b>hM_6O-qH7f2s+%zWXaB> zO0oUCm6g@=wfIfYs-hPSFa)mhe&!S#BmcG4A@X335R*#FD34=Nr zxQ1OE$`Q}qc7=FcTzjWm1m>hxd1w)o7ts2Og5=`1(|gF^L~FMkvIsu256s`qJ>+|R zZgd#_-nr#wDaGWg&Az+>82BtjS;;SI%|%Gdt+~To|L2g4$fkKO>-NidnRKyDA*LD^ zw{)f%F}R$>Mjz0jEG>*8IlRrmg;*DZYR5OaH~YO}JtNVw>Vv_BxNM=Jb1QJ-shFh=`F$k{5JcQ9yI0t1)>gH^jEe#Sc zi+?HJ=&_xxrz%@;npyN%WgB&_%@f+6XD#D7`tAM3sb^O}jcNyVZTZs$^OrT1us^>c z?uGY_P+v?oY3otv0mSXgfT(Jf(B;o}0AJo%>NKUxo_(ex}iDGF9RQ zcNQ?m>4;P$mE;=Pt%ksXzH0;x0(mX#-6xL3KDe{~-`-|S)2Tvuz7xz(q2j6{77lid zo)i}}9cuxUuIX~bXTB$EhQzxCc_VAFwR=aqY56;r_MA!dw z!mC$j7WqqpR$bH4F;c1Fwo7*)fPvAqgGjJMZF$IEo!nXcHV8cp#6a(BZj$IN*=qfH zZvWU#F`MmBdp89p3%$APE-ry5abDa-S!6JPHcf#qQ|rSha$CAQe@!~c;Un~JWeh3iq^1)M`Sk=PVxg`O;l}1TjQ;+y!bJ>o=CcppQlYiJW5EiFlT*?q(Uc? zrFB*7;d!B(*BFAXYw*J&KXpb^8s=AktWTo> z_~++WJQ7>84__yq=Z_X)TGl<$HwwUx)<@G%x3P)rw7&~``%X6Xc6ZH(E*`2*H9clo z@W5FOxW882Rs48L4(Z7sjE{@%mt);EPTa?pQ;JvQ)SG!Xjo*e@%{>+(d-7y+$F)($ zM=>puOr;v)a>cV2%%CkM;UL8{j9L4f4q1Rv8lF`-D%D_%{rjZl0J7DqgGNxOKrOA| zxlQw|a2gGH+QLg=nkF{=9M}~j%kAk89k?}fRGurZXuWNkJqVvTp1TLord+Ry3xG=Kmpj$%Soz3{!e?sLCL7Ho$W8c{wGaoMotI{B}h4J|f<* zLO^*J5qisadi{|?-bw{}<1Or=y{&*^3&pU}Lfh{Q*1I3yK5uuZbc?&h`^WZmTjqwp6kDiVE~o812Tln@F0=Bc zj+gX(&)fscQo0jjUm*(FZ}M?3##Ccdx-Gdg+`7aV3Yi zEOPcv0_f^R{0}}K2Sh*Vv4HpRtT9R;5-}4c8xEV=HU8wvL2R*|2jZ7?2aLF%RENdW z$a_taXgEKc>S}iGtduxlP-oXmRiYmCT=4Qi7yd<-QXhrvaU4#fu9h6Ty*ofSp;vg6 z#DHKMgXgUhKfC~(P!Y|sn#JW?CNk=T9+S}8Pb;P=}D4>vpv z6m?w&WQWc`4d`Pm4~jGI-cF2*`!e{Q)xF>^z+)?Zz_E`VBp~M;+8E7Wfq;pKh;pzz zY-mj$(#(MYLh{++xP+5bkW`u|D8^zV~MiyYqe z1Agm8RYA>IM;$2%rBkaK71NcS<2%C`A>QTX$SXy(zO;>96KWNPrn}PR- zwe4+Ujudv(7%74cbI)FnIE5!H5=5lFul4*5IzdavjIuAa0QFIjTZtxy3Kd_)JIMZ} zSFlEa141`Uf~Q=Xeij#XvKKZ7_L-HVkSq_|T%VkNENyq+$jw>?EQ#@1BK~MMp`LLu z{JE!pM`l$Ej35GAc_Z97i1jR~q*I)|&qbjAlkkwz(TSd z=2bwx#sArdZjQN*b*8NJp#?nA5@Bz;^wrlVxRyYHdUA|NMddHo>0t1EV$5`tC9odt zkg&BT6}u~;(OQ?<>*g2<2$4o+v!dPDuOi}n_i)*>$$@o$)92aN#eCJ})97Bsrn4@r zz$g^eIS)S12!q;4huc=xgzqIgyfr9#aZy=ofAUvi#AbE+I5yx~Z9LoXa)u}= z9Zz(tgx-Z`_0jp&x-s2mr`ovN)2PQf?5Y_6iW)UQ2;U8NKiD>;%C;r#`53PfV!s8% zuuyyJV3QpZz29^bA$F7MY=4*n$26Swp5;)}7-y?&SL|p@ws*2XX|Ov@LYU&b|8N(y zs{_W??sEkI=RbIh#t(-R0*j@KZYfBO+6*21sdmZ@Cz8UbmBKcsvW)0$FhW0@m2&Kj z(TAGhctQ2x4Y#L9d&M=A2|m^$r`vo1JYp|YM%DN{8Y&p?OnIWm(=fVP^~3fz)Y+jx zPTCw2ky1WAF#6lUC|q4Y?-%*9nudA)jKUw>_^9~(EAG~!V>gYK)Ps-q%w?_Z#V5~6 z!Ljny3M51jv$|o2KAVUN*iZJV8vSzDdsA_00d%jldiSwsV=juC3=5J5N7OvfB@NhW zX4Y9#1VYv6^m0rvkFFQs=s4-j_NPv(=pZyVQ++!*%_#%m*0dQ_wxN}vi6U}0QOP)jG7*O<4JlB zO=f$bFz&l%x|0Gb&J}ug)Z(csjCeQ@mb3j~l;Z5813W^AaRB4jGsikp3JNep2NMrV z7eib>jYYY(M+E~H)ZX3s-MNSzKrArjn*~p7srUY66n9OtT)_aNxDgg|L}RgW_%}V$ zZ07affU~01wIKcO#hQkK@@SOw@3)$f%zMr7JW1vh_8}tG$))*ma#l;klBAmWgI&hZ ziRgz5l8;Aopmomym5-$y=C}^!*+jZ~a4mIxNgK9Ve{DjYN4lw$(&HM+tJ`;^Vw{+B z4v-dgGseSmb;wqx%AwU%Q0^i}LTrmU($~$hB?ptoZsZ_dia`|agCpHK?uCd#kr{SH2Ia$djOt%;pwuUv$LII^Kb4{ZMzXKx)A<=U?etEi|5h;%CmDAL^{EdnBff~1I)#L&%* zh;)f`cXu~KC?H68GaypZ3^2pQdxNg^tiAX1J$oPD9Q@&dFgi2$eVy0&JLCG!N#13O zqgXDz=psy%i@uQjZ-9Kz`EAIyg^uhg|EL~W0~i|^@~b8`qk z6BdmfZr#nddaPUez%|0%!P_P{&-M4dp+7aQn{i};8Xs!!p-xS_GrkQscsL+&L|jaECh*OOcp7pD zfr6t8v(`}sa2LyGd2X`tmuow~YN&0Go8JR+R`XfVRxqXVYyT2+VY(`VbA!hu5!L3Tp|AV*Ay_nVv0JS0yfq;rNWl! zy8#f7m|(|Gf5wBGCd^iVz$m%Ngp-@6i+gn++i6-I(e^F{HPLDA!S@Q5^Gaf4)o9oW zMdG$_t{x)U!lo8&-UXjLI;l1sss+y}MWdeoye1yLR#^LjN*`ZQE^maLCa1jRj_8v&xv8pYeRKBJV)5tZROHGvS1!MqLf@7dl-zKI_gbj-A@e+oiKcm zLQmQGC92wt@8}mJF~X@VdV4JV%FtBTZR7N?n__QY19G?M)a=O~on{VjQ6O4}(l4R_Z@Mbu&gFtsg5_$|nAJ(!+H*`mQ!ZQ@!7K*6 z@oO`+zzHMKciE#xyWPEyV&pK?$q`_!3nDjuD|%~dfTG8lshNBWN>Zs4aU5iWVU?Jzp3fL zfU?hQ+|^sT&4BNE#=~y$5#^?TE>U){qw~km5t0qk&dn5xo8cVCTq+uBI?X!Vs@Elj zcvmM&A^VT-`CC2#_Aoy?UOuDTT)nkx3CjR4G>}0ia|O1>QxI~ee9@g%q_$Z=+@y4H z+D}ZNyh$j0dMN;^zB(PoDuED4&km$wEf;VZ8Ot319A3P@_m!RBQJ)&(S2X<87wrd=V3$W_afZxf6!e^iAzGZ=)E?kP>Hl7+hUurlHB7)6-BTxSI=vc8LeG&VLc_uTtv+|+VgqIlW;Q~1~=U{Rk+d^l8df1!G1 z8(3OaH>|bA5nZJiv5=#_G`L_=Xus3yzB2WJPt(n>o%Td{7m^bpKAFz=M)v=fn%#Ng z`W8yB@$Av-{$z1BoI$<4FPC23qg=Jr7#K!GMC8*x`d~oUYVOwRZ=Y#Z7;g}`skr^Q z&0^kfZ_N9!*Wjn8H5Zw?76blv%lzvQ`~RS^{mW8gm32RRM@SKshoS6>MhcC%$S25vMulasBOQn3Oq z!INbtqdr>=fk7D*X3ZHxRkvc;xYGEpDqIlfMv2?r^C4n+eL6{0t!nOQ$H9CbiwRv2JSW{11XOH>U*_P{i?(B+8^HZj@x>SKdfS#B~l>|hLum5Q@r1?!R%g3 z@1{JW-J?l64ZQ0_)(m-*0oj?_a0!121D;7_W7kP%3<}WFdA&eq{ITnybI${7BH6L7 zNk_w-M%uvrM^%cpto1y=`!$|b%hkMuKj%L$#c&n)*pw&13dQFZQuRj5DET%Wnumiq zu1t~7Z(R{TY~BiO#Vg9PG|!K3ox35p$T$554uy-p@8Pru3bWVpt?@%c+X(V zcr*=7Cj~?|=w;($*ew$H6<@}=e*P(>oKK!2hTYEGKz@)7zXem(!E?`w(Ctx1n~Dnwm53 zykV%Uxl%=c9Q|%_KBdu5_LS<~$zu0)@DCBG2MIrs)A-Wd8 zgK+g_v0c^00l`f}-k)Uq&t;D$IL|g1G*aAntowa@W`bupgSw_^olk9-%zONTu94%L zsWca_(9`m03o%_~N^V8|jN!um=mYkx)peD3Ddq)ojd$1m0B2`eddGpLC9)*T&@$0Q z`O36zVsZVRC45twz(w?7$HeMrGA(s(O8gj#t~LPCf$&fq-O)kGV`{#a0vz)9f)wBF zZVoNh(a)iR1rGHn79LFN19J3zx`S|wO3yzA)pc4xO>-=QmhAa|Sm0ruZML%`9+rBd z6Ta}vkaMf4qg%gf1%E9GXzXlC52LSlN3iQRxvFD+Q?oRO^N6CyN)LI1E&Nh4aCQv# zXnAq*QP05u2wd*eK?@xDbM{E<{X47pe*&(*e<%njetjpUoKFU>h{%ZQxHLA@Kr22^ zo#>f$e?|sSn`*)2@B=2?Qv%1c0k+9WlajQxw*!ki)zj_gAFO!q3tuV+oFH>_pC>ga zCKBwj_tPl`ZN|g9<$_E!6k4u{j(pl_PXe3jut-!9IW{yEfpW7j7^ogiV z=ut1@wb)%N;-L#~|B+{$ZxD3&vW*<&P`7zecl~(m5+NmjA0YKHp)ZnPW3J&6u0fSQ zf_dqFuZ{_6*47@YoBWv@O>HIDT+Bw@LuZ%u>pgA*Q@yX(Nxr_B1D{RxUgCWakHsSH zPIKj1K8dt{IQtApJskevC!^(}LNdMea2;PtojWxTsfjw>wvD*@LFm^v(fple`3~;h zGc!q!jo#M^>W%nh!D9WK3m!n4kVc}&r1iCldJ z(cg>}E*KzA3)3< z5d|8g0H96Ld(E{XUa%*c^}2kapgTZ=d47)C(w6!qy0g%IND1%`*Cn~@Oknc-(4i`@{p?RGfV`LO4R zQF8Lm`~+>AeYE=E#4He zHThKAd(8$T&`Mvj+?@l(-1u0+NB|(AotS*GaTcRLYDg1fpY`pqeuJ1!N@nk&cJ~-r90L{YIUBm=C0B!`~3IpSgZ`Wzg^-PqeZo`uRrd+?3?g(@gS>e3fqh zmnqwd4<9a-HXj6XdA0SV8lK!yX{QyXpkIsPQ47r;1=?@V{2}k;*SW-!mfCM{s)Ucu zD*hr2KUez~NZ^SMJt#ox50C!6a{10YG)yU+073|&La|NPRRq<{Iw^}B`1qBpv<5-X ze^aoSRn>&;yCpAyStZ=x9|Mp@T&qq$ADeY;TI0E)5|MVLDJlA(8zCC;du~}B)7e~q z+dBAgBIY3}YHFbRWhdRbJuu)o&qN%W zEi`O#&E|fDxq%NeGOug2`*aK8?-z=_FUpEaR_}dWBK>g>pB)N;`|yYYc|$i@dU1Qi z#)}5my^Oy~JXUT0vmw%h?HuU--LdokW;FSa)ZuS{d<5uc9JS!5=V`$8MvDg-PTU$V z8>qKm;p46@iM1#!NO9kDmqYaj2<);_$IN{pJKJZ_O6|Csay;tC;&Whq6LpgGp0Jnp z3tt3Z&hUqq^{R0s7SyK#3gqdxVj%Xn0`me`8@C{?AV5e66pShv(9P}2XRZ}RDUeAbi*2O_pEyl059D6 z^wB~5N4yFL4P1H1rHj-S^^f#mBnScsw1A_nG*zEu8xYD!!XD72*#9#KSod2l%Yt_S zeh>8@!3HhoO##$-1}h7cmeVBzB2}-geRou~HvQqKt=*F!9eljlZ7x%qh&1~D+#<43S=0Wd{zdaB9`MF1u0XSTG`B`pK-=I#3 zTqNO)OpTgK7I+}0g@M!S>_ex=mUP3cIdMmH`)W2`iGPNfy5(VW@a7-Ka+CN!IRgNy zx2y0H7~x8z{j(Vv;y-1QSqacdFK<#p8`p!yJbzO~Mt{;vjVy)bv;f-H#&3nnX3-)> z98ZToVBcgw*U2%xC1$vG@S0o`K>V`ATn_2($v5)WNn^f}M{xI!ii;>UnnGLet(v>^ z(eaQR^E~W%Tj-S8ySU$(S@rYvN3VOwWs6evrFLJ4<>V&8C4YEfz1Bh0gB*(|g^e%N zTg@J@-86Sv;xRwQq664HBcOOpIA;#G7t!<;60u1cK1=oozGg;ESh4P->HXJ_sT?yZ zHzHQ$^pLNDii>tU9dp`cyS|keZHCyp}eS$ zO_UiO(0)^7_g186S06|hR1LQXtPS7^rJ>|-6&P7Hk7Nrx1MN9ZvqfgHJl@yU$7RvnI02&h(d?y27q3$ro}wFkW9 zj9OFLy6NOYZr!5am`C;}Ees9<{RxdDpp8s8qR|IRZU)ZXo%QGJapAixM|f0RDOuk{ z%z@5@Z=ww;T*Ff&+p~&!U`|z!^Z_r?&Z$DrrWK)Q=u`XG+a{_ZXBp* z?S4f>?tO6K4k{7TZuAi9&-0vkX<#!SIr%qN=y>iXXY9fS=VZ9jkuG0N|q0O zg}E9*l3>9g*@nN-@qN4y_Bd74eu8b-EFSvv+yvm@-^(0o|1=2>6Dlq3>81N) zQi<7zuLLRNL48**jK?1D{V5Z0M~x3V>T8vm+lKhTC6bl;NL2xgT0D9$GZC=WA&Heh zO#+d2lKu;8UFd5oNo!;w^^r>=U$XN6RTo&Khj(A$&%S!ce7wr1T$S6`d&r5qXqWW- z?zM-W!T@}PXr11E1eK0Jd=V=CfKO8=Sv*F|f+>F5=lm7>?ps)DBYs6P$@=(yzFl>) z^YV_~u}`n4*nJw5xOk&NBR}c$)bV`&p)Ug_K?|3U!s8e(?jOc5#~paKpVb2V|A1*f zkyW6W4|u%a{;Lz~e^zN-Nc&GyRv@IXa@6-={^Pl*Sha1^^F+8qa%K@YKSn5fc0hyu z$JPO$D0+DxC!8yaB0;;w1WBdM2nfKEh&~m@jHi&EZfTogF7*U;1Rfo?JR=%p!7WFcsfp| z4kkrTFmEWTj1u#st<^y^2Qc>DHtZYzbs`0qO*V^@mtwrD}4%b2vu_{+c z`~s{j`Srf?E}Q;@BWKEC@A0`!rhs$fvjZOvi0T^|4v-MO{UD`xc@Uh4ILMpgPkldYT;BSiG{6qJgcER#|fy$XQ@eg&En?Ax0{>_PK?X@P9r40*y02 zy3>mxhm#xlv{b;3b&IHglw>FrW%OgbUk2a9_<9hh?m^g|196ZG>Vz{FouJr0pU&Ro#`rx4F@Kq5NI4Mf1n;^!#wiX&doX7ZQ&C)P zSqSTv&TD>A1SaSgi(wwU+k&}}MxEhRsd1KVkXzwm*UgE`c~A@wKG!1cbrhaxqL9@B zJv?4u1Zd72y#1rNO{_lBFORH+GXD*mWlr<7R`H~EEO*}Z<>w%>a!lM{(4>A zk8iYANMS~zU7sq`YeFbtM@!<-$Gx=g#G*{wnD`H_?|Glnt5AdpUm=lPytt@%4#hY9 z&!9Nt`74!$0-h@Sf615cjCVV)ELUrEJlvr<-$=E1(_N%?Sf`rgp+Gi1+&_MleB-k4 z`+cXR&p`a9Pm!PRS3fT>=b-^kx%zd@Wt%y}M|s46e<%;tHlkA_hnXZn$Uxz? z4&bJ5br^bw8lM4h9JRWF7p%^9?kI&BG+}1(;K@=zAtekO7Q{8KcHz*1{Cp6cAO04# zlncDx)w^w1*~2?~Nw(`yx*e_}ybO0vIG@kOS<~)4D%T=&%Y*cwRe~Kh*e>|1o(+DB zrwq%r4V2{pu+}{XYZft=MhmSJZk?;dOYN4to}1e34i{=A6td5R0l*S;`RzcPPz>Yu zK=fRB^Ah-a`g^?I?lPVhz=})J^#Vj!GF1;gQ#0|$HGtdGi)`(Y>x3a;Z$x$ioJSV$ zMq95$P|oG0P7cdp;L_*wWUbmT^lW0AxYxIC#hJgQ&Wj{|@TX!YRo-_{D~`1~AaT59 z_?J3->jeG#Uo3&|`2v2+t=3jXO+-$FJ( zIvR2XQk+K(^AN&Rl>ZcS_xfx%8ZO<;M}Q|Lu6$a*s4(1;1kxUWrZ7*9CVG>qot}~rC03lQrqgPEms*Kv3LA!^cz^a-Hxtdv^;5>J@y*kfj z-C3#-e!6dy36w%E*tz_UQ<%R$Lu{^SA#uGsy|uU18wh;T@28AX0%`tj<{CdvsaI)d zs;FdTOlJM9R28@UL@fD1wZ`(M$hjiA@MSMrlJ>2{ZKhQL`;)$b)4y_nsfG^?CdfGs z8<`Jv9Vk(R4v@|Aabmz%Qs&nGTi8tO2Bj?5a&P#@!Xr*-9r(PZA0fx#1}3o z`mOjZ^gVYie0^b%>3@>y|HQn3@>ZZ9LgRPVkoL0Nra?}TBmZpWU7KgOE*oUc>q*Qp zy-Sq(Esb)$1q0Hk<@&2hTh++M!xJP}*pm_1-h8-&T{)WH7n=_)o7x{}bk2SUPx9LOU^2J9{E6lCqtu8*SIeOziO~j+ol@Oj5%l@fQni=RW`ba zRGW-{v~4-a;YXZy7Zx_qX((n$3htCf^UaFAV~&vxr>rAMvE#U*^-nnG1mJw@zri_z z5h$P27tch^AIQ8kGY6$LGDi3@o)3;Q06XY;xX|kAr|lNe)jHpDHVAfxaKWc!JiK)R zmd6NOKvsd9t`D*})j`A_$ufVb~+uX&$D|7lb!(yqtR@uYpCz{w>a5_@1A>Cw|O|+;;Xj;)u&&Pue{@X z3QU&mh=Z$y#bhU*7=gXL27GM#L5Vxa6*h^8R75A)E|MAjN0)FAYvw= zyMQ&kax9#o7~=Z8PlIvATx&FuW+{$vpQm>BsGS>QJ(qfCW7kFgw6eGwh;0>Od2X9QRBQ( zmDGR|O2ypEab<|dSETIC>tB)sr-$eD0HbhSINRF1pf%{&*KW&6hoJNd23q_ zoL3>t=d)h+zQBz6Zlh{h3AW%>(|Y)C5%aJ}>jxc&;)zmYa>QMLWclq#15v*z+zkY3 zlvwMke_&AWhTyD+4cLhfypoNkK2io%PhjrN90IZnr3d+4#!(5hn&%KX~9IG~H= z&N~;z9t1gOQlJR72IFITZ3nIlU7BMp*nB~CwPJ;zJhXB9c zDUjt2Uti$ko&~np7qFPa38A?nMsG7D;ULy+Y1NSGMG?1G|6YBB-A4Q?LN(BBn9eK0Igw&>Z1hr z%*#x_kCgz5&-e1Q%6Nn>!lPAq-px|~CnM_r1g8Hw?G*&3y`|6N=LTp2U9-Z?t~2Ot zv|j51NSmyLF>?jKXT6ZXY&i88i-UYXM{5lGk$RB*==h z!W#E4wpu{qgkozWp`Cm()n%OSByr-huS&i>FG6zcX8|okiYq1^TsrfJUSbeNyk6OY zkAWNT13(ZyE@T3;B4}^Zj@8gd-z8$-%zZ5ay5g27?W#Tt-;oiR`$o@FeZ*Bn&x+Z5 z2t7JtMq^2|uX97?y?g%A0@vhZ`7>ay5P7q2m?~Om$}7^oL%!E}sO3DS^XMatr5y&8 zmEMNyvd5g~Iv1GtxrOvTDSs=oA|9AVtBuAa7}ZPh7xbSx@Vxpwao)CSsGFSbwbE~k z_L70>_IPQnm97)@1akp*Kejd&`i}bU__wv2)@(uJ^d|r>Y-yI~#GbX;n99}4P5?vP z&`YKk&>@`~Y8-0CzZUBv-{7K9JTbYctqY8b(Hr=iq4ot{I_HL+EXZZjU_a=CaTC}- zYI*R){7&v!7apl@Yu}%4v<#L}lSzedzg`P7L%Yxz{N{~P2of{aC)9^`waQAkd%i-6XM}>pR3Em$w@i60{Ydu z+w+#3n3W?pl8hdK-PTI=fmtpD$*6!ua8Rz_5mq|qk*BodXB2T%YOaLQPrU4pT}S*( z&NSU%gylzMM(TCROfNM8IV~*^P#|Y?Eq`~}Zd#evf3fTqaZF5vy1L&MxGan}Wu-KM zlGs^P87cV*kAi%Iz~RDm?EvSuEoX^}Yje#{kWoMrsWT^Ah)FT8Q#&`>*#el@UgJfd zg#kH)A7>anF*&biwL!A6TXOJ@AAVSC9%0Ua(;mA{uS5uE+WjI$1$*)yat()HnLvZt za0SDQ)9@qVGj_P**LhdS=3}3|vmVt$4LFrS3DD{~d1r;_yLDE6KJbARVkwDSVvB*_ zm2O4d-FHcg6WWmB=LnhDP$U}(3*+xhjHc5s4Gm$<)2gSZa6fgn@$^3OpG&c4?liyx zO|W#s_B>I~6z|*!o2rUFKjp!}DSB9d_FL1EvGNN?f%?y$*7YwEGLzwg6P@~DJYj-h zD(Vq-3dxX-I@b?BC!Q28CA|9H=g=mJo9Tw_Hwo1JWdH)x#*O^3y(&o zN&W20j;~|P8=pZdU8%IEg;QSIk%>gXQV>C6m;9B^nV)r@ENQRhMBbd>Qv2hSCucdp z>p9nPoOi_(e`htUPkwi}<^nOogF+|ck9MVQGujbZ*4ARa%rekMLX_oMOIq>;U_gZZ z3K)bs>0O=@JX}grTxC!j4hzVDr|9G~A}WP*or)wTT;2@v@liKHknmEd@eS!ous*O( zQ0Q&=qZh%D0B0)=ZJl!iQCL#y{W?RQ%>j060>np@sx0N=ODqvr@ON__gSx(w0OxzZ z+=>3xLeo&Ob`uEED~xU?VPg=3@K;TC*-o}aqlfPyOdWxrBJ4PI^7 zmRIn?Y*uP?L(e4`@}+|yu*edfb9dtqN%8_MwZaYX!+lkMgO-mT*}nOpJ3K%I4Z)SC zLrqCL4_DFW^{tP;Y)ATDBIGuSIt{r0B)F=;a1rQX3)pp$JNenIn|f`54>t%PUqA;a zj$^Q;8#HpJecC;J`%r_uF5^Jrv2lMniIg(TRCntJW^5UVyQjl8jo9;g5ynd~IL=kW z=vZEw+{qq+WJ&PT$iZybGGoXv?)iy?5PHO#B^Nr&2w zi!_*LN6wMT>0m^}AMRJd6aCDWgiqOQ7P6#u`o|C7CcBfWqNRHl=96TR@0>S?&4d0u z;alX1$oxu?86TUv>@TNM{J*$ijgO=m&cM5M?+v&jOVz`8CUHH&IWgXxGQ*9d~4<`PgezxwRnUQL=%6c zZ<)1fznS{AMfnpHz15m{Z*+NFE*cM5!NbHnSz}bk`cp|(@wB$D&RshzO(j3sD3t`3 z>{+b#0SH{AtaC8$j{K>5AbjYk-o57oITM z-V^yj919L9qQB}sCnR({G~-k9Kvw^;>x!=CfA59@UF@}dqDkVvRz6HU*baf>0^P6EOHT*3H+3ux25*+bfidhax zk1mz{nSNDkDY3itklq-T(}~>`Q{nY0*-|vIrw&5o@))LxwOa0>q-kT4-&C!$*)XbL zI^pvm19#k~@4R!|TD3ftJ8H-IZNs^Gow}RCzxX*?4_c#CdoL)#kg;5ChwyRhROhSD zzlL6z?0^^rHhNV(A-TO$}eAoaEk!>gcXD2^-M%}LZ%{gtA>ZB<64=K-| z1|F4Nqj z@-6UEa-mM%7fG&mTxVA6N~_*TLnx-lNPX(hs=Rn;3G)fe_kx6#CEplamKuaby2QpE z3wu}v33a{i9gKh2QE-r#W*?sK_31_ajb~kHG&BCBhjW?gMwX+hjU+9q$8~M}rlM)y zmqx)lM`FYAv05e)ewrlWZzdwN2ER+?71JBXw={gXlET*MDmUq7T!Vbw>o@#tn2m?} zX4?DoG6((r0d+={wwwB*r?Z<`(vHpqx=WVO#qP30GnsX1l!6=GNKj{$mqS-~Wx*+J zoJQhluE5#Hlb4z!ML>VzsT6v^l2x{6NavoY4@7?MFWE3Me%u8+yh9kdJR7s5xfq+z zPZ(^4AC@7cmbB&5c3IQO_|>E)xK;V8co^*%%;6PKNlyM*-XS!X`%)CK@kfxKclMNM z2Wq9_+P8NzDda|Eku5wuP9MbJzU&<7z=4geM53f|*L;?-M;yV0W|=zMcS5D@>n{wt zkr_Vw^}0k}C`_8L#D-Cixfi}J`}{KmTz_*6HAKDU-T3oLlaN^Qm z{wAKXW#8U`EX8f7Um<}z)MIJOM~b!|O+1|<_+#E$B&UDkEdXw#{vn!sT{I;OFBM)X z?r2vxMGwekn|p3_iucvy^!ToWDDjQN%&J z;>>c03-@-&ROe{TBnSNjT`B0952#vu%X%+{ybi>~{urqC%3C%ItJv{IgIf0q7gmhX zdA3Tv+K~;%s(gI)pqKT2dlK#Cn}Kdjzc8;_bW{9R#%|s2(9P74@109q=z{sXJfapI z3Z*l~Q}@fMx4Ibap0j1JP^fM2uJ-N-=M5ywDE#78t}Cp~H+o)h6t*5VzeW{BB$DL} z2yWer?0uG>%5FttQIXTPJE=24$%k=hCJa{h^1|d@7>Myg&w}n9vS(+u)SC{uvxJex zpDmUC2Fl&$swSjqMIE!X7+qU?haCg{SHIdbni=4SRfd&FLkk}6_y=TpcYALjV490c>o|2#4s${P=3f%IEcInF8aPPA# zeeJ<}h49`xyalJEh5dAAC10z!(^ezf2#_BxaN_cFNXyOg7Tabap0hV5`~}6q zLc;a$L;Lv;CseMHNE!;gc2M$G%FjqVp!QX)%>PE)y{~%FhRbVXB?WMqzzz- zlAd7WiC;@I6li;~P2Ss8%rpw8IlTUj?OC5=+M4;I(tKYPAvOJ$%3Lw%o`cFn?-=Lz zkYe4hym@Ds>h}(F`AVsx8YPcq@FwDO^VfIg7;~6$)dCp;t#GP31aW3LM_G!+BctNR zWN%Ho1);=<}v9ZSnJu za&F1Ek$vIEj^mAFeq(C)i$OTsypf2FS6Hd}6ZDPyT!!CInJX6SCg^40iN>Wn2RCmv zTJETGs>SlW=2EIznVk&wJju$jRo@w>qRvhOPf%psuD{8G>f#ik(eJoLk6?G-?XQ?)uNEf=(|z8t*CVIFQ1A5ve^!NGQzJ5qK( zF868s8nKMkR%IKX$KC$Rw@=;5+fmjV>M)j+GS77DUlqa7YWG8CwA`;>K2Ewf3V0M@ zHEj+zv|X%;y`G`SCsH@4WqU;V62S68JS0~N>BhxZLGWwh0y-Fy&#NqPx`?rdy9q0n z!aFlkj3m|kcpYqZ75?2T#^x)1CZq^;a-S6^xKeDv1w>Oh2XkuaBL~g*HL?tZKj(2( z#26a@YHuKLbm{yO#ku1yT$a}ov4r=I^!4A~75%)W$oTPO+KdGqn`5OmD5SUsL3snI_ygRkl)KHgYgI~^}4pD5Jf+1=M!q-mh5eRio8hsSY1j( z8>UJ>q46rcQ_LvpmCgrs{9;g0-Nn#+uQD5-@AW5(@&Si1c?|X4d{2_JqM6L;k)tc- zVJ3HXJ}4eqqjGd?Ot#7p)Nl~0 z9||tLu5+ZLvYcZSvDF&U)rvN_&%+h=dOPm6P{0!y8*dHqlHSWbk7vGbd0_?+rpi?A z??e3y+Az*C2)_a&r@4h=>A z75A=GZ_!UIO4D#}-A|wq%6)Vke&gE@zNmFrfoO?x$GfV=UHTjtu@dZv^qEiPq2Ll} zh{&{}EKXmn;*IaCRlVsnr=4=U7GA~TU6GcZWtTRZTg%?x06>4eTXSpSm0bD)w_Vi$ z#iVQVYx1|qrtc8%G?t6B2wLg&nhysSK0Pl@d&AUkiJvB!)$I2K`;3%UVc$|@e_kKZ zt}#dUSjswkgzkTBB4#28b6BByZ%jykC39-C8m};>cHb>egyPq6g3F-GWkXF+7^I|> z(ReYMeDx+dTBxa&o=(?IWZPTl%eajx%k~K2fNKVx^6m559kdz%Mq&x+4~vjP}W0Ua};4gxAqOY4(n?%KeEaomA$O0 zKt4ETc1^G3p+l6`Mp;qJmsL=bjGB3EPKU<&YhLywH&xxjIpKHE1Qa#XHEI;{Y-=7bpdRwD&q=PUpByK%LQ7dB#DB{%Ln z8x0w#75k5eA9+ZhzG4o4P?d_|`CfO0P5AgiScK96c7{35|F+BNv*kuPT=o7c6=p)a z@`;;^GoB8PNNUD?eatd;2_m&E2)P`p@L}iU)?V3!yh8c{{uwg7`4Rg!zRq+VPYnXPA-$!}mX6yyy2XT(!0R z>${hT!l-|vtwQVn0@eWnA`G?cp9V%)Y2CWTU6^m@MTe&=?(;iDhI?lFE;KP5es8-xn{K7;Q6&0VKI3~s`GX4wnLLm>;a2=9L1&q@?{p$JF9T3wf;tz z-rK;AasDNaO5pPhl2&4;>2Rk4cNf+cT-ygLLpATG3aRqKgozF})9#roazwNwTgu_TDe+6 zzx{pvk7~mWs?CWe-U2JSNsrPW2Wd=@r&V9NuU<(mkt|aMV5k5=yI5V>e(Sk7-`Xuq zVD4Rx0;*p+tY2U{bG#q;Y}$s_XiUvzPoYe5vba}PCu;3*5MO;r?Kn&Zm+Fp!2?Lwl zIeHHBWRCC5vk*-2*~7~|I?km6d1nyr;8)P`g8nT$3ra&H6Gb#!QV0|TY0*;|N}x_Pzgms1sUWTPtrJ#(iF zQi@hg?Rx*q_e1tM?5;grIHj%pWsBT1O~o-JK($?@f9iQoc0HU-4P+TDckX}7K|^|? z%3uFIalfs{jONLtZtBge&)zo}>)R7IbX*d!?@#d}q9LVcqC?Ak&u_$N^z)q&K9i4w zsg|t{XLy#sSN~-({Q`kM4b7{k^9#}$JUqFq2)=s^nfeasJzm8^;KJe_#JvcWpkjVK z;UE4Sswc4zn-I9f=MevlhGL3{4#{{DC0i+?X;b7ABQKcQ)YfH`i!0~{jUAaW-Lb9$ zM{p~>d872PT#-@FCq(W@Ch6AS&^IaWlHq>mYkSh0IYy`6AAFjdwQ292>W$@oJi|C9 zkDaK{zHJO?yxmYBdYEG*xFIKk8uQQk0&7~~o7aKiB@EBOaq}l*;IQ|cOyQvmVz~AV zmojEt^|qulcAPn~>(~qZ)W|Wa<^7Av2wh6XuToMN;m6oyvn-RXv8@3fmHWRDt#w4~ z^SYq;C#S>y7rnYj2~GDH&yaobwV7x$qLNCN68yu?Wd_ZCvu%HDoM0SCQN5&BcD&z@ zP&1pT_nnewPHR0Ow=I5G4B`4Z+UOz$$sD8{4x&MYI~+b{jolkZX{_O#=hd+pI5xb? z#4RJj5Y39LrSt1{2hCpsQ(aop(s_!d^9JJ6}5p>PhjR*&MPOqAK@MS#y|ArYrp({J-= zbD_YnUn{1_-|=aA66=l}wO!L~tCCtH-h$v$W0a!r>!Vl_hg0oe2McA$ zTJtrYj>D-^pAMAetFHnnipEf=6;Uy`-5xyuv<3Cj_eB@SMCvAU+=NZ2{k8!O+sJ_2 zH^azpKfrb^k&GZnE5H!Hvi%`?@$^qPvUOo4ym@`|g;n!WM5$bzQ(m>Jg=Xs3p)eKJpu4JZ6BAQ&^T zKnHy&DmQO|Tm--!vQ4$BpfrBIN)rW@7BKV@iqs%g2@oMv5t7h*Nb(JEKlk?>ya(^Wdy%XtPe|r)l5ZQ#)(AI>R;k;cgm?S)THFPTXU(C~~yuS~}Y!?C%SJ>$u^t8wnnV<=z$7md~W zSlq=$OJ~XN=7A30f`}tw`@~nw=l0(4M5-1gXJr*)5IUMh{rvi*<+-QTNtA;;HeLLt zk^0LlUR%EVWJ5}^RE)6}e|inZr4*O`a3;979*Be|{+u9ss0$5tbjdG1@zC(}u(lR& zITSu2?M{gfULhh`kC#fR4QwNkEya9JXKPemH>PDxKh9w05i46yr`g3{H#S&aH z&tHXg(J|!qSk4W0Ae7{~IMnwD#Wky*wmcc~D>*zS(p-KgMMa*cgfTU22nRiTQSojn zzn1QD6Q{ zhl0MHofW>dHIwZf!hWzsTLCwnV!{G?6HH@jpyzAO(b)x&6)XrP^KIopAT%y!N?F#E z+zTQj=OAVsqXR&WI_?vH?)yDwj=juV+0d2Vcp7Dpxag{f9wZ5@>SIQ6Gc+<0t)%mbRmvZTzwC zo%hUFP(+ zR4~a}(q767DaGZskqfcz7FH!H=-kThGh9EXmbR(<&|QhT5Hl&oS0AyK5}GC9C1a7F z9G|$wrj0*ZBy5_6WZJ}W}k3XKJmzo5bDF57Y zmW18u@-ie2y-}05nGS31riUlRx{&AW&imDoo7c7ZzdAjVQCq7{T2r^(ptgZ;Ai*cp zn$>vI?|J-oGQdrifyx5;fZP@YgJFd_qAT?x#?+y;{UUGn0=3TbE%eBpa8DE&YO@m! zY0R#^FP_G>9n1|Z0}hW;zWt-!kYqVYk8EXYz+9wS@miuq1@km1hTHx7K^gPu_i8^O z2;bm!sTr>vlP`c|Lifb|?8vTdQI3c!C)M!oDsYFgc3#K*l?y?xt2NOzn1$qnJA*@9 zPnWwd&L{O42%q*v@?T~EMjO}tR29BKny|$8MrDIHE}KuxW7O_{E*_a0TWa9xJYf?z z@jQ(sq1{q?`x7y%#}^G>lI534H4ax!=ExcOtS8vW@O*?%Sfb0|E-0H|7(!X~xq9~l zuTlqN0~2s>(FknmVj9U0E3F2K@aCq|8~f23B+1WM9&VkyaP+)f4?j=X29BouZIyXM`y7Xhi1zq9WtK&IY3YU)wkg zZn&*ObJm5JAgGc5%0inALepy73tG5`|5QNp4bwPn`>Bk@jY#jTOnoD%26zl;_fnF4 zmco5q!%}uc`df;_m@Ni-h?iW^CT4cowYCcdOXNQokpu;*Mdox%Rxhu-CGvUudEGY$ zav8eh^9!K8wORU5(!NQk!?7Ty@3bv0ep%Zg?yRiNqd0dr7gN^EJKQR7z<`*x)?tEm zLtWsX)HNpd|IqX8(D70K@<{<|zpD@tViovxH*4o;Hpj5GWF)qdDjKlgpU5W(HCIcq zK_zQ9LWVr~p@bpL`ZF1izYAe~lyXhGG5ZDsYDUV!jUORd0i72Q)B)CZAMAM_zG1O& zdR-Ia((sBRzDd8p5~M#d17TU64*Nc)_NRaa=5EEynf_S^DMR@*uW;FIW5si`exn;h zna3k1AMPbGAi9$oDGs__4;3L;`oVU=Mn;Z2raHCAZY_G!2Zqw%dzHWKm`XzVZ?MYM zoW<6>>eh@{1a)lcNrxe&!yf476gi}JJ6#(=-d(UWa%)Bei#Cn55NMXJlKf6$!(K)` zbL9L`HIN4i2+ZGPJq$#hfI#$y4p}GNv8lmoqP15aEO6`|KmSCEEUsGBM>%;;g?XML zx~5*b+~Y~yf4s+gS-DTV_4nh#O|3ic36A(xClbYk*?FvQq}0WJSP})XomV)yR>eA< z1@WQmbl`%q^9pogJiC}nl9^tE&H zcFoxjNQJ#j+`PiKn9}X^d8F+9)6=lqXerV80K9n;Y&T}HOnLiTC(^?DM_2p=$RN$G z&hhjkU?o|QR22)@gzL_OIA29n-8oWE`_=c2Y+h08r zIvXTZa}()IMm^6DQ`zW;QbNxD4W^ynk^5_cXY&?Xp(u8!Tk5_GQkS82sJa%HPe}k@ zvNkPmKSGu__qarRl}!zaOLsG@80;ZAfFV+-MV5&0YI#9{&Q8yHF?{pLM44wyfon@3xwGJjhyxfTB*l>{8CGpvYp~#sYZ4#V zZ$(r|+$Lk-e;tT^CNj?8jHDOiQ@iK(l$JCFqoZYtRr)1X5_}ix9%a0Lr7pxj^5z0R zf$3u=S-arzBgG&H{fnq{i=U|EK)+(8j-H*`fyn+ej^EZd-Han{&27PzD(jF@X~6aQ z<*koW!?VQS92Y*-acZ$L-o`nBFnkCkYjv+35y`3wu*bgMPvCU9fYSvypUa-pq~y0+JAgaWK9s97#7}p%fpS4?t3%hHH1)ErzIn*H;1RZ6Uyd)0g z1&Ct6yzLnZwmlLJLV3$3BsvKbvBvDC5}I2itPX73I%4CZrAH1tOfTNRy>-&|_9!mn z!eRW#V*K9}&_d#`Nkf`m*BpKHVo1xTxsdjC-5i`f(~$yg#tY1jzY8gsXX)o>) z7rVVxNj9R&rV*dgZ)+f(FyyRzX>Eqc@-A|H5e1CJyp9}%EBV)S=lQIyatkbD%eg;(sYAA7 zy1#_Se%MmCVME}SU@UHF{fUepY-0rw$K4m|fXf!A_&nBv%)Qg=fDd{Bm>RLxz)l+UwX#m5wRjUQPNp**_j^ z$R5IM>p!_-0;{VK2XQRwxi+j#t+z*u{(sK_|9@T?r4v2-w1|37#dGKtwzehCt@aM9 zh|4ZGwWOpm_hC0L@AH9I*w@f#oBQ64zbE{k6AzQcn@IOg;n_ymTQSzokPYm+BRZH3 z(81=Dgmmckl?-Cj;F)K{Gl$%4g2BdR!P=eFhJnOB}cnHf*%8JDN)aOTt}4K5FH zK!^jSFnuCI3XBGQBn%05^?%xvEVtEh@XRS`Xp0Ug^nCdKxvQi7~Mps+Ty@rHEPIn9qgM28QaeAxKO!b>X7w237H%47AyTdbqxlAnxc z!`spvb8k7MM`sAlrj9d7-%K=j?j>J!mO9zA@r;Dv;~PnqP03}X)`T%ocGpawaLT^ z+f%@I_G2huH7irxC<74WAh%uYw%X4+txE81Y8kwtfaKtkV|^Tq zexzq`7c?gmC6V6Il_bUaB2{YreB4bhj}`Bv(&66e9L|g@Q-%CeB|d*c*pRcMFL#!~ z01_11I6{J_<{gWraHHykBGKVbBI>H%VyG_1JZ~7|0PMgCbBF^e2ZuQD>(*&_Il2N( z4Eb{nyv#9mMW=q#H>PhJQEI19AZH5&h@k%~Igz%QS1vyzere#6tRB4VuD{odd3R@gN$#r`GJ#CKEerdK=X^lS_11K@O$w#2Sg&M#OumQb%uFA5VKpu|vVGB-gxOb= z@SYV*2M>?6ColbV*oMQIWq9&DY}jx(C-e<2} z!3p4RL^m>bAPeLTwmm77X*g_uptIGn_Sb~<050vq-#9P8vh8WosB@DFl(Q6A>Q94O&8wQ>fsONjx!ve?xOorMMd|BUejg%|i`41rcWR^yxJGL1jT=vGHWd}QO z+sp83ePVr`D6rVF=0yK?L`FEUm(iOq<9adV0N!KWHj}FDHH!nUU9Ol?d{|1QII?JZ3<5~=V4ZxZk48LP8Z`@|Z@Hcs%&~Ceeo#fBiJBo~gXJ}>Pq^EF566`FSoPt; z7VA~(sGzD@f$LfnHTiYp(5g}Mrn# zxWF1Sj3A~3XPZG@D9noWokdI|CA=27ulrv z3uTc19%bxTI>BUG2 zG55r<&YANmI|2Lo3{vY^cVdF&lc=qD_a9%4C?5S0{2stm33-G4J6aw--ma!Z3rUxE znRp4EC~vDu6R?pj+*~6{?1xMf5!;<42%f^B%VyaB@p}z|`UVDxNGuWpm9%YY27aS9q5pr!{Vmiv+-(J) z|8PHP=>OmhLr)z@d|8e9AKsCo4!5K|{t$M{;%bwFe#!ys!K@%90!gufR)zP;>G`(T z{oCOwZ{HKChLAUMI&fpB7$Zt9q?3nsMqF@^=M*B6@?b}#`dGs&UOn?{w$HQh8j9}W zCL1{Ux{Fi}+*=}W#(-E~u^jD-5HG&>xwl{CyAgE8_1PzO(UQQ%#fX_Jn-@3tTSm#_ zH420(1OebvOsV_qi2U4|aBQW8lV>42A_U&5S1(ap_UzkrZ~NdV!s}~7ecRIVnP-9= z1wsO9#}_F+Dg>)Y4|ERXe z)^IkVzf#m}WF%w(RF>LJx|xVM28mEFLUNkSu%2?6n-nVGLs{|w1O{NmbOR*t`WIZ< ztPji`Gm1!7^sO&c!%HQ*&NM^7E_owj)4381q&1JSP6=ttpfFLuYA~cwL=v4|8?K^D?jAqp!FX~hjM1Q z*o|7Jh5z#T0A=3&{8e+&Dsrm##T`w9(+=v^)d9?X}K)zf;9QoVmYEDcWM>puzaQ9_^WKS2m$IKKevUan;FTnMB$1%5gX{Pk);B6+#MoANd%B z!v@B(rQqk!;YScFqpry|UExZ_ZpML8nCGx9y>{b7ywB=8nng|49VY?DOqkbnr z>58O6h<6k}w|EG@tDmtcnRgPtmsQ-m=Csv*zZpY5XFe>{VqgOK`>m zUt-}La2edT-l47vY|As=sO6Ncczu-Viv1Wka=*17vz6s_oDEbPCsJG*^1f`{q*t@O z|HO~sx<@Fd#&5fX$lE3g1to>SG&VOz;o5u+VXm%MV-Loa$GLUn&@5tGlv7V6igr~$ z->m4uUGb#et(K1V=Z8C96optdO)8j$bGFr)+qf1m&C=TFvjMXPOkpR_g2|ep78_*{ z5=yb*IoxZx?a&!N(ZhX7UEp!hNzA zq6nYFk7MG}1XkyqP1<64ZF$uLun&BLazBEA^^*d+N`;VmDHr&8dZxONR4`@7WimeK zmsOvO_==+%-8-s^oaXeymTjv037DL*YB5sp<%YBo=; zi8?`A%IWB#tsy$8czCg&rX(7{G-{1?a((AWawV3Lm;?tuo4sDRn3MJmBvN63Mn1d7RuTVu0O8rWL+FzQ52lOhyW2W$Dj5)?pfR& zh&rLbjco{RE;&Cs&D)dx88L9bI%Umq>dLwEHuL>EBu(k_-sYnZC84P(!!Ss@kE4<; z8?0nL%(7u)Qzj&DYE=2)WQUfYQ0HjG+q}o%RnvaN1P)??q6I3o)o)F5u?lpCT;~Wl z$(R0J#`GY6K5A9?6Cg}ISPmw=Jd72?gCmkguD^4SUku(*JnLDcyPPE3i(x(CpO+5+ z()yD{(v5c)=n(&uVuxyhQf#0N;UsB+mehGSgpt&_C6xgjr#zgQG+wj)C)|^ML3AZp z+&;u&c=Y5ooD^$rPE@s1wQJ!@ps|0+3o9T@w%;e91@cI5e?^v?pZ}Z${gMpEM(3Oqj+_G(s>9*_9DeuEbeRQ^yFZPP)&KgGe>cQ32L~* z<1{|(%t~Wn&RQ!YjMVxr;;57}dzwc~DK6mir${DtIh%J89@)dTt;z_6Z^w|i?wQ(A*3zzWb|8Od@DNhKONe= m&x&Zid;d!Mp3I3|i0a)T%8KVFs>26#?%dMXD!pkJ_5T34Ta-Zn literal 0 HcmV?d00001 diff --git a/peripherals/I2S/men_cycle.vhd b/peripherals/I2S/men_cycle.vhd new file mode 100644 index 00000000..d1751f78 --- /dev/null +++ b/peripherals/I2S/men_cycle.vhd @@ -0,0 +1,49 @@ +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; + +entity men_cycle is + port( + clk : in std_logic; + rst : in std_logic; + data : in std_logic_vector(31 downto 0); + wren : in std_logic; + q : out std_logic_vector(31 downto 0) + ); +end entity men_cycle; + +architecture RTL of men_cycle is + + signal address : unsigned(13 downto 0) := (others => '0'); + + component ram + PORT + ( + address : IN STD_LOGIC_VECTOR (13 DOWNTO 0); + clock : IN STD_LOGIC := '1'; + data : IN STD_LOGIC_VECTOR (31 DOWNTO 0); + wren : IN STD_LOGIC ; + q : OUT STD_LOGIC_VECTOR (31 DOWNTO 0) + ); +end component; +begin + ram_inst : component ram + port map( + address => std_logic_vector(address), + clock => clk, + data => data, + wren => wren, + q => q + ); + + addr_cycle : process (wren, rst) is + begin + if rst = '1' then + address <= (others => '0'); + elsif rising_edge(wren) then + address <= address + 1; + end if; + end process addr_cycle; + +end architecture RTL; + diff --git a/peripherals/I2S/sint/de10_lite.ipregen.rpt b/peripherals/I2S/sint/de10_lite.ipregen.rpt new file mode 100644 index 00000000..346d78b7 --- /dev/null +++ b/peripherals/I2S/sint/de10_lite.ipregen.rpt @@ -0,0 +1,56 @@ +IP Upgrade report for de10_lite +Fri Aug 30 16:13:12 2024 +Quartus Prime Version 21.1.1 Build 850 06/23/2022 SJ Lite Edition + + +--------------------- +; Table of Contents ; +--------------------- + 1. Legal Notice + 2. IP Upgrade Summary + 3. IP Upgrade Messages + + + +---------------- +; Legal Notice ; +---------------- +Copyright (C) 2022 Intel Corporation. All rights reserved. +Your use of Intel Corporation's design tools, logic functions +and other software and tools, and any partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Intel Program License +Subscription Agreement, the Intel Quartus Prime License Agreement, +the Intel FPGA IP License Agreement, or other applicable license +agreement, including, without limitation, that your use is for +the sole purpose of programming logic devices manufactured by +Intel and sold by Intel or its authorized distributors. Please +refer to the applicable agreement for further details, at +https://fpgasoftware.intel.com/eula. + + + ++----------------------------------------------------------------------------+ +; IP Upgrade Summary ; ++------------------------------+---------------------------------------------+ +; IP Components Upgrade Status ; Failed - Fri Aug 30 16:13:12 2024 ; +; Quartus Prime Version ; 21.1.1 Build 850 06/23/2022 SJ Lite Edition ; +; Revision Name ; de10_lite ; +; Top-level Entity Name ; de10_lite ; +; Family ; MAX 10 ; ++------------------------------+---------------------------------------------+ + + ++---------------------+ +; IP Upgrade Messages ; ++---------------------+ +Info (23030): Evaluation of Tcl script c:/intelfpga_lite/21.1/quartus/common/tcl/internal/ip_regen/ip_regen.tcl was successful +Info: Quartus Prime Shell was successful. 0 errors, 0 warnings + Info: Peak virtual memory: 4877 megabytes + Info: Processing ended: Fri Aug 30 16:13:12 2024 + Info: Elapsed time: 00:00:20 + Info: Total CPU time (on all processors): 00:00:24 + + diff --git a/peripherals/I2S/sint/de10_lite.qpf b/peripherals/I2S/sint/de10_lite.qpf new file mode 100644 index 00000000..c44f1c80 --- /dev/null +++ b/peripherals/I2S/sint/de10_lite.qpf @@ -0,0 +1,30 @@ +# -------------------------------------------------------------------------- # +# +# Copyright (C) 2018 Intel Corporation. All rights reserved. +# Your use of Intel Corporation's design tools, logic functions +# and other software and tools, and its AMPP partner logic +# functions, and any output files from any of the foregoing +# (including device programming or simulation files), and any +# associated documentation or information are expressly subject +# to the terms and conditions of the Intel Program License +# Subscription Agreement, the Intel Quartus Prime License Agreement, +# the Intel FPGA IP License Agreement, or other applicable license +# agreement, including, without limitation, that your use is for +# the sole purpose of programming logic devices manufactured by +# Intel and sold by Intel or its authorized distributors. Please +# refer to the applicable agreement for further details. +# +# -------------------------------------------------------------------------- # +# +# Quartus Prime +# Version 18.1.0 Build 625 09/12/2018 SJ Standard Edition +# Date created = 09:41:06 April 06, 2020 +# +# -------------------------------------------------------------------------- # + +QUARTUS_VERSION = "18.1" +DATE = "09:41:06 April 06, 2020" + +# Revisions + +PROJECT_REVISION = "de10_lite" diff --git a/peripherals/I2S/sint/de10_lite.qsf b/peripherals/I2S/sint/de10_lite.qsf new file mode 100644 index 00000000..263a80c7 --- /dev/null +++ b/peripherals/I2S/sint/de10_lite.qsf @@ -0,0 +1,362 @@ +# -------------------------------------------------------------------------- # +# +# Copyright (C) 2018 Intel Corporation. All rights reserved. +# Your use of Intel Corporation's design tools, logic functions +# and other software and tools, and its AMPP partner logic +# functions, and any output files from any of the foregoing +# (including device programming or simulation files), and any +# associated documentation or information are expressly subject +# to the terms and conditions of the Intel Program License +# Subscription Agreement, the Intel Quartus Prime License Agreement, +# the Intel FPGA IP License Agreement, or other applicable license +# agreement, including, without limitation, that your use is for +# the sole purpose of programming logic devices manufactured by +# Intel and sold by Intel or its authorized distributors. Please +# refer to the applicable agreement for further details. +# +# -------------------------------------------------------------------------- # +# +# Quartus Prime +# Version 18.1.0 Build 625 09/12/2018 SJ Standard Edition +# Date created = 09:41:06 April 06, 2020 +# +# -------------------------------------------------------------------------- # +# +# Notes: +# +# 1) The default values for assignments are stored in the file: +# de10_lite_assignment_defaults.qdf +# If this file doesn't exist, see file: +# assignment_defaults.qdf +# +# 2) Altera recommends that you do not modify this file. This +# file is updated automatically by the Quartus Prime software +# and any changes you make may be lost or overwritten. +# +# -------------------------------------------------------------------------- # + + +set_global_assignment -name FAMILY "MAX 10" +set_global_assignment -name DEVICE 10M50DAF484C7G +set_global_assignment -name TOP_LEVEL_ENTITY de10_lite +set_global_assignment -name ORIGINAL_QUARTUS_VERSION 18.1.0 +set_global_assignment -name PROJECT_CREATION_TIME_DATE "09:41:06 APRIL 06, 2020" +set_global_assignment -name LAST_QUARTUS_VERSION "21.1.1 Lite Edition" +set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files +set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0 +set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85 +set_global_assignment -name ERROR_CHECK_FREQUENCY_DIVISOR 256 +set_global_assignment -name EDA_SIMULATION_TOOL "Questa Intel FPGA (Verilog)" +set_global_assignment -name EDA_TIME_SCALE "1 ps" -section_id eda_simulation +set_global_assignment -name EDA_OUTPUT_DATA_FORMAT "VERILOG HDL" -section_id eda_simulation +set_global_assignment -name POWER_PRESET_COOLING_SOLUTION "23 MM HEAT SINK WITH 200 LFPM AIRFLOW" +set_global_assignment -name POWER_BOARD_THERMAL_MODEL "NONE (CONSERVATIVE)" +set_location_assignment PIN_N5 -to ADC_CLK_10 +set_location_assignment PIN_P11 -to MAX10_CLK1_50 +set_location_assignment PIN_N14 -to MAX10_CLK2_50 +set_location_assignment PIN_U17 -to DRAM_ADDR[0] +set_location_assignment PIN_W19 -to DRAM_ADDR[1] +set_location_assignment PIN_V18 -to DRAM_ADDR[2] +set_location_assignment PIN_U18 -to DRAM_ADDR[3] +set_location_assignment PIN_U19 -to DRAM_ADDR[4] +set_location_assignment PIN_T18 -to DRAM_ADDR[5] +set_location_assignment PIN_T19 -to DRAM_ADDR[6] +set_location_assignment PIN_R18 -to DRAM_ADDR[7] +set_location_assignment PIN_P18 -to DRAM_ADDR[8] +set_location_assignment PIN_P19 -to DRAM_ADDR[9] +set_location_assignment PIN_T20 -to DRAM_ADDR[10] +set_location_assignment PIN_P20 -to DRAM_ADDR[11] +set_location_assignment PIN_R20 -to DRAM_ADDR[12] +set_location_assignment PIN_T21 -to DRAM_BA[0] +set_location_assignment PIN_T22 -to DRAM_BA[1] +set_location_assignment PIN_U21 -to DRAM_CAS_N +set_location_assignment PIN_N22 -to DRAM_CKE +set_location_assignment PIN_L14 -to DRAM_CLK +set_location_assignment PIN_U20 -to DRAM_CS_N +set_location_assignment PIN_Y21 -to DRAM_DQ[0] +set_location_assignment PIN_Y20 -to DRAM_DQ[1] +set_location_assignment PIN_AA22 -to DRAM_DQ[2] +set_location_assignment PIN_AA21 -to DRAM_DQ[3] +set_location_assignment PIN_Y22 -to DRAM_DQ[4] +set_location_assignment PIN_W22 -to DRAM_DQ[5] +set_location_assignment PIN_W20 -to DRAM_DQ[6] +set_location_assignment PIN_V21 -to DRAM_DQ[7] +set_location_assignment PIN_P21 -to DRAM_DQ[8] +set_location_assignment PIN_J22 -to DRAM_DQ[9] +set_location_assignment PIN_H21 -to DRAM_DQ[10] +set_location_assignment PIN_H22 -to DRAM_DQ[11] +set_location_assignment PIN_G22 -to DRAM_DQ[12] +set_location_assignment PIN_G20 -to DRAM_DQ[13] +set_location_assignment PIN_G19 -to DRAM_DQ[14] +set_location_assignment PIN_F22 -to DRAM_DQ[15] +set_location_assignment PIN_V22 -to DRAM_LDQM +set_location_assignment PIN_U22 -to DRAM_RAS_N +set_location_assignment PIN_J21 -to DRAM_UDQM +set_location_assignment PIN_V20 -to DRAM_WE_N +set_location_assignment PIN_C14 -to HEX0[0] +set_location_assignment PIN_E15 -to HEX0[1] +set_location_assignment PIN_C15 -to HEX0[2] +set_location_assignment PIN_C16 -to HEX0[3] +set_location_assignment PIN_E16 -to HEX0[4] +set_location_assignment PIN_D17 -to HEX0[5] +set_location_assignment PIN_C17 -to HEX0[6] +set_location_assignment PIN_D15 -to HEX0[7] +set_location_assignment PIN_C18 -to HEX1[0] +set_location_assignment PIN_D18 -to HEX1[1] +set_location_assignment PIN_E18 -to HEX1[2] +set_location_assignment PIN_B16 -to HEX1[3] +set_location_assignment PIN_A17 -to HEX1[4] +set_location_assignment PIN_A18 -to HEX1[5] +set_location_assignment PIN_B17 -to HEX1[6] +set_location_assignment PIN_A16 -to HEX1[7] +set_location_assignment PIN_B20 -to HEX2[0] +set_location_assignment PIN_A20 -to HEX2[1] +set_location_assignment PIN_B19 -to HEX2[2] +set_location_assignment PIN_A21 -to HEX2[3] +set_location_assignment PIN_B21 -to HEX2[4] +set_location_assignment PIN_C22 -to HEX2[5] +set_location_assignment PIN_B22 -to HEX2[6] +set_location_assignment PIN_A19 -to HEX2[7] +set_location_assignment PIN_F21 -to HEX3[0] +set_location_assignment PIN_E22 -to HEX3[1] +set_location_assignment PIN_E21 -to HEX3[2] +set_location_assignment PIN_C19 -to HEX3[3] +set_location_assignment PIN_C20 -to HEX3[4] +set_location_assignment PIN_D19 -to HEX3[5] +set_location_assignment PIN_E17 -to HEX3[6] +set_location_assignment PIN_D22 -to HEX3[7] +set_location_assignment PIN_F18 -to HEX4[0] +set_location_assignment PIN_E20 -to HEX4[1] +set_location_assignment PIN_E19 -to HEX4[2] +set_location_assignment PIN_J18 -to HEX4[3] +set_location_assignment PIN_H19 -to HEX4[4] +set_location_assignment PIN_F19 -to HEX4[5] +set_location_assignment PIN_F20 -to HEX4[6] +set_location_assignment PIN_F17 -to HEX4[7] +set_location_assignment PIN_J20 -to HEX5[0] +set_location_assignment PIN_K20 -to HEX5[1] +set_location_assignment PIN_L18 -to HEX5[2] +set_location_assignment PIN_N18 -to HEX5[3] +set_location_assignment PIN_M20 -to HEX5[4] +set_location_assignment PIN_N19 -to HEX5[5] +set_location_assignment PIN_N20 -to HEX5[6] +set_location_assignment PIN_L19 -to HEX5[7] +set_location_assignment PIN_B8 -to KEY[0] +set_location_assignment PIN_A7 -to KEY[1] +set_location_assignment PIN_A8 -to LEDR[0] +set_location_assignment PIN_A9 -to LEDR[1] +set_location_assignment PIN_A10 -to LEDR[2] +set_location_assignment PIN_B10 -to LEDR[3] +set_location_assignment PIN_D13 -to LEDR[4] +set_location_assignment PIN_C13 -to LEDR[5] +set_location_assignment PIN_E14 -to LEDR[6] +set_location_assignment PIN_D14 -to LEDR[7] +set_location_assignment PIN_A11 -to LEDR[8] +set_location_assignment PIN_B11 -to LEDR[9] +set_location_assignment PIN_C10 -to SW[0] +set_location_assignment PIN_C11 -to SW[1] +set_location_assignment PIN_D12 -to SW[2] +set_location_assignment PIN_C12 -to SW[3] +set_location_assignment PIN_A12 -to SW[4] +set_location_assignment PIN_B12 -to SW[5] +set_location_assignment PIN_A13 -to SW[6] +set_location_assignment PIN_A14 -to SW[7] +set_location_assignment PIN_B14 -to SW[8] +set_location_assignment PIN_F15 -to SW[9] +set_location_assignment PIN_P1 -to VGA_B[0] +set_location_assignment PIN_T1 -to VGA_B[1] +set_location_assignment PIN_P4 -to VGA_B[2] +set_location_assignment PIN_N2 -to VGA_B[3] +set_location_assignment PIN_W1 -to VGA_G[0] +set_location_assignment PIN_T2 -to VGA_G[1] +set_location_assignment PIN_R2 -to VGA_G[2] +set_location_assignment PIN_R1 -to VGA_G[3] +set_location_assignment PIN_N3 -to VGA_HS +set_location_assignment PIN_AA1 -to VGA_R[0] +set_location_assignment PIN_V1 -to VGA_R[1] +set_location_assignment PIN_Y2 -to VGA_R[2] +set_location_assignment PIN_Y1 -to VGA_R[3] +set_location_assignment PIN_N1 -to VGA_VS +set_location_assignment PIN_AB16 -to GSENSOR_CS_N +set_location_assignment PIN_Y14 -to GSENSOR_INT[1] +set_location_assignment PIN_Y13 -to GSENSOR_INT[2] +set_location_assignment PIN_AB15 -to GSENSOR_SCLK +set_location_assignment PIN_V11 -to GSENSOR_SDI +set_location_assignment PIN_V12 -to GSENSOR_SDO +set_location_assignment PIN_AB5 -to ARDUINO_IO[0] +set_location_assignment PIN_AB6 -to ARDUINO_IO[1] +set_location_assignment PIN_AB7 -to ARDUINO_IO[2] +set_location_assignment PIN_AB8 -to ARDUINO_IO[3] +set_location_assignment PIN_AB9 -to ARDUINO_IO[4] +set_location_assignment PIN_Y10 -to ARDUINO_IO[5] +set_location_assignment PIN_AA11 -to ARDUINO_IO[6] +set_location_assignment PIN_AA12 -to ARDUINO_IO[7] +set_location_assignment PIN_AB17 -to ARDUINO_IO[8] +set_location_assignment PIN_AA17 -to ARDUINO_IO[9] +set_location_assignment PIN_AB19 -to ARDUINO_IO[10] +set_location_assignment PIN_AA19 -to ARDUINO_IO[11] +set_location_assignment PIN_Y19 -to ARDUINO_IO[12] +set_location_assignment PIN_AB20 -to ARDUINO_IO[13] +set_location_assignment PIN_AB21 -to ARDUINO_IO[14] +set_location_assignment PIN_AA20 -to ARDUINO_IO[15] +set_location_assignment PIN_F16 -to ARDUINO_RESET_N +set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top +set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top +set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ADC_CLK_10 +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to MAX10_CLK1_50 +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to MAX10_CLK2_50 +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[4] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[5] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[6] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[7] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[8] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[9] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[10] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[11] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[12] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_BA[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_BA[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_CAS_N +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_CKE +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_CLK +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_CS_N +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[4] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[5] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[6] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[7] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[8] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[9] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[10] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[11] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[12] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[13] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[14] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[15] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_LDQM +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_RAS_N +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_UDQM +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_WE_N +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX0[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX0[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX0[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX0[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX0[4] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX0[5] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX0[6] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX0[7] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX1[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX1[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX1[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX1[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX1[4] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX1[5] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX1[6] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX1[7] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX2[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX2[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX2[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX2[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX2[4] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX2[5] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX2[6] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX2[7] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX3[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX3[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX3[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX3[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX3[4] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX3[5] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX3[6] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX3[7] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX4[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX4[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX4[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX4[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX4[4] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX4[5] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX4[6] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX4[7] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX5[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX5[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX5[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX5[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX5[4] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX5[5] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX5[6] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX5[7] +set_instance_assignment -name IO_STANDARD "3.3 V SCHMITT TRIGGER" -to KEY[0] +set_instance_assignment -name IO_STANDARD "3.3 V SCHMITT TRIGGER" -to KEY[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDR[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDR[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDR[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDR[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDR[4] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDR[5] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDR[6] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDR[7] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDR[8] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDR[9] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[4] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[5] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[6] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[7] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[8] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[9] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_B[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_B[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_B[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_B[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_G[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_G[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_G[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_G[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_HS +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_R[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_R[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_R[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_R[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_VS +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GSENSOR_CS_N +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GSENSOR_INT[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GSENSOR_INT[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GSENSOR_SCLK +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GSENSOR_SDI +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GSENSOR_SDO +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[4] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[5] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[6] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[7] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[8] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[9] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[10] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[11] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[12] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[13] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[14] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[15] +set_instance_assignment -name IO_STANDARD "3.3 V SCHMITT TRIGGER" -to ARDUINO_RESET_N +set_global_assignment -name VHDL_FILE ../men_cycle.vhd +set_global_assignment -name QSYS_FILE probe.qsys +set_global_assignment -name VHDL_FILE ../i2s.vhd +set_global_assignment -name SDC_FILE de10_lite.sdc +set_global_assignment -name VHDL_FILE de10_lite.vhd +set_global_assignment -name QIP_FILE pll.qip +set_global_assignment -name QIP_FILE ram.qip +set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top \ No newline at end of file diff --git a/peripherals/I2S/sint/de10_lite.qws b/peripherals/I2S/sint/de10_lite.qws new file mode 100644 index 0000000000000000000000000000000000000000..953d84bd697447d9db46bb7c8cf8b539751856bc GIT binary patch literal 2379 zcmeH|O>0v@6o%iMq_j&xs2~bmhy)>ebCb5wjisP=Aqe6=m=VQ*yoH^&snU$i(zR7mQWvd{ zt)&#F98|^r1do3B*45Dlt5W=~s0}YGX7wi40or9&w(*h9PMm$J``D!dbX(8E`i`zD z;micB#BQ;g_>|bW3{Q|6!Dh*`{{yyPrpJn5H?? zex|@eW-fArl)DbJpw*C_0l9jFb`RboCru*XauPLUm}ldZZn8Ax3U+C!JIRU2WGd<| z*?^zi5MtViJv))#nQ zM{)UEl)6HB4S_|9>+Vp+YH8Fl%E1;>@*0x6MFKT|LnruF;G>RlOph|;qgQ;w-!|(D zxgCtJ9aTnuH!$2ArtS;#G?Y|3p(ov-7ViJNAkN$2ZkNYo`^mKsAL5Y%8PVQI{-OWe zLdJ6kp2m=oQ_T?MMp6P%ZX$kve#KA?eG-PM*lSq};fUxBp97?X9AJ1yfNT4q1Ajp+ z^1k+YXCL!kI#(@tJMeCJe>c$9iD8hf&#kej?qmWup46cK8_4qwr$*kNgVRifEZ-uw SFi8BjjD{}axFvaV 'X') -- probe + ); + end component probe; + +begin + + pll_inst : component pll + port map( + inclk0 => MAX10_CLK1_50, + c0 => clk + ); + + u0 : component probe + port map ( + probe => data -- probes.probe + ); + + I2S_inst : entity work.I2S + port map( + clk => clk, + sck => sck_signal, + rst => SW(0), + ws => ws_signal, + sd => sd_signal, + enable => SW(1), + left_channel => data(31 downto 0), + right_channel => data(63 downto 32) + ); + + men_cycle_inst : entity work.men_cycle + port map( + clk => clk, + rst => SW(0), + data => data(63 downto 32), -- Grava o sinal do mic direito + wren => ws_signal, + q => data(95 downto 64) + ); + + -- Os sinais foram duplicados para a depuração + ARDUINO_IO(0) <= sck_signal; + ARDUINO_IO(1) <= sck_signal; + ARDUINO_IO(2) <= ws_signal; + ARDUINO_IO(3) <= ws_signal; + sd_signal <= ARDUINO_IO(4); + ARDUINO_IO(5) <= sd_signal; + +end; + diff --git a/peripherals/I2S/sint/de10_lite_assignment_defaults.qdf b/peripherals/I2S/sint/de10_lite_assignment_defaults.qdf new file mode 100644 index 00000000..4519cb73 --- /dev/null +++ b/peripherals/I2S/sint/de10_lite_assignment_defaults.qdf @@ -0,0 +1,806 @@ +# -------------------------------------------------------------------------- # +# +# Copyright (C) 2022 Intel Corporation. All rights reserved. +# Your use of Intel Corporation's design tools, logic functions +# and other software and tools, and any partner logic +# functions, and any output files from any of the foregoing +# (including device programming or simulation files), and any +# associated documentation or information are expressly subject +# to the terms and conditions of the Intel Program License +# Subscription Agreement, the Intel Quartus Prime License Agreement, +# the Intel FPGA IP License Agreement, or other applicable license +# agreement, including, without limitation, that your use is for +# the sole purpose of programming logic devices manufactured by +# Intel and sold by Intel or its authorized distributors. Please +# refer to the applicable agreement for further details, at +# https://fpgasoftware.intel.com/eula. +# +# -------------------------------------------------------------------------- # +# +# Quartus Prime +# Version 21.1.1 Build 850 06/23/2022 SJ Lite Edition +# Date created = 09:41:15 August 26, 2024 +# +# -------------------------------------------------------------------------- # +# +# Note: +# +# 1) Do not modify this file. This file was generated +# automatically by the Quartus Prime software and is used +# to preserve global assignments across Quartus Prime versions. +# +# -------------------------------------------------------------------------- # + +set_global_assignment -name IP_COMPONENT_REPORT_HIERARCHY Off +set_global_assignment -name IP_COMPONENT_INTERNAL Off +set_global_assignment -name PROJECT_SHOW_ENTITY_NAME On +set_global_assignment -name PROJECT_USE_SIMPLIFIED_NAMES Off +set_global_assignment -name ENABLE_REDUCED_MEMORY_MODE Off +set_global_assignment -name VER_COMPATIBLE_DB_DIR export_db +set_global_assignment -name AUTO_EXPORT_VER_COMPATIBLE_DB Off +set_global_assignment -name FLOW_DISABLE_ASSEMBLER Off +set_global_assignment -name FLOW_ENABLE_POWER_ANALYZER Off +set_global_assignment -name FLOW_ENABLE_HC_COMPARE Off +set_global_assignment -name HC_OUTPUT_DIR hc_output +set_global_assignment -name SAVE_MIGRATION_INFO_DURING_COMPILATION Off +set_global_assignment -name FLOW_ENABLE_IO_ASSIGNMENT_ANALYSIS Off +set_global_assignment -name RUN_FULL_COMPILE_ON_DEVICE_CHANGE On +set_global_assignment -name FLOW_ENABLE_RTL_VIEWER Off +set_global_assignment -name READ_OR_WRITE_IN_BYTE_ADDRESS "Use global settings" +set_global_assignment -name FLOW_HARDCOPY_DESIGN_READINESS_CHECK On +set_global_assignment -name FLOW_ENABLE_PARALLEL_MODULES On +set_global_assignment -name ENABLE_COMPACT_REPORT_TABLE Off +set_global_assignment -name REVISION_TYPE Base -family "Arria V" +set_global_assignment -name REVISION_TYPE Base -family "Stratix V" +set_global_assignment -name REVISION_TYPE Base -family "Arria V GZ" +set_global_assignment -name REVISION_TYPE Base -family "Cyclone V" +set_global_assignment -name DEFAULT_HOLD_MULTICYCLE "Same as Multicycle" +set_global_assignment -name CUT_OFF_PATHS_BETWEEN_CLOCK_DOMAINS On +set_global_assignment -name CUT_OFF_READ_DURING_WRITE_PATHS On +set_global_assignment -name CUT_OFF_IO_PIN_FEEDBACK On +set_global_assignment -name DO_COMBINED_ANALYSIS Off +set_global_assignment -name TDC_AGGRESSIVE_HOLD_CLOSURE_EFFORT Off +set_global_assignment -name ENABLE_HPS_INTERNAL_TIMING Off +set_global_assignment -name EMIF_SOC_PHYCLK_ADVANCE_MODELING Off +set_global_assignment -name USE_DLL_FREQUENCY_FOR_DQS_DELAY_CHAIN Off +set_global_assignment -name ANALYZE_LATCHES_AS_SYNCHRONOUS_ELEMENTS On +set_global_assignment -name TIMING_ANALYZER_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS On +set_global_assignment -name TIMING_ANALYZER_MULTICORNER_ANALYSIS On -family "Arria V" +set_global_assignment -name TIMING_ANALYZER_MULTICORNER_ANALYSIS On -family "Cyclone 10 LP" +set_global_assignment -name TIMING_ANALYZER_MULTICORNER_ANALYSIS On -family "MAX 10" +set_global_assignment -name TIMING_ANALYZER_MULTICORNER_ANALYSIS On -family "Stratix IV" +set_global_assignment -name TIMING_ANALYZER_MULTICORNER_ANALYSIS On -family "Cyclone IV E" +set_global_assignment -name TIMING_ANALYZER_MULTICORNER_ANALYSIS On -family "Arria 10" +set_global_assignment -name TIMING_ANALYZER_MULTICORNER_ANALYSIS Off -family "MAX V" +set_global_assignment -name TIMING_ANALYZER_MULTICORNER_ANALYSIS On -family "Stratix V" +set_global_assignment -name TIMING_ANALYZER_MULTICORNER_ANALYSIS On -family "Arria V GZ" +set_global_assignment -name TIMING_ANALYZER_MULTICORNER_ANALYSIS Off -family "MAX II" +set_global_assignment -name TIMING_ANALYZER_MULTICORNER_ANALYSIS On -family "Arria II GX" +set_global_assignment -name TIMING_ANALYZER_MULTICORNER_ANALYSIS On -family "Arria II GZ" +set_global_assignment -name TIMING_ANALYZER_MULTICORNER_ANALYSIS On -family "Cyclone IV GX" +set_global_assignment -name TIMING_ANALYZER_MULTICORNER_ANALYSIS On -family "Cyclone V" +set_global_assignment -name TIMING_ANALYZER_DO_REPORT_TIMING Off +set_global_assignment -name TIMING_ANALYZER_REPORT_WORST_CASE_TIMING_PATHS Off -family "Arria V" +set_global_assignment -name TIMING_ANALYZER_REPORT_WORST_CASE_TIMING_PATHS On -family "Cyclone 10 LP" +set_global_assignment -name TIMING_ANALYZER_REPORT_WORST_CASE_TIMING_PATHS Off -family "MAX 10" +set_global_assignment -name TIMING_ANALYZER_REPORT_WORST_CASE_TIMING_PATHS Off -family "Stratix IV" +set_global_assignment -name TIMING_ANALYZER_REPORT_WORST_CASE_TIMING_PATHS On -family "Cyclone IV E" +set_global_assignment -name TIMING_ANALYZER_REPORT_WORST_CASE_TIMING_PATHS Off -family "Arria 10" +set_global_assignment -name TIMING_ANALYZER_REPORT_WORST_CASE_TIMING_PATHS On -family "MAX V" +set_global_assignment -name TIMING_ANALYZER_REPORT_WORST_CASE_TIMING_PATHS Off -family "Stratix V" +set_global_assignment -name TIMING_ANALYZER_REPORT_WORST_CASE_TIMING_PATHS Off -family "Arria V GZ" +set_global_assignment -name TIMING_ANALYZER_REPORT_WORST_CASE_TIMING_PATHS On -family "MAX II" +set_global_assignment -name TIMING_ANALYZER_REPORT_WORST_CASE_TIMING_PATHS Off -family "Arria II GX" +set_global_assignment -name TIMING_ANALYZER_REPORT_WORST_CASE_TIMING_PATHS Off -family "Arria II GZ" +set_global_assignment -name TIMING_ANALYZER_REPORT_WORST_CASE_TIMING_PATHS On -family "Cyclone IV GX" +set_global_assignment -name TIMING_ANALYZER_REPORT_WORST_CASE_TIMING_PATHS Off -family "Cyclone V" +set_global_assignment -name TIMING_ANALYZER_REPORT_NUM_WORST_CASE_TIMING_PATHS 100 +set_global_assignment -name TIMING_ANALYZER_DO_CCPP_REMOVAL On -family "Arria V" +set_global_assignment -name TIMING_ANALYZER_DO_CCPP_REMOVAL On -family "Cyclone 10 LP" +set_global_assignment -name TIMING_ANALYZER_DO_CCPP_REMOVAL On -family "MAX 10" +set_global_assignment -name TIMING_ANALYZER_DO_CCPP_REMOVAL On -family "Cyclone IV E" +set_global_assignment -name TIMING_ANALYZER_DO_CCPP_REMOVAL On -family "Stratix IV" +set_global_assignment -name TIMING_ANALYZER_DO_CCPP_REMOVAL On -family "Arria 10" +set_global_assignment -name TIMING_ANALYZER_DO_CCPP_REMOVAL Off -family "MAX V" +set_global_assignment -name TIMING_ANALYZER_DO_CCPP_REMOVAL On -family "Stratix V" +set_global_assignment -name TIMING_ANALYZER_DO_CCPP_REMOVAL On -family "Arria V GZ" +set_global_assignment -name TIMING_ANALYZER_DO_CCPP_REMOVAL Off -family "MAX II" +set_global_assignment -name TIMING_ANALYZER_DO_CCPP_REMOVAL On -family "Arria II GX" +set_global_assignment -name TIMING_ANALYZER_DO_CCPP_REMOVAL On -family "Arria II GZ" +set_global_assignment -name TIMING_ANALYZER_DO_CCPP_REMOVAL On -family "Cyclone IV GX" +set_global_assignment -name TIMING_ANALYZER_DO_CCPP_REMOVAL On -family "Cyclone V" +set_global_assignment -name OPTIMIZATION_MODE Balanced +set_global_assignment -name ALLOW_REGISTER_MERGING On +set_global_assignment -name ALLOW_REGISTER_DUPLICATION On +set_global_assignment -name DISABLE_LEGACY_TIMING_ANALYZER OFF -family "Arria V" +set_global_assignment -name DISABLE_LEGACY_TIMING_ANALYZER ON -family "Cyclone 10 LP" +set_global_assignment -name DISABLE_LEGACY_TIMING_ANALYZER OFF -family "MAX 10" +set_global_assignment -name DISABLE_LEGACY_TIMING_ANALYZER OFF -family "Stratix IV" +set_global_assignment -name DISABLE_LEGACY_TIMING_ANALYZER OFF -family "Cyclone IV E" +set_global_assignment -name DISABLE_LEGACY_TIMING_ANALYZER ON -family "Arria 10" +set_global_assignment -name DISABLE_LEGACY_TIMING_ANALYZER OFF -family "MAX V" +set_global_assignment -name DISABLE_LEGACY_TIMING_ANALYZER OFF -family "Stratix V" +set_global_assignment -name DISABLE_LEGACY_TIMING_ANALYZER OFF -family "Arria V GZ" +set_global_assignment -name DISABLE_LEGACY_TIMING_ANALYZER OFF -family "MAX II" +set_global_assignment -name DISABLE_LEGACY_TIMING_ANALYZER OFF -family "Arria II GX" +set_global_assignment -name DISABLE_LEGACY_TIMING_ANALYZER OFF -family "Arria II GZ" +set_global_assignment -name DISABLE_LEGACY_TIMING_ANALYZER OFF -family "Cyclone IV GX" +set_global_assignment -name DISABLE_LEGACY_TIMING_ANALYZER OFF -family "Cyclone V" +set_global_assignment -name MUX_RESTRUCTURE Auto +set_global_assignment -name MLAB_ADD_TIMING_CONSTRAINTS_FOR_MIXED_PORT_FEED_THROUGH_MODE_SETTING_DONT_CARE Off +set_global_assignment -name ENABLE_IP_DEBUG Off +set_global_assignment -name SAVE_DISK_SPACE On +set_global_assignment -name OCP_HW_EVAL Enable +set_global_assignment -name DEVICE_FILTER_PACKAGE Any +set_global_assignment -name DEVICE_FILTER_PIN_COUNT Any +set_global_assignment -name DEVICE_FILTER_SPEED_GRADE Any +set_global_assignment -name EDA_DESIGN_ENTRY_SYNTHESIS_TOOL "" +set_global_assignment -name VERILOG_INPUT_VERSION Verilog_2001 +set_global_assignment -name VHDL_INPUT_VERSION VHDL_1993 +set_global_assignment -name FAMILY "Cyclone V" +set_global_assignment -name TRUE_WYSIWYG_FLOW Off +set_global_assignment -name SMART_COMPILE_IGNORES_TDC_FOR_STRATIX_PLL_CHANGES Off +set_global_assignment -name STATE_MACHINE_PROCESSING Auto +set_global_assignment -name SAFE_STATE_MACHINE Off +set_global_assignment -name EXTRACT_VERILOG_STATE_MACHINES On +set_global_assignment -name EXTRACT_VHDL_STATE_MACHINES On +set_global_assignment -name IGNORE_VERILOG_INITIAL_CONSTRUCTS Off +set_global_assignment -name VERILOG_CONSTANT_LOOP_LIMIT 5000 +set_global_assignment -name VERILOG_NON_CONSTANT_LOOP_LIMIT 250 +set_global_assignment -name INFER_RAMS_FROM_RAW_LOGIC On +set_global_assignment -name PARALLEL_SYNTHESIS On +set_global_assignment -name DSP_BLOCK_BALANCING Auto +set_global_assignment -name MAX_BALANCING_DSP_BLOCKS "-1 (Unlimited)" +set_global_assignment -name NOT_GATE_PUSH_BACK On +set_global_assignment -name ALLOW_POWER_UP_DONT_CARE On +set_global_assignment -name REMOVE_REDUNDANT_LOGIC_CELLS Off +set_global_assignment -name REMOVE_DUPLICATE_REGISTERS On +set_global_assignment -name IGNORE_CARRY_BUFFERS Off +set_global_assignment -name IGNORE_CASCADE_BUFFERS Off +set_global_assignment -name IGNORE_GLOBAL_BUFFERS Off +set_global_assignment -name IGNORE_ROW_GLOBAL_BUFFERS Off +set_global_assignment -name IGNORE_LCELL_BUFFERS Off +set_global_assignment -name MAX7000_IGNORE_LCELL_BUFFERS AUTO +set_global_assignment -name IGNORE_SOFT_BUFFERS On +set_global_assignment -name MAX7000_IGNORE_SOFT_BUFFERS Off +set_global_assignment -name LIMIT_AHDL_INTEGERS_TO_32_BITS Off +set_global_assignment -name AUTO_GLOBAL_CLOCK_MAX On +set_global_assignment -name AUTO_GLOBAL_OE_MAX On +set_global_assignment -name MAX_AUTO_GLOBAL_REGISTER_CONTROLS On +set_global_assignment -name AUTO_IMPLEMENT_IN_ROM Off +set_global_assignment -name APEX20K_TECHNOLOGY_MAPPER Lut +set_global_assignment -name OPTIMIZATION_TECHNIQUE Balanced +set_global_assignment -name STRATIXII_OPTIMIZATION_TECHNIQUE Balanced +set_global_assignment -name CYCLONE_OPTIMIZATION_TECHNIQUE Balanced +set_global_assignment -name CYCLONEII_OPTIMIZATION_TECHNIQUE Balanced +set_global_assignment -name STRATIX_OPTIMIZATION_TECHNIQUE Balanced +set_global_assignment -name MAXII_OPTIMIZATION_TECHNIQUE Balanced +set_global_assignment -name MAX7000_OPTIMIZATION_TECHNIQUE Speed +set_global_assignment -name APEX20K_OPTIMIZATION_TECHNIQUE Balanced +set_global_assignment -name MERCURY_OPTIMIZATION_TECHNIQUE Area +set_global_assignment -name FLEX6K_OPTIMIZATION_TECHNIQUE Area +set_global_assignment -name FLEX10K_OPTIMIZATION_TECHNIQUE Area +set_global_assignment -name ALLOW_XOR_GATE_USAGE On +set_global_assignment -name AUTO_LCELL_INSERTION On +set_global_assignment -name CARRY_CHAIN_LENGTH 48 +set_global_assignment -name FLEX6K_CARRY_CHAIN_LENGTH 32 +set_global_assignment -name FLEX10K_CARRY_CHAIN_LENGTH 32 +set_global_assignment -name MERCURY_CARRY_CHAIN_LENGTH 48 +set_global_assignment -name STRATIX_CARRY_CHAIN_LENGTH 70 +set_global_assignment -name STRATIXII_CARRY_CHAIN_LENGTH 70 +set_global_assignment -name CASCADE_CHAIN_LENGTH 2 +set_global_assignment -name PARALLEL_EXPANDER_CHAIN_LENGTH 16 +set_global_assignment -name MAX7000_PARALLEL_EXPANDER_CHAIN_LENGTH 4 +set_global_assignment -name AUTO_CARRY_CHAINS On +set_global_assignment -name AUTO_CASCADE_CHAINS On +set_global_assignment -name AUTO_PARALLEL_EXPANDERS On +set_global_assignment -name AUTO_OPEN_DRAIN_PINS On +set_global_assignment -name ADV_NETLIST_OPT_SYNTH_WYSIWYG_REMAP Off +set_global_assignment -name AUTO_ROM_RECOGNITION On +set_global_assignment -name AUTO_RAM_RECOGNITION On +set_global_assignment -name AUTO_DSP_RECOGNITION On +set_global_assignment -name AUTO_SHIFT_REGISTER_RECOGNITION Auto +set_global_assignment -name ALLOW_SHIFT_REGISTER_MERGING_ACROSS_HIERARCHIES Auto +set_global_assignment -name AUTO_CLOCK_ENABLE_RECOGNITION On +set_global_assignment -name STRICT_RAM_RECOGNITION Off +set_global_assignment -name ALLOW_SYNCH_CTRL_USAGE On +set_global_assignment -name FORCE_SYNCH_CLEAR Off +set_global_assignment -name AUTO_RAM_BLOCK_BALANCING On +set_global_assignment -name AUTO_RAM_TO_LCELL_CONVERSION Off +set_global_assignment -name AUTO_RESOURCE_SHARING Off +set_global_assignment -name ALLOW_ANY_SHIFT_REGISTER_SIZE_FOR_RECOGNITION Off +set_global_assignment -name MAX7000_FANIN_PER_CELL 100 +set_global_assignment -name USE_LOGICLOCK_CONSTRAINTS_IN_BALANCING On +set_global_assignment -name MAX_RAM_BLOCKS_M512 "-1 (Unlimited)" +set_global_assignment -name MAX_RAM_BLOCKS_M4K "-1 (Unlimited)" +set_global_assignment -name MAX_RAM_BLOCKS_MRAM "-1 (Unlimited)" +set_global_assignment -name IGNORE_TRANSLATE_OFF_AND_SYNTHESIS_OFF Off +set_global_assignment -name STRATIXGX_BYPASS_REMAPPING_OF_FORCE_SIGNAL_DETECT_SIGNAL_THRESHOLD_SELECT Off +set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS On -family "Arria II GZ" +set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS On -family "Arria V" +set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS On -family "Cyclone 10 LP" +set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS On -family "MAX 10" +set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS On -family "Cyclone IV GX" +set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS On -family "Stratix IV" +set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS On -family "Cyclone IV E" +set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS On -family "Arria 10" +set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS On -family "Stratix V" +set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS On -family "Arria V GZ" +set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS On -family "Cyclone V" +set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS On -family "Arria II GX" +set_global_assignment -name REPORT_PARAMETER_SETTINGS On +set_global_assignment -name REPORT_SOURCE_ASSIGNMENTS On +set_global_assignment -name REPORT_CONNECTIVITY_CHECKS On +set_global_assignment -name IGNORE_MAX_FANOUT_ASSIGNMENTS Off +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 3 -family "Arria V" +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 2 -family "Cyclone 10 LP" +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 2 -family "MAX 10" +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 2 -family "Cyclone IV E" +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 3 -family "Stratix IV" +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 3 -family "Arria 10" +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 2 -family "MAX V" +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 3 -family "Stratix V" +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 2 -family "MAX II" +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 3 -family "Arria V GZ" +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 3 -family "Arria II GX" +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 3 -family "Arria II GZ" +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 2 -family "Cyclone IV GX" +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 3 -family "Cyclone V" +set_global_assignment -name OPTIMIZE_POWER_DURING_SYNTHESIS "Normal compilation" +set_global_assignment -name HDL_MESSAGE_LEVEL Level2 +set_global_assignment -name USE_HIGH_SPEED_ADDER Auto +set_global_assignment -name NUMBER_OF_PROTECTED_REGISTERS_REPORTED 100 +set_global_assignment -name NUMBER_OF_REMOVED_REGISTERS_REPORTED 5000 +set_global_assignment -name NUMBER_OF_SYNTHESIS_MIGRATION_ROWS 5000 +set_global_assignment -name SYNTHESIS_S10_MIGRATION_CHECKS Off +set_global_assignment -name NUMBER_OF_SWEPT_NODES_REPORTED 5000 +set_global_assignment -name NUMBER_OF_INVERTED_REGISTERS_REPORTED 100 +set_global_assignment -name SYNTH_CLOCK_MUX_PROTECTION On +set_global_assignment -name SYNTH_GATED_CLOCK_CONVERSION Off +set_global_assignment -name BLOCK_DESIGN_NAMING Auto +set_global_assignment -name SYNTH_PROTECT_SDC_CONSTRAINT Off +set_global_assignment -name SYNTHESIS_EFFORT Auto +set_global_assignment -name SHIFT_REGISTER_RECOGNITION_ACLR_SIGNAL On +set_global_assignment -name PRE_MAPPING_RESYNTHESIS Off +set_global_assignment -name SYNTH_MESSAGE_LEVEL Medium +set_global_assignment -name DISABLE_REGISTER_MERGING_ACROSS_HIERARCHIES Auto +set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family "Arria II GZ" +set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family "Arria V" +set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family "Cyclone 10 LP" +set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family "MAX 10" +set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family "Cyclone IV GX" +set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family "Stratix IV" +set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family "Cyclone IV E" +set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family "Arria 10" +set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family "Stratix V" +set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family "Arria V GZ" +set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family "Cyclone V" +set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family "Arria II GX" +set_global_assignment -name MAX_LABS "-1 (Unlimited)" +set_global_assignment -name RBCGEN_CRITICAL_WARNING_TO_ERROR On +set_global_assignment -name MAX_NUMBER_OF_REGISTERS_FROM_UNINFERRED_RAMS "-1 (Unlimited)" +set_global_assignment -name AUTO_PARALLEL_SYNTHESIS On +set_global_assignment -name PRPOF_ID Off +set_global_assignment -name DISABLE_DSP_NEGATE_INFERENCING Off +set_global_assignment -name REPORT_PARAMETER_SETTINGS_PRO On +set_global_assignment -name REPORT_SOURCE_ASSIGNMENTS_PRO On +set_global_assignment -name ENABLE_STATE_MACHINE_INFERENCE Off +set_global_assignment -name FLEX10K_ENABLE_LOCK_OUTPUT Off +set_global_assignment -name AUTO_MERGE_PLLS On +set_global_assignment -name IGNORE_MODE_FOR_MERGE Off +set_global_assignment -name TXPMA_SLEW_RATE Low +set_global_assignment -name ADCE_ENABLED Auto +set_global_assignment -name ROUTER_TIMING_OPTIMIZATION_LEVEL Normal +set_global_assignment -name ROUTER_CLOCKING_TOPOLOGY_ANALYSIS Off +set_global_assignment -name PLACEMENT_EFFORT_MULTIPLIER 1.0 +set_global_assignment -name ROUTER_EFFORT_MULTIPLIER 1.0 +set_global_assignment -name FIT_ATTEMPTS_TO_SKIP 0.0 +set_global_assignment -name PHYSICAL_SYNTHESIS Off +set_global_assignment -name ECO_ALLOW_ROUTING_CHANGES Off +set_global_assignment -name DEVICE AUTO +set_global_assignment -name BASE_PIN_OUT_FILE_ON_SAMEFRAME_DEVICE Off +set_global_assignment -name ENABLE_JTAG_BST_SUPPORT Off +set_global_assignment -name MAX7000_ENABLE_JTAG_BST_SUPPORT On +set_global_assignment -name ENABLE_NCEO_OUTPUT Off +set_global_assignment -name RESERVE_NCEO_AFTER_CONFIGURATION "Use as regular IO" +set_global_assignment -name CYCLONEII_RESERVE_NCEO_AFTER_CONFIGURATION "Use as programming pin" +set_global_assignment -name STRATIXIII_UPDATE_MODE Standard +set_global_assignment -name STRATIX_UPDATE_MODE Standard +set_global_assignment -name INTERNAL_FLASH_UPDATE_MODE "Single Image" +set_global_assignment -name CVP_MODE Off +set_global_assignment -name STRATIXV_CONFIGURATION_SCHEME "Passive Serial" -family "Arria V" +set_global_assignment -name STRATIXV_CONFIGURATION_SCHEME "Passive Serial" -family "Arria 10" +set_global_assignment -name STRATIXV_CONFIGURATION_SCHEME "Passive Serial" -family "Stratix V" +set_global_assignment -name STRATIXV_CONFIGURATION_SCHEME "Passive Serial" -family "Arria V GZ" +set_global_assignment -name STRATIXV_CONFIGURATION_SCHEME "Passive Serial" -family "Cyclone V" +set_global_assignment -name VID_OPERATION_MODE "PMBus Slave" +set_global_assignment -name USE_CONF_DONE AUTO +set_global_assignment -name USE_PWRMGT_SCL AUTO +set_global_assignment -name USE_PWRMGT_SDA AUTO +set_global_assignment -name USE_PWRMGT_ALERT AUTO +set_global_assignment -name USE_INIT_DONE AUTO +set_global_assignment -name USE_CVP_CONFDONE AUTO +set_global_assignment -name USE_SEU_ERROR AUTO +set_global_assignment -name RESERVE_AVST_CLK_AFTER_CONFIGURATION "Use as regular IO" +set_global_assignment -name RESERVE_AVST_VALID_AFTER_CONFIGURATION "Use as regular IO" +set_global_assignment -name RESERVE_AVST_DATA15_THROUGH_DATA0_AFTER_CONFIGURATION "Use as regular IO" +set_global_assignment -name RESERVE_AVST_DATA31_THROUGH_DATA16_AFTER_CONFIGURATION "Use as regular IO" +set_global_assignment -name STRATIXIII_CONFIGURATION_SCHEME "Passive Serial" +set_global_assignment -name MAX10FPGA_CONFIGURATION_SCHEME "Internal Configuration" +set_global_assignment -name CYCLONEIII_CONFIGURATION_SCHEME "Active Serial" +set_global_assignment -name STRATIXII_CONFIGURATION_SCHEME "Passive Serial" +set_global_assignment -name CYCLONEII_CONFIGURATION_SCHEME "Active Serial" +set_global_assignment -name APEX20K_CONFIGURATION_SCHEME "Passive Serial" +set_global_assignment -name STRATIX_CONFIGURATION_SCHEME "Passive Serial" +set_global_assignment -name CYCLONE_CONFIGURATION_SCHEME "Active Serial" +set_global_assignment -name MERCURY_CONFIGURATION_SCHEME "Passive Serial" +set_global_assignment -name FLEX6K_CONFIGURATION_SCHEME "Passive Serial" +set_global_assignment -name FLEX10K_CONFIGURATION_SCHEME "Passive Serial" +set_global_assignment -name APEXII_CONFIGURATION_SCHEME "Passive Serial" +set_global_assignment -name USER_START_UP_CLOCK Off +set_global_assignment -name ENABLE_UNUSED_RX_CLOCK_WORKAROUND Off +set_global_assignment -name PRESERVE_UNUSED_XCVR_CHANNEL Off +set_global_assignment -name IGNORE_HSSI_COLUMN_POWER_WHEN_PRESERVING_UNUSED_XCVR_CHANNELS On +set_global_assignment -name AUTO_RESERVE_CLKUSR_FOR_CALIBRATION On +set_global_assignment -name DEVICE_INITIALIZATION_CLOCK INIT_INTOSC +set_global_assignment -name ENABLE_VREFA_PIN Off +set_global_assignment -name ENABLE_VREFB_PIN Off +set_global_assignment -name ALWAYS_ENABLE_INPUT_BUFFERS Off +set_global_assignment -name ENABLE_ASMI_FOR_FLASH_LOADER Off +set_global_assignment -name ENABLE_DEVICE_WIDE_RESET Off +set_global_assignment -name ENABLE_DEVICE_WIDE_OE Off +set_global_assignment -name RESERVE_ALL_UNUSED_PINS "As output driving ground" +set_global_assignment -name ENABLE_INIT_DONE_OUTPUT Off +set_global_assignment -name INIT_DONE_OPEN_DRAIN On +set_global_assignment -name RESERVE_NWS_NRS_NCS_CS_AFTER_CONFIGURATION "Use as regular IO" +set_global_assignment -name RESERVE_RDYNBUSY_AFTER_CONFIGURATION "Use as regular IO" +set_global_assignment -name RESERVE_DATA31_THROUGH_DATA16_AFTER_CONFIGURATION "Use as regular IO" +set_global_assignment -name RESERVE_DATA15_THROUGH_DATA8_AFTER_CONFIGURATION "Use as regular IO" +set_global_assignment -name RESERVE_DATA7_THROUGH_DATA1_AFTER_CONFIGURATION "Use as regular IO" +set_global_assignment -name RESERVE_DATA0_AFTER_CONFIGURATION "As input tri-stated" +set_global_assignment -name RESERVE_DATA1_AFTER_CONFIGURATION "As input tri-stated" +set_global_assignment -name RESERVE_DATA7_THROUGH_DATA2_AFTER_CONFIGURATION "Use as regular IO" +set_global_assignment -name RESERVE_DATA7_THROUGH_DATA5_AFTER_CONFIGURATION "Use as regular IO" +set_global_assignment -name RESERVE_FLASH_NCE_AFTER_CONFIGURATION "As input tri-stated" +set_global_assignment -name RESERVE_OTHER_AP_PINS_AFTER_CONFIGURATION "Use as regular IO" +set_global_assignment -name RESERVE_DCLK_AFTER_CONFIGURATION "Use as programming pin" +set_global_assignment -name ENABLE_CONFIGURATION_PINS On +set_global_assignment -name ENABLE_JTAG_PIN_SHARING Off +set_global_assignment -name ENABLE_NCE_PIN Off +set_global_assignment -name ENABLE_BOOT_SEL_PIN On +set_global_assignment -name CRC_ERROR_CHECKING Off +set_global_assignment -name INTERNAL_SCRUBBING Off +set_global_assignment -name PR_ERROR_OPEN_DRAIN On +set_global_assignment -name PR_READY_OPEN_DRAIN On +set_global_assignment -name ENABLE_CVP_CONFDONE Off +set_global_assignment -name CVP_CONFDONE_OPEN_DRAIN On +set_global_assignment -name ENABLE_NCONFIG_FROM_CORE On +set_global_assignment -name OPTIMIZE_HOLD_TIMING "All Paths" -family "Arria II GZ" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "All Paths" -family "Arria V" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "All Paths" -family "Cyclone 10 LP" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "All Paths" -family "MAX 10" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "All Paths" -family "Cyclone IV GX" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "All Paths" -family "Stratix IV" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "All Paths" -family "Cyclone IV E" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "All Paths" -family "Arria 10" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "IO Paths and Minimum TPD Paths" -family "MAX V" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "All Paths" -family "Stratix V" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "IO Paths and Minimum TPD Paths" -family "MAX II" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "All Paths" -family "Arria V GZ" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "All Paths" -family "Cyclone V" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "All Paths" -family "Arria II GX" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING On -family "Arria V" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING On -family "Cyclone 10 LP" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING On -family "MAX 10" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING On -family "Cyclone IV E" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING On -family "Stratix IV" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING On -family "Arria 10" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING Off -family "MAX V" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING On -family "Stratix V" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING On -family "Arria V GZ" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING Off -family "MAX II" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING On -family "Arria II GX" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING On -family "Arria II GZ" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING On -family "Cyclone IV GX" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING On -family "Cyclone V" +set_global_assignment -name BLOCK_RAM_TO_MLAB_CELL_CONVERSION On +set_global_assignment -name BLOCK_RAM_AND_MLAB_EQUIVALENT_POWER_UP_CONDITIONS Auto +set_global_assignment -name BLOCK_RAM_AND_MLAB_EQUIVALENT_PAUSED_READ_CAPABILITIES Care +set_global_assignment -name PROGRAMMABLE_POWER_TECHNOLOGY_SETTING Automatic -family "Stratix IV" +set_global_assignment -name PROGRAMMABLE_POWER_TECHNOLOGY_SETTING Automatic -family "Arria 10" +set_global_assignment -name PROGRAMMABLE_POWER_TECHNOLOGY_SETTING Automatic -family "Stratix V" +set_global_assignment -name PROGRAMMABLE_POWER_TECHNOLOGY_SETTING Automatic -family "Arria V GZ" +set_global_assignment -name PROGRAMMABLE_POWER_MAXIMUM_HIGH_SPEED_FRACTION_OF_USED_LAB_TILES 1.0 +set_global_assignment -name GUARANTEE_MIN_DELAY_CORNER_IO_ZERO_HOLD_TIME On +set_global_assignment -name OPTIMIZE_POWER_DURING_FITTING "Normal compilation" +set_global_assignment -name OPTIMIZE_SSN Off +set_global_assignment -name OPTIMIZE_TIMING "Normal compilation" +set_global_assignment -name ECO_OPTIMIZE_TIMING Off +set_global_assignment -name ECO_REGENERATE_REPORT Off +set_global_assignment -name OPTIMIZE_IOC_REGISTER_PLACEMENT_FOR_TIMING Normal +set_global_assignment -name FIT_ONLY_ONE_ATTEMPT Off +set_global_assignment -name FINAL_PLACEMENT_OPTIMIZATION Automatically +set_global_assignment -name FITTER_AGGRESSIVE_ROUTABILITY_OPTIMIZATION Automatically +set_global_assignment -name SEED 1 +set_global_assignment -name PERIPHERY_TO_CORE_PLACEMENT_AND_ROUTING_OPTIMIZATION OFF +set_global_assignment -name RESERVE_ROUTING_OUTPUT_FLEXIBILITY Off +set_global_assignment -name SLOW_SLEW_RATE Off +set_global_assignment -name PCI_IO Off +set_global_assignment -name TURBO_BIT On +set_global_assignment -name WEAK_PULL_UP_RESISTOR Off +set_global_assignment -name ENABLE_BUS_HOLD_CIRCUITRY Off +set_global_assignment -name AUTO_GLOBAL_MEMORY_CONTROLS Off +set_global_assignment -name MIGRATION_CONSTRAIN_CORE_RESOURCES On +set_global_assignment -name QII_AUTO_PACKED_REGISTERS Auto +set_global_assignment -name AUTO_PACKED_REGISTERS_MAX Auto +set_global_assignment -name NORMAL_LCELL_INSERT On +set_global_assignment -name CARRY_OUT_PINS_LCELL_INSERT On +set_global_assignment -name AUTO_DELAY_CHAINS On -family "Arria V" +set_global_assignment -name AUTO_DELAY_CHAINS On -family "Cyclone 10 LP" +set_global_assignment -name AUTO_DELAY_CHAINS On -family "MAX 10" +set_global_assignment -name AUTO_DELAY_CHAINS On -family "Stratix IV" +set_global_assignment -name AUTO_DELAY_CHAINS On -family "Cyclone IV E" +set_global_assignment -name AUTO_DELAY_CHAINS On -family "Arria 10" +set_global_assignment -name AUTO_DELAY_CHAINS On -family "MAX V" +set_global_assignment -name AUTO_DELAY_CHAINS On -family "Stratix V" +set_global_assignment -name AUTO_DELAY_CHAINS On -family "MAX II" +set_global_assignment -name AUTO_DELAY_CHAINS On -family "Arria V GZ" +set_global_assignment -name AUTO_DELAY_CHAINS On -family "Arria II GX" +set_global_assignment -name AUTO_DELAY_CHAINS On -family "Arria II GZ" +set_global_assignment -name AUTO_DELAY_CHAINS On -family "Cyclone IV GX" +set_global_assignment -name AUTO_DELAY_CHAINS On -family "Cyclone V" +set_global_assignment -name AUTO_DELAY_CHAINS_FOR_HIGH_FANOUT_INPUT_PINS OFF +set_global_assignment -name XSTL_INPUT_ALLOW_SE_BUFFER Off +set_global_assignment -name TREAT_BIDIR_AS_OUTPUT Off +set_global_assignment -name AUTO_TURBO_BIT ON +set_global_assignment -name PHYSICAL_SYNTHESIS_COMBO_LOGIC_FOR_AREA Off +set_global_assignment -name PHYSICAL_SYNTHESIS_COMBO_LOGIC Off +set_global_assignment -name PHYSICAL_SYNTHESIS_LOG_FILE Off +set_global_assignment -name PHYSICAL_SYNTHESIS_REGISTER_DUPLICATION Off +set_global_assignment -name PHYSICAL_SYNTHESIS_MAP_LOGIC_TO_MEMORY_FOR_AREA Off +set_global_assignment -name PHYSICAL_SYNTHESIS_REGISTER_RETIMING Off +set_global_assignment -name PHYSICAL_SYNTHESIS_ASYNCHRONOUS_SIGNAL_PIPELINING Off +set_global_assignment -name IO_PLACEMENT_OPTIMIZATION On +set_global_assignment -name ALLOW_LVTTL_LVCMOS_INPUT_LEVELS_TO_OVERDRIVE_INPUT_BUFFER Off +set_global_assignment -name OVERRIDE_DEFAULT_ELECTROMIGRATION_PARAMETERS Off +set_global_assignment -name FITTER_EFFORT "Auto Fit" +set_global_assignment -name FITTER_AUTO_EFFORT_DESIRED_SLACK_MARGIN 0ns +set_global_assignment -name PHYSICAL_SYNTHESIS_EFFORT Normal +set_global_assignment -name ROUTER_LCELL_INSERTION_AND_LOGIC_DUPLICATION Auto +set_global_assignment -name ROUTER_REGISTER_DUPLICATION Auto +set_global_assignment -name STRATIXGX_ALLOW_CLOCK_FANOUT_WITH_ANALOG_RESET Off +set_global_assignment -name AUTO_GLOBAL_CLOCK On +set_global_assignment -name AUTO_GLOBAL_OE On +set_global_assignment -name AUTO_GLOBAL_REGISTER_CONTROLS On +set_global_assignment -name FITTER_EARLY_TIMING_ESTIMATE_MODE Realistic +set_global_assignment -name STRATIXGX_ALLOW_GIGE_UNDER_FULL_DATARATE_RANGE Off +set_global_assignment -name STRATIXGX_ALLOW_RX_CORECLK_FROM_NON_RX_CLKOUT_SOURCE_IN_DOUBLE_DATA_WIDTH_MODE Off +set_global_assignment -name STRATIXGX_ALLOW_GIGE_IN_DOUBLE_DATA_WIDTH_MODE Off +set_global_assignment -name STRATIXGX_ALLOW_PARALLEL_LOOPBACK_IN_DOUBLE_DATA_WIDTH_MODE Off +set_global_assignment -name STRATIXGX_ALLOW_XAUI_IN_SINGLE_DATA_WIDTH_MODE Off +set_global_assignment -name STRATIXGX_ALLOW_XAUI_WITH_CORECLK_SELECTED_AT_RATE_MATCHER Off +set_global_assignment -name STRATIXGX_ALLOW_XAUI_WITH_RX_CORECLK_FROM_NON_TXPLL_SOURCE Off +set_global_assignment -name STRATIXGX_ALLOW_GIGE_WITH_CORECLK_SELECTED_AT_RATE_MATCHER Off +set_global_assignment -name STRATIXGX_ALLOW_GIGE_WITHOUT_8B10B Off +set_global_assignment -name STRATIXGX_ALLOW_GIGE_WITH_RX_CORECLK_FROM_NON_TXPLL_SOURCE Off +set_global_assignment -name STRATIXGX_ALLOW_POST8B10B_LOOPBACK Off +set_global_assignment -name STRATIXGX_ALLOW_REVERSE_PARALLEL_LOOPBACK Off +set_global_assignment -name STRATIXGX_ALLOW_USE_OF_GXB_COUPLED_IOS Off +set_global_assignment -name GENERATE_GXB_RECONFIG_MIF Off +set_global_assignment -name GENERATE_GXB_RECONFIG_MIF_WITH_PLL Off +set_global_assignment -name RESERVE_ALL_UNUSED_PINS_WEAK_PULLUP "As input tri-stated with weak pull-up" +set_global_assignment -name ENABLE_HOLD_BACK_OFF On +set_global_assignment -name CONFIGURATION_VCCIO_LEVEL Auto +set_global_assignment -name FORCE_CONFIGURATION_VCCIO Off +set_global_assignment -name SYNCHRONIZER_IDENTIFICATION Auto +set_global_assignment -name ENABLE_BENEFICIAL_SKEW_OPTIMIZATION On +set_global_assignment -name OPTIMIZE_FOR_METASTABILITY On +set_global_assignment -name CRC_ERROR_OPEN_DRAIN On -family "Arria V" +set_global_assignment -name CRC_ERROR_OPEN_DRAIN Off -family "Cyclone 10 LP" +set_global_assignment -name CRC_ERROR_OPEN_DRAIN Off -family "MAX 10" +set_global_assignment -name CRC_ERROR_OPEN_DRAIN Off -family "Cyclone IV E" +set_global_assignment -name CRC_ERROR_OPEN_DRAIN On -family "Arria 10" +set_global_assignment -name CRC_ERROR_OPEN_DRAIN On -family "Stratix V" +set_global_assignment -name CRC_ERROR_OPEN_DRAIN On -family "Arria V GZ" +set_global_assignment -name CRC_ERROR_OPEN_DRAIN On -family "Cyclone V" +set_global_assignment -name MAX_GLOBAL_CLOCKS_ALLOWED "-1 (Unlimited)" +set_global_assignment -name MAX_REGIONAL_CLOCKS_ALLOWED "-1 (Unlimited)" +set_global_assignment -name MAX_PERIPHERY_CLOCKS_ALLOWED "-1 (Unlimited)" +set_global_assignment -name MAX_CLOCKS_ALLOWED "-1 (Unlimited)" +set_global_assignment -name ACTIVE_SERIAL_CLOCK FREQ_100MHz -family "Arria 10" +set_global_assignment -name ACTIVE_SERIAL_CLOCK FREQ_100MHz -family "Arria V" +set_global_assignment -name ACTIVE_SERIAL_CLOCK FREQ_100MHz -family "Stratix V" +set_global_assignment -name ACTIVE_SERIAL_CLOCK FREQ_40MHz -family "Cyclone IV GX" +set_global_assignment -name ACTIVE_SERIAL_CLOCK FREQ_100MHz -family "Arria V GZ" +set_global_assignment -name ACTIVE_SERIAL_CLOCK FREQ_100MHz -family "Cyclone V" +set_global_assignment -name ACTIVE_SERIAL_CLOCK FREQ_40MHz -family "Arria II GX" +set_global_assignment -name M144K_BLOCK_READ_CLOCK_DUTY_CYCLE_DEPENDENCY Off +set_global_assignment -name STRATIXIII_MRAM_COMPATIBILITY On +set_global_assignment -name FORCE_FITTER_TO_AVOID_PERIPHERY_PLACEMENT_WARNINGS Off +set_global_assignment -name AUTO_C3_M9K_BIT_SKIP Off +set_global_assignment -name PR_DONE_OPEN_DRAIN On +set_global_assignment -name NCEO_OPEN_DRAIN On +set_global_assignment -name ENABLE_CRC_ERROR_PIN Off +set_global_assignment -name ENABLE_PR_PINS Off +set_global_assignment -name RESERVE_PR_PINS Off +set_global_assignment -name CONVERT_PR_WARNINGS_TO_ERRORS Off +set_global_assignment -name PR_PINS_OPEN_DRAIN Off +set_global_assignment -name CLAMPING_DIODE Off +set_global_assignment -name TRI_STATE_SPI_PINS Off +set_global_assignment -name UNUSED_TSD_PINS_GND Off +set_global_assignment -name IMPLEMENT_MLAB_IN_16_BIT_DEEP_MODE Off +set_global_assignment -name FORM_DDR_CLUSTERING_CLIQUE Off +set_global_assignment -name ALM_REGISTER_PACKING_EFFORT Medium +set_global_assignment -name ADVANCED_PHYSICAL_OPTIMIZATION On -family "Arria V" +set_global_assignment -name ADVANCED_PHYSICAL_OPTIMIZATION Off -family "Stratix IV" +set_global_assignment -name ADVANCED_PHYSICAL_OPTIMIZATION On -family "Arria 10" +set_global_assignment -name ADVANCED_PHYSICAL_OPTIMIZATION On -family "Stratix V" +set_global_assignment -name ADVANCED_PHYSICAL_OPTIMIZATION On -family "Arria V GZ" +set_global_assignment -name ADVANCED_PHYSICAL_OPTIMIZATION On -family "Cyclone V" +set_global_assignment -name RELATIVE_NEUTRON_FLUX 1.0 +set_global_assignment -name SEU_FIT_REPORT Off +set_global_assignment -name HYPER_RETIMER Off -family "Arria 10" +set_global_assignment -name HYPER_RETIMER_FAST_FORWARD_ADD_PIPELINING_MAX "-1" +set_global_assignment -name HYPER_RETIMER_FAST_FORWARD_ASYNCH_CLEAR Auto +set_global_assignment -name HYPER_RETIMER_FAST_FORWARD_USER_PRESERVE_RESTRICTION Auto +set_global_assignment -name HYPER_RETIMER_FAST_FORWARD_DSP_BLOCKS On +set_global_assignment -name HYPER_RETIMER_FAST_FORWARD_RAM_BLOCKS On +set_global_assignment -name EDA_SIMULATION_TOOL "" +set_global_assignment -name EDA_TIMING_ANALYSIS_TOOL "" +set_global_assignment -name EDA_BOARD_DESIGN_TIMING_TOOL "" +set_global_assignment -name EDA_BOARD_DESIGN_SYMBOL_TOOL "" +set_global_assignment -name EDA_BOARD_DESIGN_SIGNAL_INTEGRITY_TOOL "" +set_global_assignment -name EDA_BOARD_DESIGN_BOUNDARY_SCAN_TOOL "" +set_global_assignment -name EDA_BOARD_DESIGN_TOOL "" +set_global_assignment -name EDA_FORMAL_VERIFICATION_TOOL "" +set_global_assignment -name EDA_RESYNTHESIS_TOOL "" +set_global_assignment -name ON_CHIP_BITSTREAM_DECOMPRESSION On +set_global_assignment -name COMPRESSION_MODE Off +set_global_assignment -name CLOCK_SOURCE Internal +set_global_assignment -name CONFIGURATION_CLOCK_FREQUENCY "10 MHz" +set_global_assignment -name CONFIGURATION_CLOCK_DIVISOR 1 +set_global_assignment -name ENABLE_LOW_VOLTAGE_MODE_ON_CONFIG_DEVICE On +set_global_assignment -name FLEX6K_ENABLE_LOW_VOLTAGE_MODE_ON_CONFIG_DEVICE Off +set_global_assignment -name FLEX10K_ENABLE_LOW_VOLTAGE_MODE_ON_CONFIG_DEVICE On +set_global_assignment -name MAX7000S_JTAG_USER_CODE FFFF +set_global_assignment -name STRATIX_JTAG_USER_CODE FFFFFFFF +set_global_assignment -name APEX20K_JTAG_USER_CODE FFFFFFFF +set_global_assignment -name MERCURY_JTAG_USER_CODE FFFFFFFF +set_global_assignment -name FLEX10K_JTAG_USER_CODE 7F +set_global_assignment -name MAX7000_JTAG_USER_CODE FFFFFFFF +set_global_assignment -name MAX7000_USE_CHECKSUM_AS_USERCODE Off +set_global_assignment -name USE_CHECKSUM_AS_USERCODE On +set_global_assignment -name SECURITY_BIT Off +set_global_assignment -name USE_CONFIGURATION_DEVICE Off -family "Cyclone 10 LP" +set_global_assignment -name USE_CONFIGURATION_DEVICE On -family "MAX 10" +set_global_assignment -name USE_CONFIGURATION_DEVICE Off -family "Cyclone IV E" +set_global_assignment -name USE_CONFIGURATION_DEVICE Off -family "Stratix IV" +set_global_assignment -name USE_CONFIGURATION_DEVICE On -family "MAX V" +set_global_assignment -name USE_CONFIGURATION_DEVICE On -family "MAX II" +set_global_assignment -name USE_CONFIGURATION_DEVICE Off -family "Arria II GX" +set_global_assignment -name USE_CONFIGURATION_DEVICE Off -family "Arria II GZ" +set_global_assignment -name USE_CONFIGURATION_DEVICE Off -family "Cyclone IV GX" +set_global_assignment -name CYCLONEIII_CONFIGURATION_DEVICE Auto +set_global_assignment -name STRATIXII_CONFIGURATION_DEVICE Auto +set_global_assignment -name PWRMGT_SLAVE_DEVICE_TYPE "PV3102 or EM1130" +set_global_assignment -name PWRMGT_SLAVE_DEVICE0_ADDRESS 0000000 +set_global_assignment -name PWRMGT_SLAVE_DEVICE1_ADDRESS 0000000 +set_global_assignment -name PWRMGT_SLAVE_DEVICE2_ADDRESS 0000000 +set_global_assignment -name PWRMGT_SLAVE_DEVICE3_ADDRESS 0000000 +set_global_assignment -name PWRMGT_SLAVE_DEVICE4_ADDRESS 0000000 +set_global_assignment -name PWRMGT_SLAVE_DEVICE5_ADDRESS 0000000 +set_global_assignment -name PWRMGT_SLAVE_DEVICE6_ADDRESS 0000000 +set_global_assignment -name PWRMGT_SLAVE_DEVICE7_ADDRESS 0000000 +set_global_assignment -name PWRMGT_VOLTAGE_OUTPUT_FORMAT "Auto discovery" +set_global_assignment -name PWRMGT_DIRECT_FORMAT_COEFFICIENT_M 0 +set_global_assignment -name PWRMGT_DIRECT_FORMAT_COEFFICIENT_B 0 +set_global_assignment -name PWRMGT_DIRECT_FORMAT_COEFFICIENT_R 0 +set_global_assignment -name APEX20K_CONFIGURATION_DEVICE Auto +set_global_assignment -name MERCURY_CONFIGURATION_DEVICE Auto +set_global_assignment -name FLEX6K_CONFIGURATION_DEVICE Auto +set_global_assignment -name FLEX10K_CONFIGURATION_DEVICE Auto +set_global_assignment -name CYCLONE_CONFIGURATION_DEVICE Auto +set_global_assignment -name STRATIX_CONFIGURATION_DEVICE Auto +set_global_assignment -name APEX20K_CONFIG_DEVICE_JTAG_USER_CODE FFFFFFFF +set_global_assignment -name STRATIX_CONFIG_DEVICE_JTAG_USER_CODE FFFFFFFF +set_global_assignment -name MERCURY_CONFIG_DEVICE_JTAG_USER_CODE FFFFFFFF +set_global_assignment -name FLEX10K_CONFIG_DEVICE_JTAG_USER_CODE FFFFFFFF +set_global_assignment -name EPROM_USE_CHECKSUM_AS_USERCODE Off +set_global_assignment -name AUTO_INCREMENT_CONFIG_DEVICE_JTAG_USER_CODE On +set_global_assignment -name DISABLE_NCS_AND_OE_PULLUPS_ON_CONFIG_DEVICE Off +set_global_assignment -name GENERATE_TTF_FILE Off +set_global_assignment -name GENERATE_RBF_FILE Off +set_global_assignment -name GENERATE_HEX_FILE Off +set_global_assignment -name HEXOUT_FILE_START_ADDRESS 0 +set_global_assignment -name HEXOUT_FILE_COUNT_DIRECTION Up +set_global_assignment -name RESERVE_ALL_UNUSED_PINS_NO_OUTPUT_GND "As output driving an unspecified signal" +set_global_assignment -name RELEASE_CLEARS_BEFORE_TRI_STATES Off +set_global_assignment -name AUTO_RESTART_CONFIGURATION On +set_global_assignment -name HARDCOPYII_POWER_ON_EXTRA_DELAY Off +set_global_assignment -name STRATIXII_MRAM_COMPATIBILITY Off +set_global_assignment -name CYCLONEII_M4K_COMPATIBILITY On +set_global_assignment -name ENABLE_OCT_DONE Off -family "Arria V" +set_global_assignment -name ENABLE_OCT_DONE Off -family "Cyclone 10 LP" +set_global_assignment -name ENABLE_OCT_DONE On -family "MAX 10" +set_global_assignment -name ENABLE_OCT_DONE Off -family "Cyclone IV E" +set_global_assignment -name ENABLE_OCT_DONE Off -family "Arria 10" +set_global_assignment -name ENABLE_OCT_DONE Off -family "Stratix V" +set_global_assignment -name ENABLE_OCT_DONE Off -family "Arria V GZ" +set_global_assignment -name ENABLE_OCT_DONE Off -family "Arria II GX" +set_global_assignment -name ENABLE_OCT_DONE Off -family "Cyclone IV GX" +set_global_assignment -name ENABLE_OCT_DONE Off -family "Cyclone V" +set_global_assignment -name USE_CHECKERED_PATTERN_AS_UNINITIALIZED_RAM_CONTENT OFF +set_global_assignment -name ARRIAIIGX_RX_CDR_LOCKUP_FIX_OVERRIDE Off +set_global_assignment -name ENABLE_AUTONOMOUS_PCIE_HIP Off +set_global_assignment -name ENABLE_ADV_SEU_DETECTION Off +set_global_assignment -name POR_SCHEME "Instant ON" +set_global_assignment -name EN_USER_IO_WEAK_PULLUP On +set_global_assignment -name EN_SPI_IO_WEAK_PULLUP On +set_global_assignment -name POF_VERIFY_PROTECT Off +set_global_assignment -name ENABLE_SPI_MODE_CHECK Off +set_global_assignment -name FORCE_SSMCLK_TO_ISMCLK On +set_global_assignment -name FALLBACK_TO_EXTERNAL_FLASH Off +set_global_assignment -name EXTERNAL_FLASH_FALLBACK_ADDRESS 0 +set_global_assignment -name GENERATE_PMSF_FILES On +set_global_assignment -name START_TIME 0ns +set_global_assignment -name SIMULATION_MODE TIMING +set_global_assignment -name AUTO_USE_SIMULATION_PDB_NETLIST Off +set_global_assignment -name ADD_DEFAULT_PINS_TO_SIMULATION_OUTPUT_WAVEFORMS On +set_global_assignment -name SETUP_HOLD_DETECTION Off +set_global_assignment -name SETUP_HOLD_DETECTION_INPUT_REGISTERS_BIDIR_PINS_DISABLED Off +set_global_assignment -name CHECK_OUTPUTS Off +set_global_assignment -name SIMULATION_COVERAGE On +set_global_assignment -name SIMULATION_COMPLETE_COVERAGE_REPORT_PANEL On +set_global_assignment -name SIMULATION_MISSING_1_VALUE_COVERAGE_REPORT_PANEL On +set_global_assignment -name SIMULATION_MISSING_0_VALUE_COVERAGE_REPORT_PANEL On +set_global_assignment -name GLITCH_DETECTION Off +set_global_assignment -name GLITCH_INTERVAL 1ns +set_global_assignment -name SIMULATOR_GENERATE_SIGNAL_ACTIVITY_FILE Off +set_global_assignment -name SIMULATION_WITH_GLITCH_FILTERING_WHEN_GENERATING_SAF On +set_global_assignment -name SIMULATION_BUS_CHANNEL_GROUPING Off +set_global_assignment -name SIMULATION_VDB_RESULT_FLUSH On +set_global_assignment -name VECTOR_COMPARE_TRIGGER_MODE INPUT_EDGE +set_global_assignment -name SIMULATION_NETLIST_VIEWER Off +set_global_assignment -name SIMULATION_INTERCONNECT_DELAY_MODEL_TYPE TRANSPORT +set_global_assignment -name SIMULATION_CELL_DELAY_MODEL_TYPE TRANSPORT +set_global_assignment -name SIMULATOR_GENERATE_POWERPLAY_VCD_FILE Off +set_global_assignment -name SIMULATOR_PVT_TIMING_MODEL_TYPE AUTO +set_global_assignment -name SIMULATION_WITH_AUTO_GLITCH_FILTERING AUTO +set_global_assignment -name DRC_TOP_FANOUT 50 +set_global_assignment -name DRC_FANOUT_EXCEEDING 30 +set_global_assignment -name DRC_GATED_CLOCK_FEED 30 +set_global_assignment -name HARDCOPY_FLOW_AUTOMATION MIGRATION_ONLY +set_global_assignment -name ENABLE_DRC_SETTINGS Off +set_global_assignment -name CLK_RULE_CLKNET_CLKSPINES_THRESHOLD 25 +set_global_assignment -name DRC_DETAIL_MESSAGE_LIMIT 10 +set_global_assignment -name DRC_VIOLATION_MESSAGE_LIMIT 30 +set_global_assignment -name DRC_DEADLOCK_STATE_LIMIT 2 +set_global_assignment -name MERGE_HEX_FILE Off +set_global_assignment -name GENERATE_SVF_FILE Off +set_global_assignment -name GENERATE_ISC_FILE Off +set_global_assignment -name GENERATE_JAM_FILE Off +set_global_assignment -name GENERATE_JBC_FILE Off +set_global_assignment -name GENERATE_JBC_FILE_COMPRESSED On +set_global_assignment -name GENERATE_CONFIG_SVF_FILE Off +set_global_assignment -name GENERATE_CONFIG_ISC_FILE Off +set_global_assignment -name GENERATE_CONFIG_JAM_FILE Off +set_global_assignment -name GENERATE_CONFIG_JBC_FILE Off +set_global_assignment -name GENERATE_CONFIG_JBC_FILE_COMPRESSED On +set_global_assignment -name GENERATE_CONFIG_HEXOUT_FILE Off +set_global_assignment -name ISP_CLAMP_STATE_DEFAULT "Tri-state" +set_global_assignment -name HPS_EARLY_IO_RELEASE Off +set_global_assignment -name SIGNALPROBE_ALLOW_OVERUSE Off +set_global_assignment -name SIGNALPROBE_DURING_NORMAL_COMPILATION Off +set_global_assignment -name POWER_DEFAULT_TOGGLE_RATE 12.5% +set_global_assignment -name POWER_DEFAULT_INPUT_IO_TOGGLE_RATE 12.5% +set_global_assignment -name POWER_USE_PVA On +set_global_assignment -name POWER_USE_INPUT_FILE "No File" +set_global_assignment -name POWER_USE_INPUT_FILES Off +set_global_assignment -name POWER_VCD_FILTER_GLITCHES On +set_global_assignment -name POWER_REPORT_SIGNAL_ACTIVITY Off +set_global_assignment -name POWER_REPORT_POWER_DISSIPATION Off +set_global_assignment -name POWER_USE_DEVICE_CHARACTERISTICS TYPICAL +set_global_assignment -name POWER_AUTO_COMPUTE_TJ On +set_global_assignment -name POWER_TJ_VALUE 25 +set_global_assignment -name POWER_USE_TA_VALUE 25 +set_global_assignment -name POWER_USE_CUSTOM_COOLING_SOLUTION Off +set_global_assignment -name POWER_BOARD_TEMPERATURE 25 +set_global_assignment -name POWER_HPS_ENABLE Off +set_global_assignment -name POWER_HPS_PROC_FREQ 0.0 +set_global_assignment -name ENABLE_SMART_VOLTAGE_ID Off +set_global_assignment -name IGNORE_PARTITIONS Off +set_global_assignment -name AUTO_EXPORT_INCREMENTAL_COMPILATION Off +set_global_assignment -name RAPID_RECOMPILE_ASSIGNMENT_CHECKING On +set_global_assignment -name OUTPUT_IO_TIMING_ENDPOINT "Near End" +set_global_assignment -name RTLV_REMOVE_FANOUT_FREE_REGISTERS On +set_global_assignment -name RTLV_SIMPLIFIED_LOGIC On +set_global_assignment -name RTLV_GROUP_RELATED_NODES On +set_global_assignment -name RTLV_GROUP_COMB_LOGIC_IN_CLOUD Off +set_global_assignment -name RTLV_GROUP_COMB_LOGIC_IN_CLOUD_TMV Off +set_global_assignment -name RTLV_GROUP_RELATED_NODES_TMV On +set_global_assignment -name EQC_CONSTANT_DFF_DETECTION On +set_global_assignment -name EQC_DUPLICATE_DFF_DETECTION On +set_global_assignment -name EQC_BBOX_MERGE On +set_global_assignment -name EQC_LVDS_MERGE On +set_global_assignment -name EQC_RAM_UNMERGING On +set_global_assignment -name EQC_DFF_SS_EMULATION On +set_global_assignment -name EQC_RAM_REGISTER_UNPACK On +set_global_assignment -name EQC_MAC_REGISTER_UNPACK On +set_global_assignment -name EQC_SET_PARTITION_BB_TO_VCC_GND On +set_global_assignment -name EQC_STRUCTURE_MATCHING On +set_global_assignment -name EQC_AUTO_BREAK_CONE On +set_global_assignment -name EQC_POWER_UP_COMPARE Off +set_global_assignment -name EQC_AUTO_COMP_LOOP_CUT On +set_global_assignment -name EQC_AUTO_INVERSION On +set_global_assignment -name EQC_AUTO_TERMINATE On +set_global_assignment -name EQC_SUB_CONE_REPORT Off +set_global_assignment -name EQC_RENAMING_RULES On +set_global_assignment -name EQC_PARAMETER_CHECK On +set_global_assignment -name EQC_AUTO_PORTSWAP On +set_global_assignment -name EQC_DETECT_DONT_CARES On +set_global_assignment -name EQC_SHOW_ALL_MAPPED_POINTS Off +set_global_assignment -name EDA_INPUT_GND_NAME GND -section_id ? +set_global_assignment -name EDA_INPUT_VCC_NAME VCC -section_id ? +set_global_assignment -name EDA_INPUT_DATA_FORMAT NONE -section_id ? +set_global_assignment -name EDA_SHOW_LMF_MAPPING_MESSAGES Off -section_id ? +set_global_assignment -name EDA_RUN_TOOL_AUTOMATICALLY Off -section_id ? +set_global_assignment -name RESYNTHESIS_RETIMING FULL -section_id ? +set_global_assignment -name RESYNTHESIS_OPTIMIZATION_EFFORT Normal -section_id ? +set_global_assignment -name RESYNTHESIS_PHYSICAL_SYNTHESIS Normal -section_id ? +set_global_assignment -name USE_GENERATED_PHYSICAL_CONSTRAINTS On -section_id ? +set_global_assignment -name VCCPD_VOLTAGE 3.3V -section_id ? +set_global_assignment -name EDA_USER_COMPILED_SIMULATION_LIBRARY_DIRECTORY "" -section_id ? +set_global_assignment -name EDA_LAUNCH_CMD_LINE_TOOL Off -section_id ? +set_global_assignment -name EDA_ENABLE_IPUTF_MODE On -section_id ? +set_global_assignment -name EDA_NATIVELINK_PORTABLE_FILE_PATHS Off -section_id ? +set_global_assignment -name EDA_NATIVELINK_GENERATE_SCRIPT_ONLY Off -section_id ? +set_global_assignment -name EDA_WAIT_FOR_GUI_TOOL_COMPLETION Off -section_id ? +set_global_assignment -name EDA_TRUNCATE_LONG_HIERARCHY_PATHS Off -section_id ? +set_global_assignment -name EDA_FLATTEN_BUSES Off -section_id ? +set_global_assignment -name EDA_MAP_ILLEGAL_CHARACTERS Off -section_id ? +set_global_assignment -name EDA_GENERATE_TIMING_CLOSURE_DATA Off -section_id ? +set_global_assignment -name EDA_GENERATE_POWER_INPUT_FILE Off -section_id ? +set_global_assignment -name EDA_TEST_BENCH_ENABLE_STATUS NOT_USED -section_id ? +set_global_assignment -name EDA_RTL_SIM_MODE NOT_USED -section_id ? +set_global_assignment -name EDA_MAINTAIN_DESIGN_HIERARCHY OFF -section_id ? +set_global_assignment -name EDA_GENERATE_FUNCTIONAL_NETLIST On -section_id ? +set_global_assignment -name EDA_WRITE_DEVICE_CONTROL_PORTS Off -section_id ? +set_global_assignment -name EDA_SIMULATION_VCD_OUTPUT_TCL_FILE Off -section_id ? +set_global_assignment -name EDA_SIMULATION_VCD_OUTPUT_SIGNALS_TO_TCL_FILE "All Except Combinational Logic Element Outputs" -section_id ? +set_global_assignment -name EDA_ENABLE_GLITCH_FILTERING Off -section_id ? +set_global_assignment -name EDA_WRITE_NODES_FOR_POWER_ESTIMATION OFF -section_id ? +set_global_assignment -name EDA_SETUP_HOLD_DETECTION_INPUT_REGISTERS_BIDIR_PINS_DISABLED Off -section_id ? +set_global_assignment -name EDA_WRITER_DONT_WRITE_TOP_ENTITY Off -section_id ? +set_global_assignment -name EDA_VHDL_ARCH_NAME structure -section_id ? +set_global_assignment -name EDA_IBIS_MODEL_SELECTOR Off -section_id ? +set_global_assignment -name EDA_IBIS_EXTENDED_MODEL_SELECTOR Off -section_id ? +set_global_assignment -name EDA_IBIS_MUTUAL_COUPLING Off -section_id ? +set_global_assignment -name EDA_FORMAL_VERIFICATION_ALLOW_RETIMING Off -section_id ? +set_global_assignment -name EDA_BOARD_BOUNDARY_SCAN_OPERATION PRE_CONFIG -section_id ? +set_global_assignment -name EDA_GENERATE_RTL_SIMULATION_COMMAND_SCRIPT Off -section_id ? +set_global_assignment -name EDA_GENERATE_GATE_LEVEL_SIMULATION_COMMAND_SCRIPT Off -section_id ? +set_global_assignment -name EDA_IBIS_SPECIFICATION_VERSION 4p2 -section_id ? +set_global_assignment -name SIM_VECTOR_COMPARED_CLOCK_OFFSET 0ns -section_id ? +set_global_assignment -name SIM_VECTOR_COMPARED_CLOCK_DUTY_CYCLE 50 -section_id ? +set_global_assignment -name APEX20K_CLIQUE_TYPE LAB -section_id ? -entity ? +set_global_assignment -name MAX7K_CLIQUE_TYPE LAB -section_id ? -entity ? +set_global_assignment -name MERCURY_CLIQUE_TYPE LAB -section_id ? -entity ? +set_global_assignment -name FLEX6K_CLIQUE_TYPE LAB -section_id ? -entity ? +set_global_assignment -name FLEX10K_CLIQUE_TYPE LAB -section_id ? -entity ? +set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES On -section_id ? -entity ? +set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES Off -section_id ? -entity ? +set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST Off -section_id ? -entity ? +set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS On -section_id ? -entity ? +set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -section_id ? -entity ? +set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -section_id ? -entity ? +set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS On -section_id ? -entity ? +set_global_assignment -name ALLOW_MULTIPLE_PERSONAS Off -section_id ? -entity ? +set_global_assignment -name PARTITION_ASD_REGION_ID 1 -section_id ? -entity ? +set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS Off -section_id ? -entity ? +set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS On -section_id ? -entity ? +set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS On -section_id ? -entity ? +set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS On -section_id ? -entity ? +set_global_assignment -name MERGE_EQUIVALENT_INPUTS On -section_id ? -entity ? +set_global_assignment -name MERGE_EQUIVALENT_BIDIRS On -section_id ? -entity ? +set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS On -section_id ? -entity ? +set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION Off -section_id ? -entity ? diff --git a/peripherals/I2S/sint/pll.ppf b/peripherals/I2S/sint/pll.ppf new file mode 100644 index 00000000..c3c48f83 --- /dev/null +++ b/peripherals/I2S/sint/pll.ppf @@ -0,0 +1,9 @@ + + + + + + + + + diff --git a/peripherals/I2S/sint/pll.qip b/peripherals/I2S/sint/pll.qip new file mode 100644 index 00000000..cefa5c74 --- /dev/null +++ b/peripherals/I2S/sint/pll.qip @@ -0,0 +1,6 @@ +set_global_assignment -name IP_TOOL_NAME "ALTPLL" +set_global_assignment -name IP_TOOL_VERSION "21.1" +set_global_assignment -name IP_GENERATED_DEVICE_FAMILY "{MAX 10}" +set_global_assignment -name VHDL_FILE [file join $::quartus(qip_path) "pll.vhd"] +set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) "pll.cmp"] +set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) "pll.ppf"] diff --git a/peripherals/I2S/sint/pll.vhd b/peripherals/I2S/sint/pll.vhd new file mode 100644 index 00000000..28298977 --- /dev/null +++ b/peripherals/I2S/sint/pll.vhd @@ -0,0 +1,355 @@ +-- megafunction wizard: %ALTPLL% +-- GENERATION: STANDARD +-- VERSION: WM1.0 +-- MODULE: altpll + +-- ============================================================ +-- File Name: pll.vhd +-- Megafunction Name(s): +-- altpll +-- +-- Simulation Library Files(s): +-- altera_mf +-- ============================================================ +-- ************************************************************ +-- THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE! +-- +-- 21.1.1 Build 850 06/23/2022 SJ Lite Edition +-- ************************************************************ + + +--Copyright (C) 2022 Intel Corporation. All rights reserved. +--Your use of Intel Corporation's design tools, logic functions +--and other software and tools, and any partner logic +--functions, and any output files from any of the foregoing +--(including device programming or simulation files), and any +--associated documentation or information are expressly subject +--to the terms and conditions of the Intel Program License +--Subscription Agreement, the Intel Quartus Prime License Agreement, +--the Intel FPGA IP License Agreement, or other applicable license +--agreement, including, without limitation, that your use is for +--the sole purpose of programming logic devices manufactured by +--Intel and sold by Intel or its authorized distributors. Please +--refer to the applicable agreement for further details, at +--https://fpgasoftware.intel.com/eula. + + +LIBRARY ieee; +USE ieee.std_logic_1164.all; + +LIBRARY altera_mf; +USE altera_mf.all; + +ENTITY pll IS + PORT + ( + inclk0 : IN STD_LOGIC := '0'; + c0 : OUT STD_LOGIC + ); +END pll; + + +ARCHITECTURE SYN OF pll IS + + SIGNAL sub_wire0 : STD_LOGIC ; + SIGNAL sub_wire1 : STD_LOGIC_VECTOR (1 DOWNTO 0); + SIGNAL sub_wire2_bv : BIT_VECTOR (0 DOWNTO 0); + SIGNAL sub_wire2 : STD_LOGIC_VECTOR (0 DOWNTO 0); + SIGNAL sub_wire3 : STD_LOGIC_VECTOR (4 DOWNTO 0); + SIGNAL sub_wire4 : STD_LOGIC ; + + + + COMPONENT altpll + GENERIC ( + bandwidth_type : STRING; + clk0_divide_by : NATURAL; + clk0_duty_cycle : NATURAL; + clk0_multiply_by : NATURAL; + clk0_phase_shift : STRING; + compensate_clock : STRING; + inclk0_input_frequency : NATURAL; + intended_device_family : STRING; + lpm_hint : STRING; + lpm_type : STRING; + operation_mode : STRING; + pll_type : STRING; + port_activeclock : STRING; + port_areset : STRING; + port_clkbad0 : STRING; + port_clkbad1 : STRING; + port_clkloss : STRING; + port_clkswitch : STRING; + port_configupdate : STRING; + port_fbin : STRING; + port_inclk0 : STRING; + port_inclk1 : STRING; + port_locked : STRING; + port_pfdena : STRING; + port_phasecounterselect : STRING; + port_phasedone : STRING; + port_phasestep : STRING; + port_phaseupdown : STRING; + port_pllena : STRING; + port_scanaclr : STRING; + port_scanclk : STRING; + port_scanclkena : STRING; + port_scandata : STRING; + port_scandataout : STRING; + port_scandone : STRING; + port_scanread : STRING; + port_scanwrite : STRING; + port_clk0 : STRING; + port_clk1 : STRING; + port_clk2 : STRING; + port_clk3 : STRING; + port_clk4 : STRING; + port_clk5 : STRING; + port_clkena0 : STRING; + port_clkena1 : STRING; + port_clkena2 : STRING; + port_clkena3 : STRING; + port_clkena4 : STRING; + port_clkena5 : STRING; + port_extclk0 : STRING; + port_extclk1 : STRING; + port_extclk2 : STRING; + port_extclk3 : STRING; + width_clock : NATURAL + ); + PORT ( + inclk : IN STD_LOGIC_VECTOR (1 DOWNTO 0); + clk : OUT STD_LOGIC_VECTOR (4 DOWNTO 0) + ); + END COMPONENT; + +BEGIN + sub_wire2_bv(0 DOWNTO 0) <= "0"; + sub_wire2 <= To_stdlogicvector(sub_wire2_bv); + sub_wire0 <= inclk0; + sub_wire1 <= sub_wire2(0 DOWNTO 0) & sub_wire0; + sub_wire4 <= sub_wire3(0); + c0 <= sub_wire4; + + altpll_component : altpll + GENERIC MAP ( + bandwidth_type => "AUTO", + clk0_divide_by => 50, + clk0_duty_cycle => 50, + clk0_multiply_by => 1, + clk0_phase_shift => "0", + compensate_clock => "CLK0", + inclk0_input_frequency => 20000, + intended_device_family => "MAX 10", + lpm_hint => "CBX_MODULE_PREFIX=pll", + lpm_type => "altpll", + operation_mode => "NORMAL", + pll_type => "AUTO", + port_activeclock => "PORT_UNUSED", + port_areset => "PORT_UNUSED", + port_clkbad0 => "PORT_UNUSED", + port_clkbad1 => "PORT_UNUSED", + port_clkloss => "PORT_UNUSED", + port_clkswitch => "PORT_UNUSED", + port_configupdate => "PORT_UNUSED", + port_fbin => "PORT_UNUSED", + port_inclk0 => "PORT_USED", + port_inclk1 => "PORT_UNUSED", + port_locked => "PORT_UNUSED", + port_pfdena => "PORT_UNUSED", + port_phasecounterselect => "PORT_UNUSED", + port_phasedone => "PORT_UNUSED", + port_phasestep => "PORT_UNUSED", + port_phaseupdown => "PORT_UNUSED", + port_pllena => "PORT_UNUSED", + port_scanaclr => "PORT_UNUSED", + port_scanclk => "PORT_UNUSED", + port_scanclkena => "PORT_UNUSED", + port_scandata => "PORT_UNUSED", + port_scandataout => "PORT_UNUSED", + port_scandone => "PORT_UNUSED", + port_scanread => "PORT_UNUSED", + port_scanwrite => "PORT_UNUSED", + port_clk0 => "PORT_USED", + port_clk1 => "PORT_UNUSED", + port_clk2 => "PORT_UNUSED", + port_clk3 => "PORT_UNUSED", + port_clk4 => "PORT_UNUSED", + port_clk5 => "PORT_UNUSED", + port_clkena0 => "PORT_UNUSED", + port_clkena1 => "PORT_UNUSED", + port_clkena2 => "PORT_UNUSED", + port_clkena3 => "PORT_UNUSED", + port_clkena4 => "PORT_UNUSED", + port_clkena5 => "PORT_UNUSED", + port_extclk0 => "PORT_UNUSED", + port_extclk1 => "PORT_UNUSED", + port_extclk2 => "PORT_UNUSED", + port_extclk3 => "PORT_UNUSED", + width_clock => 5 + ) + PORT MAP ( + inclk => sub_wire1, + clk => sub_wire3 + ); + + + +END SYN; + +-- ============================================================ +-- CNX file retrieval info +-- ============================================================ +-- Retrieval info: PRIVATE: ACTIVECLK_CHECK STRING "0" +-- Retrieval info: PRIVATE: BANDWIDTH STRING "1.000" +-- Retrieval info: PRIVATE: BANDWIDTH_FEATURE_ENABLED STRING "1" +-- Retrieval info: PRIVATE: BANDWIDTH_FREQ_UNIT STRING "MHz" +-- Retrieval info: PRIVATE: BANDWIDTH_PRESET STRING "Low" +-- Retrieval info: PRIVATE: BANDWIDTH_USE_AUTO STRING "1" +-- Retrieval info: PRIVATE: BANDWIDTH_USE_PRESET STRING "0" +-- Retrieval info: PRIVATE: CLKBAD_SWITCHOVER_CHECK STRING "0" +-- Retrieval info: PRIVATE: CLKLOSS_CHECK STRING "0" +-- Retrieval info: PRIVATE: CLKSWITCH_CHECK STRING "0" +-- Retrieval info: PRIVATE: CNX_NO_COMPENSATE_RADIO STRING "0" +-- Retrieval info: PRIVATE: CREATE_CLKBAD_CHECK STRING "0" +-- Retrieval info: PRIVATE: CREATE_INCLK1_CHECK STRING "0" +-- Retrieval info: PRIVATE: CUR_DEDICATED_CLK STRING "c0" +-- Retrieval info: PRIVATE: CUR_FBIN_CLK STRING "c0" +-- Retrieval info: PRIVATE: DEVICE_SPEED_GRADE STRING "Any" +-- Retrieval info: PRIVATE: DIV_FACTOR0 NUMERIC "1" +-- Retrieval info: PRIVATE: DUTY_CYCLE0 STRING "50.00000000" +-- Retrieval info: PRIVATE: EFF_OUTPUT_FREQ_VALUE0 STRING "1.000000" +-- Retrieval info: PRIVATE: EXPLICIT_SWITCHOVER_COUNTER STRING "0" +-- Retrieval info: PRIVATE: EXT_FEEDBACK_RADIO STRING "0" +-- Retrieval info: PRIVATE: GLOCKED_COUNTER_EDIT_CHANGED STRING "1" +-- Retrieval info: PRIVATE: GLOCKED_FEATURE_ENABLED STRING "0" +-- Retrieval info: PRIVATE: GLOCKED_MODE_CHECK STRING "0" +-- Retrieval info: PRIVATE: GLOCK_COUNTER_EDIT NUMERIC "1048575" +-- Retrieval info: PRIVATE: HAS_MANUAL_SWITCHOVER STRING "1" +-- Retrieval info: PRIVATE: INCLK0_FREQ_EDIT STRING "50.000" +-- Retrieval info: PRIVATE: INCLK0_FREQ_UNIT_COMBO STRING "MHz" +-- Retrieval info: PRIVATE: INCLK1_FREQ_EDIT STRING "100.000" +-- Retrieval info: PRIVATE: INCLK1_FREQ_EDIT_CHANGED STRING "1" +-- Retrieval info: PRIVATE: INCLK1_FREQ_UNIT_CHANGED STRING "1" +-- Retrieval info: PRIVATE: INCLK1_FREQ_UNIT_COMBO STRING "MHz" +-- Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "MAX 10" +-- Retrieval info: PRIVATE: INT_FEEDBACK__MODE_RADIO STRING "1" +-- Retrieval info: PRIVATE: LOCKED_OUTPUT_CHECK STRING "0" +-- Retrieval info: PRIVATE: LONG_SCAN_RADIO STRING "1" +-- Retrieval info: PRIVATE: LVDS_MODE_DATA_RATE STRING "Not Available" +-- Retrieval info: PRIVATE: LVDS_MODE_DATA_RATE_DIRTY NUMERIC "0" +-- Retrieval info: PRIVATE: LVDS_PHASE_SHIFT_UNIT0 STRING "deg" +-- Retrieval info: PRIVATE: MIG_DEVICE_SPEED_GRADE STRING "Any" +-- Retrieval info: PRIVATE: MIRROR_CLK0 STRING "0" +-- Retrieval info: PRIVATE: MULT_FACTOR0 NUMERIC "1" +-- Retrieval info: PRIVATE: NORMAL_MODE_RADIO STRING "1" +-- Retrieval info: PRIVATE: OUTPUT_FREQ0 STRING "1.00000000" +-- Retrieval info: PRIVATE: OUTPUT_FREQ_MODE0 STRING "1" +-- Retrieval info: PRIVATE: OUTPUT_FREQ_UNIT0 STRING "MHz" +-- Retrieval info: PRIVATE: PHASE_RECONFIG_FEATURE_ENABLED STRING "1" +-- Retrieval info: PRIVATE: PHASE_RECONFIG_INPUTS_CHECK STRING "0" +-- Retrieval info: PRIVATE: PHASE_SHIFT0 STRING "0.00000000" +-- Retrieval info: PRIVATE: PHASE_SHIFT_STEP_ENABLED_CHECK STRING "0" +-- Retrieval info: PRIVATE: PHASE_SHIFT_UNIT0 STRING "deg" +-- Retrieval info: PRIVATE: PLL_ADVANCED_PARAM_CHECK STRING "0" +-- Retrieval info: PRIVATE: PLL_ARESET_CHECK STRING "0" +-- Retrieval info: PRIVATE: PLL_AUTOPLL_CHECK NUMERIC "1" +-- Retrieval info: PRIVATE: PLL_ENHPLL_CHECK NUMERIC "0" +-- Retrieval info: PRIVATE: PLL_FASTPLL_CHECK NUMERIC "0" +-- Retrieval info: PRIVATE: PLL_FBMIMIC_CHECK STRING "0" +-- Retrieval info: PRIVATE: PLL_LVDS_PLL_CHECK NUMERIC "0" +-- Retrieval info: PRIVATE: PLL_PFDENA_CHECK STRING "0" +-- Retrieval info: PRIVATE: PLL_TARGET_HARCOPY_CHECK NUMERIC "0" +-- Retrieval info: PRIVATE: PRIMARY_CLK_COMBO STRING "inclk0" +-- Retrieval info: PRIVATE: RECONFIG_FILE STRING "pll.mif" +-- Retrieval info: PRIVATE: SACN_INPUTS_CHECK STRING "0" +-- Retrieval info: PRIVATE: SCAN_FEATURE_ENABLED STRING "1" +-- Retrieval info: PRIVATE: SELF_RESET_LOCK_LOSS STRING "0" +-- Retrieval info: PRIVATE: SHORT_SCAN_RADIO STRING "0" +-- Retrieval info: PRIVATE: SPREAD_FEATURE_ENABLED STRING "0" +-- Retrieval info: PRIVATE: SPREAD_FREQ STRING "50.000" +-- Retrieval info: PRIVATE: SPREAD_FREQ_UNIT STRING "KHz" +-- Retrieval info: PRIVATE: SPREAD_PERCENT STRING "0.500" +-- Retrieval info: PRIVATE: SPREAD_USE STRING "0" +-- Retrieval info: PRIVATE: SRC_SYNCH_COMP_RADIO STRING "0" +-- Retrieval info: PRIVATE: STICKY_CLK0 STRING "1" +-- Retrieval info: PRIVATE: STICKY_CLK1 STRING "0" +-- Retrieval info: PRIVATE: STICKY_CLK2 STRING "0" +-- Retrieval info: PRIVATE: STICKY_CLK3 STRING "0" +-- Retrieval info: PRIVATE: STICKY_CLK4 STRING "0" +-- Retrieval info: PRIVATE: SWITCHOVER_COUNT_EDIT NUMERIC "1" +-- Retrieval info: PRIVATE: SWITCHOVER_FEATURE_ENABLED STRING "1" +-- Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0" +-- Retrieval info: PRIVATE: USE_CLK0 STRING "1" +-- Retrieval info: PRIVATE: USE_CLKENA0 STRING "0" +-- Retrieval info: PRIVATE: USE_MIL_SPEED_GRADE NUMERIC "0" +-- Retrieval info: PRIVATE: ZERO_DELAY_RADIO STRING "0" +-- Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all +-- Retrieval info: CONSTANT: BANDWIDTH_TYPE STRING "AUTO" +-- Retrieval info: CONSTANT: CLK0_DIVIDE_BY NUMERIC "50" +-- Retrieval info: CONSTANT: CLK0_DUTY_CYCLE NUMERIC "50" +-- Retrieval info: CONSTANT: CLK0_MULTIPLY_BY NUMERIC "1" +-- Retrieval info: CONSTANT: CLK0_PHASE_SHIFT STRING "0" +-- Retrieval info: CONSTANT: COMPENSATE_CLOCK STRING "CLK0" +-- Retrieval info: CONSTANT: INCLK0_INPUT_FREQUENCY NUMERIC "20000" +-- Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "MAX 10" +-- Retrieval info: CONSTANT: LPM_TYPE STRING "altpll" +-- Retrieval info: CONSTANT: OPERATION_MODE STRING "NORMAL" +-- Retrieval info: CONSTANT: PLL_TYPE STRING "AUTO" +-- Retrieval info: CONSTANT: PORT_ACTIVECLOCK STRING "PORT_UNUSED" +-- Retrieval info: CONSTANT: PORT_ARESET STRING "PORT_UNUSED" +-- Retrieval info: CONSTANT: PORT_CLKBAD0 STRING "PORT_UNUSED" +-- Retrieval info: CONSTANT: PORT_CLKBAD1 STRING "PORT_UNUSED" +-- Retrieval info: CONSTANT: PORT_CLKLOSS STRING "PORT_UNUSED" +-- Retrieval info: CONSTANT: PORT_CLKSWITCH STRING "PORT_UNUSED" +-- Retrieval info: CONSTANT: PORT_CONFIGUPDATE STRING "PORT_UNUSED" +-- Retrieval info: CONSTANT: PORT_FBIN STRING "PORT_UNUSED" +-- Retrieval info: CONSTANT: PORT_INCLK0 STRING "PORT_USED" +-- Retrieval info: CONSTANT: PORT_INCLK1 STRING "PORT_UNUSED" +-- Retrieval info: CONSTANT: PORT_LOCKED STRING "PORT_UNUSED" +-- Retrieval info: CONSTANT: PORT_PFDENA STRING "PORT_UNUSED" +-- Retrieval info: CONSTANT: PORT_PHASECOUNTERSELECT STRING "PORT_UNUSED" +-- Retrieval info: CONSTANT: PORT_PHASEDONE STRING "PORT_UNUSED" +-- Retrieval info: CONSTANT: PORT_PHASESTEP STRING "PORT_UNUSED" +-- Retrieval info: CONSTANT: PORT_PHASEUPDOWN STRING "PORT_UNUSED" +-- Retrieval info: CONSTANT: PORT_PLLENA STRING "PORT_UNUSED" +-- Retrieval info: CONSTANT: PORT_SCANACLR STRING "PORT_UNUSED" +-- Retrieval info: CONSTANT: PORT_SCANCLK STRING "PORT_UNUSED" +-- Retrieval info: CONSTANT: PORT_SCANCLKENA STRING "PORT_UNUSED" +-- Retrieval info: CONSTANT: PORT_SCANDATA STRING "PORT_UNUSED" +-- Retrieval info: CONSTANT: PORT_SCANDATAOUT STRING "PORT_UNUSED" +-- Retrieval info: CONSTANT: PORT_SCANDONE STRING "PORT_UNUSED" +-- Retrieval info: CONSTANT: PORT_SCANREAD STRING "PORT_UNUSED" +-- Retrieval info: CONSTANT: PORT_SCANWRITE STRING "PORT_UNUSED" +-- Retrieval info: CONSTANT: PORT_clk0 STRING "PORT_USED" +-- Retrieval info: CONSTANT: PORT_clk1 STRING "PORT_UNUSED" +-- Retrieval info: CONSTANT: PORT_clk2 STRING "PORT_UNUSED" +-- Retrieval info: CONSTANT: PORT_clk3 STRING "PORT_UNUSED" +-- Retrieval info: CONSTANT: PORT_clk4 STRING "PORT_UNUSED" +-- Retrieval info: CONSTANT: PORT_clk5 STRING "PORT_UNUSED" +-- Retrieval info: CONSTANT: PORT_clkena0 STRING "PORT_UNUSED" +-- Retrieval info: CONSTANT: PORT_clkena1 STRING "PORT_UNUSED" +-- Retrieval info: CONSTANT: PORT_clkena2 STRING "PORT_UNUSED" +-- Retrieval info: CONSTANT: PORT_clkena3 STRING "PORT_UNUSED" +-- Retrieval info: CONSTANT: PORT_clkena4 STRING "PORT_UNUSED" +-- Retrieval info: CONSTANT: PORT_clkena5 STRING "PORT_UNUSED" +-- Retrieval info: CONSTANT: PORT_extclk0 STRING "PORT_UNUSED" +-- Retrieval info: CONSTANT: PORT_extclk1 STRING "PORT_UNUSED" +-- Retrieval info: CONSTANT: PORT_extclk2 STRING "PORT_UNUSED" +-- Retrieval info: CONSTANT: PORT_extclk3 STRING "PORT_UNUSED" +-- Retrieval info: CONSTANT: WIDTH_CLOCK NUMERIC "5" +-- Retrieval info: USED_PORT: @clk 0 0 5 0 OUTPUT_CLK_EXT VCC "@clk[4..0]" +-- Retrieval info: USED_PORT: @inclk 0 0 2 0 INPUT_CLK_EXT VCC "@inclk[1..0]" +-- Retrieval info: USED_PORT: c0 0 0 0 0 OUTPUT_CLK_EXT VCC "c0" +-- Retrieval info: USED_PORT: inclk0 0 0 0 0 INPUT_CLK_EXT GND "inclk0" +-- Retrieval info: CONNECT: @inclk 0 0 1 1 GND 0 0 0 0 +-- Retrieval info: CONNECT: @inclk 0 0 1 0 inclk0 0 0 0 0 +-- Retrieval info: CONNECT: c0 0 0 0 0 @clk 0 0 1 0 +-- Retrieval info: GEN_FILE: TYPE_NORMAL pll.vhd TRUE +-- Retrieval info: GEN_FILE: TYPE_NORMAL pll.ppf TRUE +-- Retrieval info: GEN_FILE: TYPE_NORMAL pll.inc FALSE +-- Retrieval info: GEN_FILE: TYPE_NORMAL pll.cmp TRUE +-- Retrieval info: GEN_FILE: TYPE_NORMAL pll.bsf FALSE +-- Retrieval info: GEN_FILE: TYPE_NORMAL pll_inst.vhd FALSE +-- Retrieval info: LIB_FILE: altera_mf +-- Retrieval info: CBX_MODULE_PREFIX: ON diff --git a/peripherals/I2S/sint/probe.qsys b/peripherals/I2S/sint/probe.qsys new file mode 100644 index 00000000..937ce38d --- /dev/null +++ b/peripherals/I2S/sint/probe.qsys @@ -0,0 +1,69 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/peripherals/I2S/sint/probe.sopcinfo b/peripherals/I2S/sint/probe.sopcinfo new file mode 100644 index 00000000..2dff1217 --- /dev/null +++ b/peripherals/I2S/sint/probe.sopcinfo @@ -0,0 +1,256 @@ + + + + + + + java.lang.Integer + 1725394049 + false + true + false + true + GENERATION_ID + + + java.lang.String + + false + true + false + true + UNIQUE_ID + + + java.lang.String + MAX10FPGA + false + true + false + true + DEVICE_FAMILY + + + java.lang.String + 10M50DAF484C7G + false + true + false + true + DEVICE + + + java.lang.String + 7 + false + true + false + true + DEVICE_SPEEDGRADE + + + java.lang.String + MAX 10 + false + true + false + true + DEVICE_FAMILY + + + boolean + false + false + true + true + true + + + + + embeddedsw.dts.group + ignore + + + embeddedsw.dts.name + debug + + + embeddedsw.dts.vendor + altr + + + java.lang.String + MAX10FPGA + false + true + false + true + DEVICE_FAMILY + + + boolean + true + false + true + true + true + + + java.lang.String + YES + true + true + false + true + + + int + 0 + false + false + true + true + + + java.lang.String + NONE + false + true + true + true + + + int + 96 + false + true + true + true + + + int + 0 + false + true + true + true + + + java.lang.String + 0 + false + false + true + true + + + boolean + false + false + false + true + true + + + boolean + false + false + false + true + true + + + java.lang.String + NO + true + true + false + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + + + + java.lang.String + + false + true + true + true + + + java.lang.String + + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + conduit + false + + probe + Input + 96 + probe + + + + + 1 + altera_in_system_sources_probes + com.altera.entityinterfaces.IElementClass + com.altera.entityinterfaces.IModule + + 21.1 + + + 1 + conduit_end + com.altera.entityinterfaces.IElementClass + com.altera.entityinterfaces.IMutableConnectionPoint + Conduit + 21.1 + + 21.1 850 + + diff --git a/peripherals/I2S/sint/probe/probe.bsf b/peripherals/I2S/sint/probe/probe.bsf new file mode 100644 index 00000000..9f1b5be2 --- /dev/null +++ b/peripherals/I2S/sint/probe/probe.bsf @@ -0,0 +1,49 @@ +/* +WARNING: Do NOT edit the input and output ports in this file in a text +editor if you plan to continue editing the block that represents it in +the Block Editor! File corruption is VERY likely to occur. +*/ +/* +Copyright (C) 2022 Intel Corporation. All rights reserved. +Your use of Intel Corporation's design tools, logic functions +and other software and tools, and any partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Intel Program License +Subscription Agreement, the Intel Quartus Prime License Agreement, +the Intel FPGA IP License Agreement, or other applicable license +agreement, including, without limitation, that your use is for +the sole purpose of programming logic devices manufactured by +Intel and sold by Intel or its authorized distributors. Please +refer to the applicable agreement for further details, at +https://fpgasoftware.intel.com/eula. +*/ +(header "symbol" (version "1.1")) +(symbol + (rect 0 0 208 104) + (text "probe" (rect 88 -1 110 11)(font "Arial" (font_size 10))) + (text "inst" (rect 8 88 20 100)(font "Arial" )) + (port + (pt 0 72) + (input) + (text "probe[95..0]" (rect 0 0 47 12)(font "Arial" (font_size 8))) + (text "probe[95..0]" (rect 4 61 76 72)(font "Arial" (font_size 8))) + (line (pt 0 72)(pt 80 72)(line_width 3)) + ) + (drawing + (text "probes" (rect 41 43 118 99)(font "Arial" (color 128 0 0)(font_size 9))) + (text "probe" (rect 85 67 200 144)(font "Arial" (color 0 0 0))) + (text " probe " (rect 181 88 404 186)(font "Arial" )) + (line (pt 80 32)(pt 128 32)(line_width 1)) + (line (pt 128 32)(pt 128 88)(line_width 1)) + (line (pt 80 88)(pt 128 88)(line_width 1)) + (line (pt 80 32)(pt 80 88)(line_width 1)) + (line (pt 81 52)(pt 81 76)(line_width 1)) + (line (pt 82 52)(pt 82 76)(line_width 1)) + (line (pt 0 0)(pt 208 0)(line_width 1)) + (line (pt 208 0)(pt 208 104)(line_width 1)) + (line (pt 0 104)(pt 208 104)(line_width 1)) + (line (pt 0 0)(pt 0 104)(line_width 1)) + ) +) diff --git a/peripherals/I2S/sint/probe/probe.cmp b/peripherals/I2S/sint/probe/probe.cmp new file mode 100644 index 00000000..c535af9e --- /dev/null +++ b/peripherals/I2S/sint/probe/probe.cmp @@ -0,0 +1,6 @@ + component probe is + port ( + probe : in std_logic_vector(95 downto 0) := (others => 'X') -- probe + ); + end component probe; + diff --git a/peripherals/I2S/sint/probe/probe.html b/peripherals/I2S/sint/probe/probe.html new file mode 100644 index 00000000..a3cc83aa --- /dev/null +++ b/peripherals/I2S/sint/probe/probe.html @@ -0,0 +1,177 @@ + + + + + datasheet for probe + + + + + + + + +
probe +
+
+
+ + + + + +
2024.08.30.16:28:37Datasheet
+
+
Overview
+
+
+ + + + +
+
+
+
+
+
+
+
Memory Map
+ + + + +
+ +
+
+

in_system_sources_probes_0

altera_in_system_sources_probes v21.1 +
+
+
+ + + + +
+

Parameters

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
device_familyMAX10FPGA
gui_use_auto_indextrue
sld_auto_instance_indexYES
sld_instance_index0
instance_idNONE
probe_width96
source_width0
source_initial_value0
create_source_clockfalse
create_source_clock_enablefalse
enable_metastabilityNO
deviceFamilyUNKNOWN
generateLegacySimfalse
+
   + + + + +
+

Software Assignments

(none)
+
+ + + + + +
generation took 0,01 secondsrendering took 0,03 seconds
+ + diff --git a/peripherals/I2S/sint/probe/probe.ppf b/peripherals/I2S/sint/probe/probe.ppf new file mode 100644 index 00000000..21a1f33d --- /dev/null +++ b/peripherals/I2S/sint/probe/probe.ppf @@ -0,0 +1,10 @@ + + + + + + diff --git a/peripherals/I2S/sint/probe/probe.xml b/peripherals/I2S/sint/probe/probe.xml new file mode 100644 index 00000000..70659bbe --- /dev/null +++ b/peripherals/I2S/sint/probe/probe.xml @@ -0,0 +1,128 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + queue size: 0 starting:probe "probe" + + + + Transform: CustomInstructionTransform + No custom instruction connections, skipping transform + 1
modules, 0 connections]]> + Transform: MMTransform + Transform: InterruptMapperTransform + Transform: InterruptSyncTransform + Transform: InterruptFanoutTransform + Transform: AvalonStreamingTransform + Transform: ResetAdaptation + probe
" reuses altera_in_system_sources_probes "submodules/altsource_probe_top"]]> + queue size: 0 starting:altera_in_system_sources_probes "submodules/altsource_probe_top" + probe
" instantiated altera_in_system_sources_probes "in_system_sources_probes_0"]]> + + + + + + + + + + + + + + + + + + + + + + + + + queue size: 0 starting:altera_in_system_sources_probes "submodules/altsource_probe_top" + probe" instantiated altera_in_system_sources_probes "in_system_sources_probes_0"]]> + + + diff --git a/peripherals/I2S/sint/probe/probe_bb.v b/peripherals/I2S/sint/probe/probe_bb.v new file mode 100644 index 00000000..28fa4936 --- /dev/null +++ b/peripherals/I2S/sint/probe/probe_bb.v @@ -0,0 +1,6 @@ + +module probe ( + probe); + + input [95:0] probe; +endmodule diff --git a/peripherals/I2S/sint/probe/probe_generation.rpt b/peripherals/I2S/sint/probe/probe_generation.rpt new file mode 100644 index 00000000..28feacd1 --- /dev/null +++ b/peripherals/I2S/sint/probe/probe_generation.rpt @@ -0,0 +1,28 @@ +Info: Starting: Create block symbol file (.bsf) +Info: qsys-generate C:\Users\emman\workspaceSigasi\riscv-multicycle\peripherals\I2S\sintese\probe.qsys --block-symbol-file --output-directory=C:\Users\emman\workspaceSigasi\riscv-multicycle\peripherals\I2S\sintese\probe --family="MAX 10" --part=10M50DAF484C7G +Progress: Loading sintese/probe.qsys +Progress: Reading input file +Progress: Adding in_system_sources_probes_0 [altera_in_system_sources_probes 21.1] +Progress: Parameterizing module in_system_sources_probes_0 +Progress: Building connections +Progress: Parameterizing connections +Progress: Validating +Progress: Done reading input file +Info: qsys-generate succeeded. +Info: Finished: Create block symbol file (.bsf) +Info: +Info: Starting: Create HDL design files for synthesis +Info: qsys-generate C:\Users\emman\workspaceSigasi\riscv-multicycle\peripherals\I2S\sintese\probe.qsys --synthesis=VHDL --output-directory=C:\Users\emman\workspaceSigasi\riscv-multicycle\peripherals\I2S\sintese\probe\synthesis --family="MAX 10" --part=10M50DAF484C7G +Progress: Loading sintese/probe.qsys +Progress: Reading input file +Progress: Adding in_system_sources_probes_0 [altera_in_system_sources_probes 21.1] +Progress: Parameterizing module in_system_sources_probes_0 +Progress: Building connections +Progress: Parameterizing connections +Progress: Validating +Progress: Done reading input file +Info: probe: Generating probe "probe" for QUARTUS_SYNTH +Info: in_system_sources_probes_0: "probe" instantiated altera_in_system_sources_probes "in_system_sources_probes_0" +Info: probe: Done "probe" with 2 modules, 2 files +Info: qsys-generate succeeded. +Info: Finished: Create HDL design files for synthesis diff --git a/peripherals/I2S/sint/probe/probe_generation_previous.rpt b/peripherals/I2S/sint/probe/probe_generation_previous.rpt new file mode 100644 index 00000000..28feacd1 --- /dev/null +++ b/peripherals/I2S/sint/probe/probe_generation_previous.rpt @@ -0,0 +1,28 @@ +Info: Starting: Create block symbol file (.bsf) +Info: qsys-generate C:\Users\emman\workspaceSigasi\riscv-multicycle\peripherals\I2S\sintese\probe.qsys --block-symbol-file --output-directory=C:\Users\emman\workspaceSigasi\riscv-multicycle\peripherals\I2S\sintese\probe --family="MAX 10" --part=10M50DAF484C7G +Progress: Loading sintese/probe.qsys +Progress: Reading input file +Progress: Adding in_system_sources_probes_0 [altera_in_system_sources_probes 21.1] +Progress: Parameterizing module in_system_sources_probes_0 +Progress: Building connections +Progress: Parameterizing connections +Progress: Validating +Progress: Done reading input file +Info: qsys-generate succeeded. +Info: Finished: Create block symbol file (.bsf) +Info: +Info: Starting: Create HDL design files for synthesis +Info: qsys-generate C:\Users\emman\workspaceSigasi\riscv-multicycle\peripherals\I2S\sintese\probe.qsys --synthesis=VHDL --output-directory=C:\Users\emman\workspaceSigasi\riscv-multicycle\peripherals\I2S\sintese\probe\synthesis --family="MAX 10" --part=10M50DAF484C7G +Progress: Loading sintese/probe.qsys +Progress: Reading input file +Progress: Adding in_system_sources_probes_0 [altera_in_system_sources_probes 21.1] +Progress: Parameterizing module in_system_sources_probes_0 +Progress: Building connections +Progress: Parameterizing connections +Progress: Validating +Progress: Done reading input file +Info: probe: Generating probe "probe" for QUARTUS_SYNTH +Info: in_system_sources_probes_0: "probe" instantiated altera_in_system_sources_probes "in_system_sources_probes_0" +Info: probe: Done "probe" with 2 modules, 2 files +Info: qsys-generate succeeded. +Info: Finished: Create HDL design files for synthesis diff --git a/peripherals/I2S/sint/probe/probe_inst.v b/peripherals/I2S/sint/probe/probe_inst.v new file mode 100644 index 00000000..7584e6ad --- /dev/null +++ b/peripherals/I2S/sint/probe/probe_inst.v @@ -0,0 +1,4 @@ + probe u0 ( + .probe () // probes.probe + ); + diff --git a/peripherals/I2S/sint/probe/probe_inst.vhd b/peripherals/I2S/sint/probe/probe_inst.vhd new file mode 100644 index 00000000..396d0bee --- /dev/null +++ b/peripherals/I2S/sint/probe/probe_inst.vhd @@ -0,0 +1,11 @@ + component probe is + port ( + probe : in std_logic_vector(95 downto 0) := (others => 'X') -- probe + ); + end component probe; + + u0 : component probe + port map ( + probe => CONNECTED_TO_probe -- probes.probe + ); + diff --git a/peripherals/I2S/sint/probe/synthesis/probe.debuginfo b/peripherals/I2S/sint/probe/synthesis/probe.debuginfo new file mode 100644 index 00000000..d0333eee --- /dev/null +++ b/peripherals/I2S/sint/probe/synthesis/probe.debuginfo @@ -0,0 +1,338 @@ + + + + + + + com.altera.sopcmodel.ensemble.EClockAdapter + HANDSHAKE + false + true + true + true + + + java.lang.String + 10M50DAF484C7G + false + true + true + true + + + java.lang.String + MAX10FPGA + false + true + true + true + + + java.lang.String + 7 + false + true + false + true + + + com.altera.sopcmodel.ensemble.Ensemble$EFabricMode + QSYS + false + true + false + true + + + boolean + false + false + true + false + true + + + int + 1725046117 + false + true + true + true + + + boolean + false + false + true + false + true + + + com.altera.entityinterfaces.moduleext.IModuleGenerateHDL$HDLLanguage + VERILOG + false + false + false + true + + + boolean + true + false + true + true + true + + + com.altera.sopcmodel.definition.BoundaryDefinition + + false + true + false + true + + + int + 1 + false + true + true + true + + + java.lang.String + de10_lite.qpf + false + true + false + true + + + boolean + false + false + true + false + true + + + long + 0 + false + true + false + true + + + java.lang.String + + false + true + false + true + + + long + 0 + false + true + false + true + + + boolean + false + false + true + false + true + + + + + embeddedsw.dts.group + ignore + + + embeddedsw.dts.name + debug + + + embeddedsw.dts.vendor + altr + + + java.lang.String + MAX10FPGA + false + true + false + true + DEVICE_FAMILY + + + boolean + true + false + true + true + true + + + java.lang.String + YES + true + true + false + true + + + int + 0 + false + false + true + true + + + java.lang.String + NONE + false + true + true + true + + + int + 96 + false + true + true + true + + + int + 0 + false + true + true + true + + + java.lang.String + 0 + false + false + true + true + + + boolean + false + false + false + true + true + + + boolean + false + false + false + true + true + + + java.lang.String + NO + true + true + false + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + + + + java.lang.String + + false + true + true + true + + + java.lang.String + + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + conduit + false + + probe + Input + 96 + probe + + + + + 1 + altera_in_system_sources_probes + com.altera.entityinterfaces.IElementClass + com.altera.entityinterfaces.IModule + + 21.1 + + + 1 + conduit_end + com.altera.entityinterfaces.IElementClass + com.altera.entityinterfaces.IMutableConnectionPoint + Conduit + 21.1 + + 21.1 850 + 00D76DB60DEB00000191A4C2D9FB + diff --git a/peripherals/I2S/sint/probe/synthesis/probe.qip b/peripherals/I2S/sint/probe/synthesis/probe.qip new file mode 100644 index 00000000..5aa3d30f --- /dev/null +++ b/peripherals/I2S/sint/probe/synthesis/probe.qip @@ -0,0 +1,42 @@ +set_global_assignment -entity "probe" -library "probe" -name IP_TOOL_NAME "Qsys" +set_global_assignment -entity "probe" -library "probe" -name IP_TOOL_VERSION "21.1" +set_global_assignment -entity "probe" -library "probe" -name IP_TOOL_ENV "Qsys" +set_global_assignment -library "probe" -name SOPCINFO_FILE [file join $::quartus(qip_path) "../../probe.sopcinfo"] +set_global_assignment -entity "probe" -library "probe" -name SLD_INFO "QSYS_NAME probe HAS_SOPCINFO 1 GENERATION_ID 1725046117" +set_global_assignment -library "probe" -name MISC_FILE [file join $::quartus(qip_path) "../probe.cmp"] +set_global_assignment -library "probe" -name SLD_FILE [file join $::quartus(qip_path) "probe.debuginfo"] +set_global_assignment -entity "probe" -library "probe" -name IP_TARGETED_DEVICE_FAMILY "MAX 10" +set_global_assignment -entity "probe" -library "probe" -name IP_GENERATED_DEVICE_FAMILY "{MAX 10}" +set_global_assignment -entity "probe" -library "probe" -name IP_QSYS_MODE "STANDALONE" +set_global_assignment -name SYNTHESIS_ONLY_QIP ON +set_global_assignment -library "probe" -name MISC_FILE [file join $::quartus(qip_path) "../../probe.qsys"] +set_global_assignment -entity "probe" -library "probe" -name IP_COMPONENT_NAME "cHJvYmU=" +set_global_assignment -entity "probe" -library "probe" -name IP_COMPONENT_DISPLAY_NAME "cHJvYmU=" +set_global_assignment -entity "probe" -library "probe" -name IP_COMPONENT_REPORT_HIERARCHY "On" +set_global_assignment -entity "probe" -library "probe" -name IP_COMPONENT_INTERNAL "Off" +set_global_assignment -entity "probe" -library "probe" -name IP_COMPONENT_VERSION "MS4w" +set_global_assignment -entity "probe" -library "probe" -name IP_COMPONENT_PARAMETER "QVVUT19HRU5FUkFUSU9OX0lE::MTcyNTA0NjExNw==::QXV0byBHRU5FUkFUSU9OX0lE" +set_global_assignment -entity "probe" -library "probe" -name IP_COMPONENT_PARAMETER "QVVUT19ERVZJQ0VfRkFNSUxZ::TUFYIDEw::QXV0byBERVZJQ0VfRkFNSUxZ" +set_global_assignment -entity "probe" -library "probe" -name IP_COMPONENT_PARAMETER "QVVUT19ERVZJQ0U=::MTBNNTBEQUY0ODRDN0c=::QXV0byBERVZJQ0U=" +set_global_assignment -entity "probe" -library "probe" -name IP_COMPONENT_PARAMETER "QVVUT19ERVZJQ0VfU1BFRURHUkFERQ==::Nw==::QXV0byBERVZJQ0VfU1BFRURHUkFERQ==" +set_global_assignment -entity "altsource_probe_top" -library "probe" -name IP_COMPONENT_NAME "YWx0c291cmNlX3Byb2JlX3RvcA==" +set_global_assignment -entity "altsource_probe_top" -library "probe" -name IP_COMPONENT_DISPLAY_NAME "SW50ZWwgRlBHQSBJbi1TeXN0ZW0gU291cmNlcyAmIFByb2Jlcw==" +set_global_assignment -entity "altsource_probe_top" -library "probe" -name IP_COMPONENT_REPORT_HIERARCHY "Off" +set_global_assignment -entity "altsource_probe_top" -library "probe" -name IP_COMPONENT_INTERNAL "Off" +set_global_assignment -entity "altsource_probe_top" -library "probe" -name IP_COMPONENT_AUTHOR "SW50ZWwgQ29ycG9yYXRpb24=" +set_global_assignment -entity "altsource_probe_top" -library "probe" -name IP_COMPONENT_VERSION "MjEuMQ==" +set_global_assignment -entity "altsource_probe_top" -library "probe" -name IP_COMPONENT_DESCRIPTION "SW4tc3lzdGVtIHNvdXJjZXMgJiBwcm9iZXMgZGVidWdnaW5nIG1lZ2FmdW5jdGlvbi4gIFRoZSBJbi1TeXN0ZW0gU291cmNlcyBhbmQgUHJvYmVzIG1lZ2FmdW5jdGlvbiBpcwphdmFpbGFibGUgZm9yIGFsbCBJbnRlbCBGUEdBIGRldmljZSBmYW1pbGllcyBzdXBwb3J0ZWQgYnkgdGhlIFF1YXJ0dXMgUHJpbWUgc29mdHdhcmUu" +set_global_assignment -entity "altsource_probe_top" -library "probe" -name IP_COMPONENT_PARAMETER "ZGV2aWNlX2ZhbWlseQ==::TUFYIDEw::ZGV2aWNlX2ZhbWlseQ==" +set_global_assignment -entity "altsource_probe_top" -library "probe" -name IP_COMPONENT_PARAMETER "Z3VpX3VzZV9hdXRvX2luZGV4::dHJ1ZQ==::QXV0b21hdGljIEluc3RhbmNlIEluZGV4IEFzc2lnbm1lbnQ=" +set_global_assignment -entity "altsource_probe_top" -library "probe" -name IP_COMPONENT_PARAMETER "c2xkX2F1dG9faW5zdGFuY2VfaW5kZXg=::WUVT::c2xkX2F1dG9faW5zdGFuY2VfaW5kZXg=" +set_global_assignment -entity "altsource_probe_top" -library "probe" -name IP_COMPONENT_PARAMETER "aW5zdGFuY2VfaWQ=::Tk9ORQ==::VGhlICdJbnN0YW5jZSBJRCcgb2YgdGhpcyBpbnN0YW5jZSAob3B0aW9uYWwp" +set_global_assignment -entity "altsource_probe_top" -library "probe" -name IP_COMPONENT_PARAMETER "cHJvYmVfd2lkdGg=::OTY=::UHJvYmUgUG9ydCBXaWR0aCBbMC4uNTEyXQ==" +set_global_assignment -entity "altsource_probe_top" -library "probe" -name IP_COMPONENT_PARAMETER "c291cmNlX3dpZHRo::MA==::U291cmNlIFBvcnQgV2lkdGggWzAuLjUxMl0=" +set_global_assignment -entity "altsource_probe_top" -library "probe" -name IP_COMPONENT_PARAMETER "ZW5hYmxlX21ldGFzdGFiaWxpdHk=::Tk8=::ZW5hYmxlX21ldGFzdGFiaWxpdHk=" + +set_global_assignment -library "probe" -name VHDL_FILE [file join $::quartus(qip_path) "probe.vhd"] +set_global_assignment -library "probe" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/altsource_probe_top.v"] + +set_global_assignment -entity "altsource_probe_top" -library "probe" -name IP_TOOL_NAME "altera_in_system_sources_probes" +set_global_assignment -entity "altsource_probe_top" -library "probe" -name IP_TOOL_VERSION "21.1" +set_global_assignment -entity "altsource_probe_top" -library "probe" -name IP_TOOL_ENV "Qsys" diff --git a/peripherals/I2S/sint/probe/synthesis/probe.vhd b/peripherals/I2S/sint/probe/synthesis/probe.vhd new file mode 100644 index 00000000..78851b1f --- /dev/null +++ b/peripherals/I2S/sint/probe/synthesis/probe.vhd @@ -0,0 +1,45 @@ +-- probe.vhd + +-- Generated using ACDS version 21.1 850 + +library IEEE; +use IEEE.std_logic_1164.all; +use IEEE.numeric_std.all; + +entity probe is + port ( + probe : in std_logic_vector(95 downto 0) := (others => '0') -- probes.probe + ); +end entity probe; + +architecture rtl of probe is + component altsource_probe_top is + generic ( + sld_auto_instance_index : string := "YES"; + sld_instance_index : integer := 0; + instance_id : string := "NONE"; + probe_width : integer := 1; + source_width : integer := 1; + enable_metastability : string := "NO" + ); + port ( + probe : in std_logic_vector(95 downto 0) := (others => 'X') -- probe + ); + end component altsource_probe_top; + +begin + + in_system_sources_probes_0 : component altsource_probe_top + generic map ( + sld_auto_instance_index => "YES", + sld_instance_index => 0, + instance_id => "NONE", + probe_width => 96, + source_width => 0, + enable_metastability => "NO" + ) + port map ( + probe => probe -- probes.probe + ); + +end architecture rtl; -- of probe diff --git a/peripherals/I2S/sint/probe/synthesis/submodules/altsource_probe_top.v b/peripherals/I2S/sint/probe/synthesis/submodules/altsource_probe_top.v new file mode 100644 index 00000000..753c778b --- /dev/null +++ b/peripherals/I2S/sint/probe/synthesis/submodules/altsource_probe_top.v @@ -0,0 +1,57 @@ +// (C) 2001-2022 Intel Corporation. All rights reserved. +// Your use of Intel Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, and any output +// files from any of the foregoing (including device programming or simulation +// files), and any associated documentation or information are expressly subject +// to the terms and conditions of the Intel Program License Subscription +// Agreement, Intel FPGA IP License Agreement, or other applicable +// license agreement, including, without limitation, that your use is for the +// sole purpose of programming logic devices manufactured by Intel and sold by +// Intel or its authorized distributors. Please refer to the applicable +// agreement for further details. + + +module altsource_probe_top +#( + parameter lpm_type = "altsource_probe", // required by the coding standard + parameter lpm_hint = "UNUSED", // required by the coding standard + + parameter sld_auto_instance_index = "YES", // Yes, if the instance index should be automatically assigned. + parameter sld_instance_index = 0, // unique identifier for the altsource_probe instance. + parameter sld_node_info_parameter = 4746752 + sld_instance_index, // The NODE ID to uniquely identify this node on the hub. Type ID: 9 Version: 0 Inst: 0 MFG ID 110 -- ***NOTE*** this parameter cannot be called SLD_NODE_INFO or Quartus Standard will think it's an ISSP impl. + parameter sld_ir_width = 4, + + parameter instance_id = "UNUSED", // optional name for the instance. + parameter probe_width = 1, // probe port width + parameter source_width= 1, // source port width + parameter source_initial_value = "0", // initial source port value + parameter enable_metastability = "NO" // yes to add two register +) +( + input [probe_width - 1 : 0] probe, // probe inputs + output [source_width - 1 : 0] source, // source outputs + input source_clk, // clock of the registers used to metastabilize the source output + input tri1 source_ena // enable of the registers used to metastabilize the source output +); + + altsource_probe #( + .lpm_type(lpm_type), + .lpm_hint(lpm_hint), + .sld_auto_instance_index(sld_auto_instance_index), + .sld_instance_index(sld_instance_index), + .SLD_NODE_INFO(sld_node_info_parameter), + .sld_ir_width(sld_ir_width), + .instance_id(instance_id), + .probe_width(probe_width), + .source_width(source_width), + .source_initial_value(source_initial_value), + .enable_metastability(enable_metastability) + )issp_impl + ( + .probe(probe), + .source(source), + .source_clk(source_clk), + .source_ena(source_ena) + ); + +endmodule \ No newline at end of file diff --git a/peripherals/I2S/sint/ram.qip b/peripherals/I2S/sint/ram.qip new file mode 100644 index 00000000..39da5684 --- /dev/null +++ b/peripherals/I2S/sint/ram.qip @@ -0,0 +1,8 @@ +set_global_assignment -name IP_TOOL_NAME "RAM: 1-PORT" +set_global_assignment -name IP_TOOL_VERSION "21.1" +set_global_assignment -name IP_GENERATED_DEVICE_FAMILY "{MAX 10}" +set_global_assignment -name VHDL_FILE [file join $::quartus(qip_path) "ram.vhd"] +set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) "ram.bsf"] +set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) "ram_inst.vhd"] +set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) "ram.inc"] +set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) "ram.cmp"] diff --git a/peripherals/I2S/sint/ram.vhd b/peripherals/I2S/sint/ram.vhd new file mode 100644 index 00000000..83a30d8e --- /dev/null +++ b/peripherals/I2S/sint/ram.vhd @@ -0,0 +1,157 @@ +-- megafunction wizard: %RAM: 1-PORT% +-- GENERATION: STANDARD +-- VERSION: WM1.0 +-- MODULE: altsyncram + +-- ============================================================ +-- File Name: ram.vhd +-- Megafunction Name(s): +-- altsyncram +-- +-- Simulation Library Files(s): +-- altera_mf +-- ============================================================ +-- ************************************************************ +-- THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE! +-- +-- 21.1.1 Build 850 06/23/2022 SJ Lite Edition +-- ************************************************************ + + +--Copyright (C) 2022 Intel Corporation. All rights reserved. +--Your use of Intel Corporation's design tools, logic functions +--and other software and tools, and any partner logic +--functions, and any output files from any of the foregoing +--(including device programming or simulation files), and any +--associated documentation or information are expressly subject +--to the terms and conditions of the Intel Program License +--Subscription Agreement, the Intel Quartus Prime License Agreement, +--the Intel FPGA IP License Agreement, or other applicable license +--agreement, including, without limitation, that your use is for +--the sole purpose of programming logic devices manufactured by +--Intel and sold by Intel or its authorized distributors. Please +--refer to the applicable agreement for further details, at +--https://fpgasoftware.intel.com/eula. + + +LIBRARY ieee; +USE ieee.std_logic_1164.all; + +LIBRARY altera_mf; +USE altera_mf.altera_mf_components.all; + +ENTITY ram IS + PORT + ( + address : IN STD_LOGIC_VECTOR (13 DOWNTO 0); + clock : IN STD_LOGIC := '1'; + data : IN STD_LOGIC_VECTOR (31 DOWNTO 0); + wren : IN STD_LOGIC ; + q : OUT STD_LOGIC_VECTOR (31 DOWNTO 0) + ); +END ram; + + +ARCHITECTURE SYN OF ram IS + + SIGNAL sub_wire0 : STD_LOGIC_VECTOR (31 DOWNTO 0); + +BEGIN + q <= sub_wire0(31 DOWNTO 0); + + altsyncram_component : altsyncram + GENERIC MAP ( + clock_enable_input_a => "BYPASS", + clock_enable_output_a => "BYPASS", + intended_device_family => "MAX 10", + lpm_hint => "ENABLE_RUNTIME_MOD=YES,INSTANCE_NAME=ram", + lpm_type => "altsyncram", + numwords_a => 16384, + operation_mode => "SINGLE_PORT", + outdata_aclr_a => "NONE", + outdata_reg_a => "UNREGISTERED", + power_up_uninitialized => "FALSE", + read_during_write_mode_port_a => "NEW_DATA_NO_NBE_READ", + widthad_a => 14, + width_a => 32, + width_byteena_a => 1 + ) + PORT MAP ( + address_a => address, + clock0 => clock, + data_a => data, + wren_a => wren, + q_a => sub_wire0 + ); + + + +END SYN; + +-- ============================================================ +-- CNX file retrieval info +-- ============================================================ +-- Retrieval info: PRIVATE: ADDRESSSTALL_A NUMERIC "0" +-- Retrieval info: PRIVATE: AclrAddr NUMERIC "0" +-- Retrieval info: PRIVATE: AclrByte NUMERIC "0" +-- Retrieval info: PRIVATE: AclrData NUMERIC "0" +-- Retrieval info: PRIVATE: AclrOutput NUMERIC "0" +-- Retrieval info: PRIVATE: BYTE_ENABLE NUMERIC "0" +-- Retrieval info: PRIVATE: BYTE_SIZE NUMERIC "8" +-- Retrieval info: PRIVATE: BlankMemory NUMERIC "1" +-- Retrieval info: PRIVATE: CLOCK_ENABLE_INPUT_A NUMERIC "0" +-- Retrieval info: PRIVATE: CLOCK_ENABLE_OUTPUT_A NUMERIC "0" +-- Retrieval info: PRIVATE: Clken NUMERIC "0" +-- Retrieval info: PRIVATE: DataBusSeparated NUMERIC "1" +-- Retrieval info: PRIVATE: IMPLEMENT_IN_LES NUMERIC "0" +-- Retrieval info: PRIVATE: INIT_FILE_LAYOUT STRING "PORT_A" +-- Retrieval info: PRIVATE: INIT_TO_SIM_X NUMERIC "0" +-- Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "MAX 10" +-- Retrieval info: PRIVATE: JTAG_ENABLED NUMERIC "1" +-- Retrieval info: PRIVATE: JTAG_ID STRING "ram" +-- Retrieval info: PRIVATE: MAXIMUM_DEPTH NUMERIC "0" +-- Retrieval info: PRIVATE: MIFfilename STRING "" +-- Retrieval info: PRIVATE: NUMWORDS_A NUMERIC "16384" +-- Retrieval info: PRIVATE: RAM_BLOCK_TYPE NUMERIC "0" +-- Retrieval info: PRIVATE: READ_DURING_WRITE_MODE_PORT_A NUMERIC "3" +-- Retrieval info: PRIVATE: RegAddr NUMERIC "1" +-- Retrieval info: PRIVATE: RegData NUMERIC "1" +-- Retrieval info: PRIVATE: RegOutput NUMERIC "0" +-- Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0" +-- Retrieval info: PRIVATE: SingleClock NUMERIC "1" +-- Retrieval info: PRIVATE: UseDQRAM NUMERIC "1" +-- Retrieval info: PRIVATE: WRCONTROL_ACLR_A NUMERIC "0" +-- Retrieval info: PRIVATE: WidthAddr NUMERIC "14" +-- Retrieval info: PRIVATE: WidthData NUMERIC "32" +-- Retrieval info: PRIVATE: rden NUMERIC "0" +-- Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all +-- Retrieval info: CONSTANT: CLOCK_ENABLE_INPUT_A STRING "BYPASS" +-- Retrieval info: CONSTANT: CLOCK_ENABLE_OUTPUT_A STRING "BYPASS" +-- Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "MAX 10" +-- Retrieval info: CONSTANT: LPM_HINT STRING "ENABLE_RUNTIME_MOD=YES,INSTANCE_NAME=ram" +-- Retrieval info: CONSTANT: LPM_TYPE STRING "altsyncram" +-- Retrieval info: CONSTANT: NUMWORDS_A NUMERIC "16384" +-- Retrieval info: CONSTANT: OPERATION_MODE STRING "SINGLE_PORT" +-- Retrieval info: CONSTANT: OUTDATA_ACLR_A STRING "NONE" +-- Retrieval info: CONSTANT: OUTDATA_REG_A STRING "UNREGISTERED" +-- Retrieval info: CONSTANT: POWER_UP_UNINITIALIZED STRING "FALSE" +-- Retrieval info: CONSTANT: READ_DURING_WRITE_MODE_PORT_A STRING "NEW_DATA_NO_NBE_READ" +-- Retrieval info: CONSTANT: WIDTHAD_A NUMERIC "14" +-- Retrieval info: CONSTANT: WIDTH_A NUMERIC "32" +-- Retrieval info: CONSTANT: WIDTH_BYTEENA_A NUMERIC "1" +-- Retrieval info: USED_PORT: address 0 0 14 0 INPUT NODEFVAL "address[13..0]" +-- Retrieval info: USED_PORT: clock 0 0 0 0 INPUT VCC "clock" +-- Retrieval info: USED_PORT: data 0 0 32 0 INPUT NODEFVAL "data[31..0]" +-- Retrieval info: USED_PORT: q 0 0 32 0 OUTPUT NODEFVAL "q[31..0]" +-- Retrieval info: USED_PORT: wren 0 0 0 0 INPUT NODEFVAL "wren" +-- Retrieval info: CONNECT: @address_a 0 0 14 0 address 0 0 14 0 +-- Retrieval info: CONNECT: @clock0 0 0 0 0 clock 0 0 0 0 +-- Retrieval info: CONNECT: @data_a 0 0 32 0 data 0 0 32 0 +-- Retrieval info: CONNECT: @wren_a 0 0 0 0 wren 0 0 0 0 +-- Retrieval info: CONNECT: q 0 0 32 0 @q_a 0 0 32 0 +-- Retrieval info: GEN_FILE: TYPE_NORMAL ram.vhd TRUE +-- Retrieval info: GEN_FILE: TYPE_NORMAL ram.inc TRUE +-- Retrieval info: GEN_FILE: TYPE_NORMAL ram.cmp TRUE +-- Retrieval info: GEN_FILE: TYPE_NORMAL ram.bsf TRUE +-- Retrieval info: GEN_FILE: TYPE_NORMAL ram_inst.vhd TRUE +-- Retrieval info: LIB_FILE: altera_mf diff --git a/peripherals/I2S/tb.do b/peripherals/I2S/tb.do new file mode 100644 index 00000000..9954549a --- /dev/null +++ b/peripherals/I2S/tb.do @@ -0,0 +1,35 @@ +#Cria biblioteca do projeto +vlib work + +#compila projeto: todos os aquivo. Ordem é importante +vcom i2s.vhd sintese/ram.vhd men_cycle.vhd i2s_testbench.vhd + +#Simula (work é o diretorio, testbench é o nome da entity) +vsim -voptargs="+acc" -t ns work.test_bench + +#Mosta forma de onda +view wave + +#Adiciona ondas específicas +add wave -label clk -radix binary /clk_tb +add wave -label sck -radix binary /sck_tb +add wave -label rst -radix binary /rst_tb +add wave -label enable -radix binary /enable_tb +add wave -label ws -radix binary /ws_tb +add wave -label sd -radix binary /sd_tb +add wave -label left -radix binary /left_channel_tb +add wave -label right -radix binary /right_channel_tb +add wave -label q /q_tb + +add wave -label left_data -radix binary /I2S_inst/left_data +add wave -label right_data -radix binary /I2S_inst/right_data +add wave -label state -radix binary /I2S_inst/state +add wave -label count -radix uns /I2S_inst/bit_count + +add wave -label address -radix uns /men_cycle_inst/address + +#Simula até um 10000ns +run 10000ns + +wave zoomfull +write wave wave.ps