diff --git a/memory/iram_quartus.vhd b/memory/iram_quartus.vhd index 9c9b0cc3..73dfdac2 100644 --- a/memory/iram_quartus.vhd +++ b/memory/iram_quartus.vhd @@ -66,8 +66,9 @@ BEGIN clock_enable_input_a => "BYPASS", clock_enable_output_a => "BYPASS", intended_device_family => "MAX 10", - -- init_file => "./software/quartus_blink.hex", - init_file => "./software/irq/quartus_irq_example.hex", + init_file => "../../software/step_motor/quartus_main_step_motor.hex", + --init_file => "../../software/quartus_blink.hex", + --init_file => "./software/irq/quartus_irq_example.hex", lpm_hint => "ENABLE_RUNTIME_MOD=YES,INSTANCE_NAME=1", lpm_type => "altsyncram", numwords_a => 1024, diff --git a/peripherals/gpio/sint/de10_lite/de10_lite.qsf b/peripherals/gpio/sint/de10_lite/de10_lite.qsf index 5434ff42..6805a381 100644 --- a/peripherals/gpio/sint/de10_lite/de10_lite.qsf +++ b/peripherals/gpio/sint/de10_lite/de10_lite.qsf @@ -42,7 +42,7 @@ set_global_assignment -name DEVICE 10M50DAF484C7G set_global_assignment -name TOP_LEVEL_ENTITY de0_lite set_global_assignment -name ORIGINAL_QUARTUS_VERSION 15.0.0 set_global_assignment -name PROJECT_CREATION_TIME_DATE "18:49:34 JUNE 20, 2019" -set_global_assignment -name LAST_QUARTUS_VERSION "20.1.1 Lite Edition" +set_global_assignment -name LAST_QUARTUS_VERSION "21.1.1 Standard Edition" set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0 set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85 diff --git a/peripherals/step_motor/README.md b/peripherals/step_motor/README.md index b4366997..49ec3a3d 100644 --- a/peripherals/step_motor/README.md +++ b/peripherals/step_motor/README.md @@ -1,15 +1,18 @@ # Step motor controller -Implementação de um periférico controlador de motor de passo compatível com o circuito integrado ULN2003. +Implementação de um periférico controlador de motor de passo 28BYJ-48 compatível com o circuito integrado ULN2003. ## ToDo -* Testes e sintetização em hardware -* Encontrar clock mais adequado para o funcionamento do motor de passo +* Testes e sintetização em hardware - FEITO +* Encontrar clock mais adequado para o funcionamento do motor de passo - FEITO ## Software -Foi implementado um software capaz de colocar o motor em estado de reset, parar o motor, variar sua velocidade, inverter sua rotação e o tamanho do passo. Para mais detalhes sobre a utilização de cada função, consultar o arquivo [step_motor.h](../../software/step_motor/step_motor.h) +Foi implementado um software capaz de colocar o motor em estado de reset, parar o motor, variar sua velocidade, inverter sua rotação e o tamanho do passo. Para mais detalhes sobre a utilização de cada função, consultar o arquivo [step_motor.h](../../software/step_motor/step_motor.h) e [step_motor.c](../../software/step_motor/step_motor.c). Para mais detalhes do teste realizado em hardware, consultar o arquivo [main_step_motor.c](../../software/step_motor/main_step_motor.c). + +## Hardware +Foi criado o componente [stepmotor.vhd](../../peripherals/step_motor/stepmotor.vhd). Para testar em simulação, é necessário usar os arquivos [testbench.vhd](../../peripherals/step_motor/testbench.vhd) e [testbench.do](../../peripherals/step_motor/testbench.do). ## Descrição dos pinos @@ -30,6 +33,10 @@ Foi implementado um software capaz de colocar o motor em estado de reset, parar `speed`: Define a velocidade do motor em um intervalo de 0 até 7 +## Sintetização + +Ao sintetizar a saída com valores da maquina de estados foi conectada aos LEDR(3 downto 0) para ve os estados atuais, bem como aos pinos ARDUINO_IO(3 downto 0) para conectar ao circuito integrado ULN2003. O GND do CI foi colocado na placa, mas é necessario conectar o VDD do CI à uma fonte, evitando a possível queima da placa. + ## Funcionamento do periférico ![Figura [1] - Simulação completa](./_images/im0.png) diff --git a/peripherals/step_motor/stepmotor.vhd b/peripherals/step_motor/stepmotor.vhd index 34f0ca63..0b516f21 100644 --- a/peripherals/step_motor/stepmotor.vhd +++ b/peripherals/step_motor/stepmotor.vhd @@ -74,12 +74,21 @@ begin end if; end process; + -- Divisor de clock duplo para conseguir implementar em + -- hardware o tempo necessario para motor de passo girar rotate : process(clk, reset) + -- Variavel extra para dividir counter do divisor de clock + variable div:unsigned(4 downto 0); begin if reset = '1' then cntr <= (others => '0'); + div := (others => '0'); elsif rising_edge(clk) then - cntr <= cntr + 1; + div := div + 1; + -- Incrementa counter ao estourar valor de div + if div = x"0000" then + cntr <= cntr + 1; + end if; end if; end process rotate; rot <= cntr(7 - to_integer(speed)); diff --git a/peripherals/step_motor/tb_stepmotor.do b/peripherals/step_motor/tb_stepmotor.do index fd04269b..3115daef 100644 --- a/peripherals/step_motor/tb_stepmotor.do +++ b/peripherals/step_motor/tb_stepmotor.do @@ -1,15 +1,15 @@ vlib workstart -#compila projeto: todos os aquivo. Ordem é importante +#compila projeto: todos os aquivo. Ordem � importante vcom stepmotor.vhd tb_stepmotor.vhd -#Simula (work é o diretorio, testbench é o nome da entity) -vsim -t ns work.tb_stepmotor +#Simula (work � o diretorio, testbench � o nome da entity) +vsim -voptargs="+acc" -t ns work.tb_stepmotor #Mosta forma de onda view wave -#Adiciona ondas específicas +#Adiciona ondas espec�ficas # -radix: binary, hex, dec # -label: nome da forma de onda add wave -radix binary -label clk /clk @@ -22,7 +22,7 @@ add wave -radix unsigned -label speed /speed add wave -radix binary -label output /outputs add wave -label state /motor0/state -#Simula até 500ms +#Simula at� 500ms run 500ms wave zoomfull \ No newline at end of file diff --git a/peripherals/step_motor/testbench.do b/peripherals/step_motor/testbench.do index 33e7da25..d1cbb784 100644 --- a/peripherals/step_motor/testbench.do +++ b/peripherals/step_motor/testbench.do @@ -41,7 +41,7 @@ vcom ../../core/txt_util.vhdl vcom ../../core/trace_debug.vhd vcom testbench.vhd -vsim -t ns work.coretestbench +vsim -voptargs="+acc" -t ns work.coretestbench view wave add wave -radix binary /clk diff --git a/peripherals/step_motor/testbench.vhd b/peripherals/step_motor/testbench.vhd index 700d2643..75fd0339 100644 --- a/peripherals/step_motor/testbench.vhd +++ b/peripherals/step_motor/testbench.vhd @@ -86,6 +86,10 @@ architecture RTL of coretestbench is -- StepMotor signals signal ddata_r_stepmot : std_logic_vector(31 downto 0); signal outs : std_logic_vector(3 downto 0); + signal ddata_r_dif_fil : std_logic_vector(31 downto 0); + signal ddata_r_lcd : std_logic_vector(31 downto 0); + signal ddata_r_nn_accelerator : std_logic_vector(31 downto 0); + signal ddata_r_fir_fil : std_logic_vector(31 downto 0); begin @@ -203,6 +207,10 @@ begin ddata_r_adc => ddata_r_adc, ddata_r_i2c => ddata_r_i2c, ddata_r_timer => ddata_r_timer, + ddata_r_dif_fil => ddata_r_dif_fil, + ddata_r_lcd => ddata_r_lcd, + ddata_r_nn_accelerator => ddata_r_nn_accelerator, + ddata_r_fir_fil => ddata_r_fir_fil, ddata_r_stepmot => ddata_r_stepmot, ddata_r_periph => ddata_r_periph ); diff --git a/software/_core/sections.ld b/software/_core/sections.ld index 9e2ab915..b0d47985 100644 --- a/software/_core/sections.ld +++ b/software/_core/sections.ld @@ -35,7 +35,7 @@ SECTIONS { } > ram AT > rom .bss : { - _bss_start = .; + __bss_start = .; *(.bss .bss.*) . = ALIGN(4); _bss_end = .; diff --git a/software/_core/start.S b/software/_core/start.S index 297a4f95..e5432c76 100644 --- a/software/_core/start.S +++ b/software/_core/start.S @@ -315,7 +315,7 @@ _pvstart: # lb s4, 2(a5) # lb s5, 3(a5) - jal init_data_section + /* jal init_data_section */ /* push zeros on the stack for argc and argv */ /* (stack is aligned to 16 bytes in riscv calling convention) */ diff --git a/software/step_motor/Makefile b/software/step_motor/Makefile index dfe35d83..85b8bb08 100644 --- a/software/step_motor/Makefile +++ b/software/step_motor/Makefile @@ -1,8 +1,4 @@ -ifndef RISCV_TOOLS_PREFIX -#RISCV_TOOLS_PREFIX=riscv-none-embed- -# Para usar no LSC -RISCV_TOOLS_PREFIX = /home/rayanst/.local/xPacks/@xpack-dev-tools/riscv-none-embed-gcc/10.1.0-1.1.1/.content/bin/riscv-none-embed- -endif +RISCV_TOOLS_PREFIX = /var/data/aluno/gcc/bin/riscv-none-elf- QUARTUS_DIR=/home/rayanst/intelFPGA_lite/20.1/quartus/bin/ CXX = $(RISCV_TOOLS_PREFIX)g++ -march=rv32im diff --git a/software/step_motor/main_step_motor.c b/software/step_motor/main_step_motor.c index 3fe7a99b..5cbb3fac 100644 --- a/software/step_motor/main_step_motor.c +++ b/software/step_motor/main_step_motor.c @@ -1,27 +1,34 @@ #include #include "step_motor.h" +// Arquivo principal para criar funcionalidade do periférico step_motor +// Teste seguindo passos: +// Iniciar motor com velocidade x, rotação em sentido anti-horário e full step (A-B-C-D-A) +// Alterar step para half step (A-AB-B-BC-C-CD-D-DA-A) +// Alterar sentido da rotação para sentido horário +// Para motor +// Refazer em looping + int main() { - reset_motor(1); + while(1) { - reset_motor(0); - change_speed(5); - change_step(1); - stop_motor(0); - reverse_rotation(0); - delay_(2); - - change_step(0); - delay_(1); - - stop_motor(1); - delay_(3); - reverse_rotation(1); - delay_(1); - - change_speed(0); - delay_(1); + reset_motor(1); // Resetar motor + + reset_motor(0); // Baixar nivel do reset para iniciar teste + change_speed(2); // Iniciar velocidade em 2 (pode ser outro valor de 0 a 7, pois componente recebe 3 bits) + change_step(1); // Iniciar passo em fullstep (A-B-C-D-A) + stop_motor(0); // Iniciar motor + reverse_rotation(0); // Iniciar motor com rotação no sentido anti-horário + delay_(500000); // Delay de tempo suficiente para visualizar em hardware situação atual + + change_step(0); // Alterar passo para half step (A-AB-B-BC-C-CD-D-DA-A) + delay_(500000); // Delay de tempo suficiente para visualizar em hardware situação atual + reverse_rotation(1); // Alterar sentido da rotação para sentido horário + delay_(500000); // Delay de tempo suficiente para visualizar em hardware situação atual + + stop_motor(1); // Parar motor + delay_(500000); // Delay de tempo suficiente para visualizar em hardware situação atual } return 0; -} \ No newline at end of file +} diff --git a/software/step_motor/main_step_motor.map b/software/step_motor/main_step_motor.map index 7254a148..3c08aef1 100644 --- a/software/step_motor/main_step_motor.map +++ b/software/step_motor/main_step_motor.map @@ -1,305 +1,368 @@ Archive member included to satisfy reference by file (symbol) -/home/rayanst/.local/xPacks/@xpack-dev-tools/riscv-none-embed-gcc/10.1.0-1.1.1/.content/bin/../lib/gcc/riscv-none-embed/10.1.0/../../../../riscv-none-embed/lib/rv32im/ilp32/libg.a(lib_a-errno.o) +/var/data/aluno/gcc/bin/../lib/gcc/riscv-none-elf/11.3.0/../../../../riscv-none-elf/lib/rv32im/ilp32/libc.a(lib_a-atexit.o) + /var/data/aluno/gcc/bin/../lib/gcc/riscv-none-elf/11.3.0/../../../../riscv-none-elf/lib/rv32im/ilp32/crt0.o (atexit) +/var/data/aluno/gcc/bin/../lib/gcc/riscv-none-elf/11.3.0/../../../../riscv-none-elf/lib/rv32im/ilp32/libc.a(lib_a-errno.o) ../_core/syscalls.o (__errno) -/home/rayanst/.local/xPacks/@xpack-dev-tools/riscv-none-embed-gcc/10.1.0-1.1.1/.content/bin/../lib/gcc/riscv-none-embed/10.1.0/../../../../riscv-none-embed/lib/rv32im/ilp32/libg.a(lib_a-exit.o) - /home/rayanst/.local/xPacks/@xpack-dev-tools/riscv-none-embed-gcc/10.1.0-1.1.1/.content/bin/../lib/gcc/riscv-none-embed/10.1.0/../../../../riscv-none-embed/lib/rv32im/ilp32/crt0.o (exit) -/home/rayanst/.local/xPacks/@xpack-dev-tools/riscv-none-embed-gcc/10.1.0-1.1.1/.content/bin/../lib/gcc/riscv-none-embed/10.1.0/../../../../riscv-none-embed/lib/rv32im/ilp32/libg.a(lib_a-impure.o) - /home/rayanst/.local/xPacks/@xpack-dev-tools/riscv-none-embed-gcc/10.1.0-1.1.1/.content/bin/../lib/gcc/riscv-none-embed/10.1.0/../../../../riscv-none-embed/lib/rv32im/ilp32/libg.a(lib_a-exit.o) (_global_impure_ptr) -/home/rayanst/.local/xPacks/@xpack-dev-tools/riscv-none-embed-gcc/10.1.0-1.1.1/.content/bin/../lib/gcc/riscv-none-embed/10.1.0/../../../../riscv-none-embed/lib/rv32im/ilp32/libg.a(lib_a-init.o) - /home/rayanst/.local/xPacks/@xpack-dev-tools/riscv-none-embed-gcc/10.1.0-1.1.1/.content/bin/../lib/gcc/riscv-none-embed/10.1.0/../../../../riscv-none-embed/lib/rv32im/ilp32/crt0.o (__libc_init_array) -/home/rayanst/.local/xPacks/@xpack-dev-tools/riscv-none-embed-gcc/10.1.0-1.1.1/.content/bin/../lib/gcc/riscv-none-embed/10.1.0/../../../../riscv-none-embed/lib/rv32im/ilp32/libg.a(lib_a-memcpy.o) +/var/data/aluno/gcc/bin/../lib/gcc/riscv-none-elf/11.3.0/../../../../riscv-none-elf/lib/rv32im/ilp32/libc.a(lib_a-exit.o) + /var/data/aluno/gcc/bin/../lib/gcc/riscv-none-elf/11.3.0/../../../../riscv-none-elf/lib/rv32im/ilp32/crt0.o (exit) +/var/data/aluno/gcc/bin/../lib/gcc/riscv-none-elf/11.3.0/../../../../riscv-none-elf/lib/rv32im/ilp32/libc.a(lib_a-fini.o) + /var/data/aluno/gcc/bin/../lib/gcc/riscv-none-elf/11.3.0/../../../../riscv-none-elf/lib/rv32im/ilp32/crt0.o (__libc_fini_array) +/var/data/aluno/gcc/bin/../lib/gcc/riscv-none-elf/11.3.0/../../../../riscv-none-elf/lib/rv32im/ilp32/libc.a(lib_a-impure.o) + /var/data/aluno/gcc/bin/../lib/gcc/riscv-none-elf/11.3.0/../../../../riscv-none-elf/lib/rv32im/ilp32/libc.a(lib_a-exit.o) (_global_impure_ptr) +/var/data/aluno/gcc/bin/../lib/gcc/riscv-none-elf/11.3.0/../../../../riscv-none-elf/lib/rv32im/ilp32/libc.a(lib_a-init.o) + /var/data/aluno/gcc/bin/../lib/gcc/riscv-none-elf/11.3.0/../../../../riscv-none-elf/lib/rv32im/ilp32/crt0.o (__libc_init_array) +/var/data/aluno/gcc/bin/../lib/gcc/riscv-none-elf/11.3.0/../../../../riscv-none-elf/lib/rv32im/ilp32/libc.a(lib_a-memcpy.o) ../_core/syscalls.o (memcpy) -/home/rayanst/.local/xPacks/@xpack-dev-tools/riscv-none-embed-gcc/10.1.0-1.1.1/.content/bin/../lib/gcc/riscv-none-embed/10.1.0/../../../../riscv-none-embed/lib/rv32im/ilp32/libg.a(lib_a-memset.o) - /home/rayanst/.local/xPacks/@xpack-dev-tools/riscv-none-embed-gcc/10.1.0-1.1.1/.content/bin/../lib/gcc/riscv-none-embed/10.1.0/../../../../riscv-none-embed/lib/rv32im/ilp32/crt0.o (memset) -/home/rayanst/.local/xPacks/@xpack-dev-tools/riscv-none-embed-gcc/10.1.0-1.1.1/.content/bin/../lib/gcc/riscv-none-embed/10.1.0/../../../../riscv-none-embed/lib/rv32im/ilp32/libg.a(lib_a-__call_atexit.o) - /home/rayanst/.local/xPacks/@xpack-dev-tools/riscv-none-embed-gcc/10.1.0-1.1.1/.content/bin/../lib/gcc/riscv-none-embed/10.1.0/../../../../riscv-none-embed/lib/rv32im/ilp32/libg.a(lib_a-exit.o) (__call_exitprocs) -/home/rayanst/.local/xPacks/@xpack-dev-tools/riscv-none-embed-gcc/10.1.0-1.1.1/.content/bin/../lib/gcc/riscv-none-embed/10.1.0/../../../../riscv-none-embed/lib/rv32im/ilp32/libg.a(lib_a-fini.o) - /home/rayanst/.local/xPacks/@xpack-dev-tools/riscv-none-embed-gcc/10.1.0-1.1.1/.content/bin/../lib/gcc/riscv-none-embed/10.1.0/../../../../riscv-none-embed/lib/rv32im/ilp32/libg.a(lib_a-__call_atexit.o) (__libc_fini_array) -/home/rayanst/.local/xPacks/@xpack-dev-tools/riscv-none-embed-gcc/10.1.0-1.1.1/.content/bin/../lib/gcc/riscv-none-embed/10.1.0/../../../../riscv-none-embed/lib/rv32im/ilp32/libg.a(lib_a-atexit.o) - /home/rayanst/.local/xPacks/@xpack-dev-tools/riscv-none-embed-gcc/10.1.0-1.1.1/.content/bin/../lib/gcc/riscv-none-embed/10.1.0/../../../../riscv-none-embed/lib/rv32im/ilp32/libg.a(lib_a-__call_atexit.o) (atexit) -/home/rayanst/.local/xPacks/@xpack-dev-tools/riscv-none-embed-gcc/10.1.0-1.1.1/.content/bin/../lib/gcc/riscv-none-embed/10.1.0/../../../../riscv-none-embed/lib/rv32im/ilp32/libg.a(lib_a-__atexit.o) - /home/rayanst/.local/xPacks/@xpack-dev-tools/riscv-none-embed-gcc/10.1.0-1.1.1/.content/bin/../lib/gcc/riscv-none-embed/10.1.0/../../../../riscv-none-embed/lib/rv32im/ilp32/libg.a(lib_a-atexit.o) (__register_exitproc) +/var/data/aluno/gcc/bin/../lib/gcc/riscv-none-elf/11.3.0/../../../../riscv-none-elf/lib/rv32im/ilp32/libc.a(lib_a-memset.o) + /var/data/aluno/gcc/bin/../lib/gcc/riscv-none-elf/11.3.0/../../../../riscv-none-elf/lib/rv32im/ilp32/crt0.o (memset) +/var/data/aluno/gcc/bin/../lib/gcc/riscv-none-elf/11.3.0/../../../../riscv-none-elf/lib/rv32im/ilp32/libc.a(lib_a-__atexit.o) + /var/data/aluno/gcc/bin/../lib/gcc/riscv-none-elf/11.3.0/../../../../riscv-none-elf/lib/rv32im/ilp32/libc.a(lib_a-atexit.o) (__register_exitproc) +/var/data/aluno/gcc/bin/../lib/gcc/riscv-none-elf/11.3.0/../../../../riscv-none-elf/lib/rv32im/ilp32/libc.a(lib_a-__call_atexit.o) + /var/data/aluno/gcc/bin/../lib/gcc/riscv-none-elf/11.3.0/../../../../riscv-none-elf/lib/rv32im/ilp32/libc.a(lib_a-exit.o) (__call_exitprocs) Memory Configuration Name Origin Length Attributes -rom 0x0000000000000000 0x000000000000fc00 xrw +rom 0x0000000000000000 0x000000000000fc00 xr ram 0x0000000002000000 0x0000000000000800 xrw *default* 0x0000000000000000 0xffffffffffffffff Linker script and memory map -LOAD /home/rayanst/.local/xPacks/@xpack-dev-tools/riscv-none-embed-gcc/10.1.0-1.1.1/.content/bin/../lib/gcc/riscv-none-embed/10.1.0/../../../../riscv-none-embed/lib/rv32im/ilp32/crt0.o -LOAD /home/rayanst/.local/xPacks/@xpack-dev-tools/riscv-none-embed-gcc/10.1.0-1.1.1/.content/bin/../lib/gcc/riscv-none-embed/10.1.0/rv32im/ilp32/crtbegin.o +LOAD /var/data/aluno/gcc/bin/../lib/gcc/riscv-none-elf/11.3.0/../../../../riscv-none-elf/lib/rv32im/ilp32/crt0.o +LOAD /var/data/aluno/gcc/bin/../lib/gcc/riscv-none-elf/11.3.0/rv32im/ilp32/crtbegin.o LOAD main_step_motor.o LOAD start.o LOAD ../_core/syscalls.o LOAD ../_core/utils.o LOAD step_motor.o -LOAD /home/rayanst/.local/xPacks/@xpack-dev-tools/riscv-none-embed-gcc/10.1.0-1.1.1/.content/bin/../lib/gcc/riscv-none-embed/10.1.0/rv32im/ilp32/libgcc.a +LOAD /var/data/aluno/gcc/bin/../lib/gcc/riscv-none-elf/11.3.0/rv32im/ilp32/libgcc.a START GROUP -LOAD /home/rayanst/.local/xPacks/@xpack-dev-tools/riscv-none-embed-gcc/10.1.0-1.1.1/.content/bin/../lib/gcc/riscv-none-embed/10.1.0/rv32im/ilp32/libgcc.a -LOAD /home/rayanst/.local/xPacks/@xpack-dev-tools/riscv-none-embed-gcc/10.1.0-1.1.1/.content/bin/../lib/gcc/riscv-none-embed/10.1.0/../../../../riscv-none-embed/lib/rv32im/ilp32/libg.a -LOAD /home/rayanst/.local/xPacks/@xpack-dev-tools/riscv-none-embed-gcc/10.1.0-1.1.1/.content/bin/../lib/gcc/riscv-none-embed/10.1.0/../../../../riscv-none-embed/lib/rv32im/ilp32/libc.a +LOAD /var/data/aluno/gcc/bin/../lib/gcc/riscv-none-elf/11.3.0/../../../../riscv-none-elf/lib/rv32im/ilp32/libc.a +LOAD /var/data/aluno/gcc/bin/../lib/gcc/riscv-none-elf/11.3.0/../../../../riscv-none-elf/lib/rv32im/ilp32/libgloss.a END GROUP -LOAD /home/rayanst/.local/xPacks/@xpack-dev-tools/riscv-none-embed-gcc/10.1.0-1.1.1/.content/bin/../lib/gcc/riscv-none-embed/10.1.0/rv32im/ilp32/libgcc.a -LOAD /home/rayanst/.local/xPacks/@xpack-dev-tools/riscv-none-embed-gcc/10.1.0-1.1.1/.content/bin/../lib/gcc/riscv-none-embed/10.1.0/rv32im/ilp32/crtend.o +LOAD /var/data/aluno/gcc/bin/../lib/gcc/riscv-none-elf/11.3.0/rv32im/ilp32/libgcc.a +LOAD /var/data/aluno/gcc/bin/../lib/gcc/riscv-none-elf/11.3.0/rv32im/ilp32/crtend.o -.rom 0x0000000000000000 0x3d0 +.rom 0x0000000000000000 0x337 _pvstart*(.text) start*(.text) .text 0x0000000000000000 0x58 start.o 0x0000000000000000 _pvstart - 0x0000000000000100 . = 0x100 - *fill* 0x0000000000000058 0xa8 - 0x0000000000000100 . = ALIGN (0x4) + 0x0000000000000058 . = ALIGN (0x4) *(.text) - .text 0x0000000000000100 0x4c /home/rayanst/.local/xPacks/@xpack-dev-tools/riscv-none-embed-gcc/10.1.0-1.1.1/.content/bin/../lib/gcc/riscv-none-embed/10.1.0/../../../../riscv-none-embed/lib/rv32im/ilp32/crt0.o - 0x0000000000000100 _start - .text 0x000000000000014c 0x0 /home/rayanst/.local/xPacks/@xpack-dev-tools/riscv-none-embed-gcc/10.1.0-1.1.1/.content/bin/../lib/gcc/riscv-none-embed/10.1.0/rv32im/ilp32/crtbegin.o - .text 0x000000000000014c 0x84 main_step_motor.o - 0x000000000000014c main - .text 0x00000000000001d0 0xd8 ../_core/syscalls.o - 0x00000000000001d0 _getpid - 0x00000000000001d0 _utime - 0x00000000000001d0 _sysconf - 0x00000000000001d0 _ftime - 0x00000000000001d0 _isatty - 0x00000000000001d0 _open - 0x00000000000001d0 _times - 0x00000000000001d0 _stat - 0x00000000000001d0 _chdir - 0x00000000000001d0 _wait - 0x00000000000001d0 _lseek - 0x00000000000001d0 _fstatat - 0x00000000000001d0 _link - 0x00000000000001d0 _chown - 0x00000000000001d0 _access - 0x00000000000001d0 _openat - 0x00000000000001d0 _execve - 0x00000000000001d0 _chmod - 0x00000000000001d0 _lstat - 0x00000000000001d0 _unlink - 0x00000000000001d0 _fork - 0x00000000000001d0 _getcwd - 0x00000000000001d0 _kill - 0x00000000000001d0 _gettimeofday - 0x00000000000001d0 _faccessat - 0x00000000000001d4 unimplemented_syscall - 0x00000000000001f8 _read - 0x0000000000000200 _write - 0x0000000000000224 _close - 0x000000000000022c _fstat - 0x0000000000000250 _sbrk - 0x0000000000000274 _exit - 0x0000000000000278 init_data_section - .text 0x00000000000002a8 0x10 ../_core/utils.o - 0x00000000000002a8 delay_ - .text 0x00000000000002b8 0x3c step_motor.o - 0x00000000000002b8 reset_motor - 0x00000000000002c4 stop_motor - 0x00000000000002d0 reverse_rotation - 0x00000000000002dc change_step - 0x00000000000002e8 change_speed - .text 0x00000000000002f4 0x0 /home/rayanst/.local/xPacks/@xpack-dev-tools/riscv-none-embed-gcc/10.1.0-1.1.1/.content/bin/../lib/gcc/riscv-none-embed/10.1.0/../../../../riscv-none-embed/lib/rv32im/ilp32/libg.a(lib_a-errno.o) - .text 0x00000000000002f4 0x0 /home/rayanst/.local/xPacks/@xpack-dev-tools/riscv-none-embed-gcc/10.1.0-1.1.1/.content/bin/../lib/gcc/riscv-none-embed/10.1.0/../../../../riscv-none-embed/lib/rv32im/ilp32/libg.a(lib_a-exit.o) - .text 0x00000000000002f4 0x0 /home/rayanst/.local/xPacks/@xpack-dev-tools/riscv-none-embed-gcc/10.1.0-1.1.1/.content/bin/../lib/gcc/riscv-none-embed/10.1.0/../../../../riscv-none-embed/lib/rv32im/ilp32/libg.a(lib_a-impure.o) - .text 0x00000000000002f4 0x0 /home/rayanst/.local/xPacks/@xpack-dev-tools/riscv-none-embed-gcc/10.1.0-1.1.1/.content/bin/../lib/gcc/riscv-none-embed/10.1.0/../../../../riscv-none-embed/lib/rv32im/ilp32/libg.a(lib_a-init.o) - .text 0x00000000000002f4 0x0 /home/rayanst/.local/xPacks/@xpack-dev-tools/riscv-none-embed-gcc/10.1.0-1.1.1/.content/bin/../lib/gcc/riscv-none-embed/10.1.0/../../../../riscv-none-embed/lib/rv32im/ilp32/libg.a(lib_a-memcpy.o) - .text 0x00000000000002f4 0xdc /home/rayanst/.local/xPacks/@xpack-dev-tools/riscv-none-embed-gcc/10.1.0-1.1.1/.content/bin/../lib/gcc/riscv-none-embed/10.1.0/../../../../riscv-none-embed/lib/rv32im/ilp32/libg.a(lib_a-memset.o) - 0x00000000000002f4 memset - .text 0x00000000000003d0 0x0 /home/rayanst/.local/xPacks/@xpack-dev-tools/riscv-none-embed-gcc/10.1.0-1.1.1/.content/bin/../lib/gcc/riscv-none-embed/10.1.0/../../../../riscv-none-embed/lib/rv32im/ilp32/libg.a(lib_a-__call_atexit.o) - .text 0x00000000000003d0 0x0 /home/rayanst/.local/xPacks/@xpack-dev-tools/riscv-none-embed-gcc/10.1.0-1.1.1/.content/bin/../lib/gcc/riscv-none-embed/10.1.0/../../../../riscv-none-embed/lib/rv32im/ilp32/libg.a(lib_a-fini.o) - .text 0x00000000000003d0 0x0 /home/rayanst/.local/xPacks/@xpack-dev-tools/riscv-none-embed-gcc/10.1.0-1.1.1/.content/bin/../lib/gcc/riscv-none-embed/10.1.0/../../../../riscv-none-embed/lib/rv32im/ilp32/libg.a(lib_a-atexit.o) - .text 0x00000000000003d0 0x0 /home/rayanst/.local/xPacks/@xpack-dev-tools/riscv-none-embed-gcc/10.1.0-1.1.1/.content/bin/../lib/gcc/riscv-none-embed/10.1.0/../../../../riscv-none-embed/lib/rv32im/ilp32/libg.a(lib_a-__atexit.o) - .text 0x00000000000003d0 0x0 /home/rayanst/.local/xPacks/@xpack-dev-tools/riscv-none-embed-gcc/10.1.0-1.1.1/.content/bin/../lib/gcc/riscv-none-embed/10.1.0/rv32im/ilp32/crtend.o + .text 0x0000000000000058 0x40 /var/data/aluno/gcc/bin/../lib/gcc/riscv-none-elf/11.3.0/../../../../riscv-none-elf/lib/rv32im/ilp32/crt0.o + 0x0000000000000058 _start + .text 0x0000000000000098 0x0 /var/data/aluno/gcc/bin/../lib/gcc/riscv-none-elf/11.3.0/rv32im/ilp32/crtbegin.o + .text 0x0000000000000098 0x80 main_step_motor.o + 0x0000000000000098 main + .text 0x0000000000000118 0xd4 ../_core/syscalls.o + 0x0000000000000118 _getpid + 0x0000000000000118 _utime + 0x0000000000000118 _sysconf + 0x0000000000000118 _ftime + 0x0000000000000118 _isatty + 0x0000000000000118 _open + 0x0000000000000118 _times + 0x0000000000000118 _stat + 0x0000000000000118 _chdir + 0x0000000000000118 _wait + 0x0000000000000118 _lseek + 0x0000000000000118 _fstatat + 0x0000000000000118 _link + 0x0000000000000118 _chown + 0x0000000000000118 _access + 0x0000000000000118 _openat + 0x0000000000000118 _execve + 0x0000000000000118 _chmod + 0x0000000000000118 _lstat + 0x0000000000000118 _unlink + 0x0000000000000118 _fork + 0x0000000000000118 _getcwd + 0x0000000000000118 _kill + 0x0000000000000118 _gettimeofday + 0x0000000000000118 _faccessat + 0x000000000000011c unimplemented_syscall + 0x000000000000013c _read + 0x0000000000000144 _write + 0x0000000000000168 _close + 0x0000000000000170 _fstat + 0x0000000000000194 _sbrk + 0x00000000000001b8 _exit + 0x00000000000001bc init_data_section + .text 0x00000000000001ec 0x10 ../_core/utils.o + 0x00000000000001ec delay_ + .text 0x00000000000001fc 0x3c step_motor.o + 0x00000000000001fc reset_motor + 0x0000000000000208 stop_motor + 0x0000000000000214 reverse_rotation + 0x0000000000000220 change_step + 0x000000000000022c change_speed + .text 0x0000000000000238 0x0 /var/data/aluno/gcc/bin/../lib/gcc/riscv-none-elf/11.3.0/../../../../riscv-none-elf/lib/rv32im/ilp32/libc.a(lib_a-atexit.o) + .text 0x0000000000000238 0x0 /var/data/aluno/gcc/bin/../lib/gcc/riscv-none-elf/11.3.0/../../../../riscv-none-elf/lib/rv32im/ilp32/libc.a(lib_a-errno.o) + .text 0x0000000000000238 0x0 /var/data/aluno/gcc/bin/../lib/gcc/riscv-none-elf/11.3.0/../../../../riscv-none-elf/lib/rv32im/ilp32/libc.a(lib_a-exit.o) + .text 0x0000000000000238 0x0 /var/data/aluno/gcc/bin/../lib/gcc/riscv-none-elf/11.3.0/../../../../riscv-none-elf/lib/rv32im/ilp32/libc.a(lib_a-fini.o) + .text 0x0000000000000238 0x0 /var/data/aluno/gcc/bin/../lib/gcc/riscv-none-elf/11.3.0/../../../../riscv-none-elf/lib/rv32im/ilp32/libc.a(lib_a-impure.o) + .text 0x0000000000000238 0x0 /var/data/aluno/gcc/bin/../lib/gcc/riscv-none-elf/11.3.0/../../../../riscv-none-elf/lib/rv32im/ilp32/libc.a(lib_a-init.o) + .text 0x0000000000000238 0x0 /var/data/aluno/gcc/bin/../lib/gcc/riscv-none-elf/11.3.0/../../../../riscv-none-elf/lib/rv32im/ilp32/libc.a(lib_a-memcpy.o) + .text 0x0000000000000238 0xdc /var/data/aluno/gcc/bin/../lib/gcc/riscv-none-elf/11.3.0/../../../../riscv-none-elf/lib/rv32im/ilp32/libc.a(lib_a-memset.o) + 0x0000000000000238 memset + .text 0x0000000000000314 0x0 /var/data/aluno/gcc/bin/../lib/gcc/riscv-none-elf/11.3.0/../../../../riscv-none-elf/lib/rv32im/ilp32/libc.a(lib_a-__atexit.o) + .text 0x0000000000000314 0x0 /var/data/aluno/gcc/bin/../lib/gcc/riscv-none-elf/11.3.0/../../../../riscv-none-elf/lib/rv32im/ilp32/libc.a(lib_a-__call_atexit.o) + .text 0x0000000000000314 0x0 /var/data/aluno/gcc/bin/../lib/gcc/riscv-none-elf/11.3.0/rv32im/ilp32/crtend.o + *(.rodata*) + .rodata.str1.4 + 0x0000000000000314 0x23 ../_core/syscalls.o + +.text.deregister_tm_clones + 0x0000000000000338 0x1c + .text.deregister_tm_clones + 0x0000000000000338 0x1c /var/data/aluno/gcc/bin/../lib/gcc/riscv-none-elf/11.3.0/rv32im/ilp32/crtbegin.o + +.text.register_tm_clones + 0x0000000000000354 0x30 + .text.register_tm_clones + 0x0000000000000354 0x30 /var/data/aluno/gcc/bin/../lib/gcc/riscv-none-elf/11.3.0/rv32im/ilp32/crtbegin.o .text.__do_global_dtors_aux - 0x00000000000003d0 0x48 + 0x0000000000000384 0x48 .text.__do_global_dtors_aux - 0x00000000000003d0 0x48 /home/rayanst/.local/xPacks/@xpack-dev-tools/riscv-none-embed-gcc/10.1.0-1.1.1/.content/bin/../lib/gcc/riscv-none-embed/10.1.0/rv32im/ilp32/crtbegin.o + 0x0000000000000384 0x48 /var/data/aluno/gcc/bin/../lib/gcc/riscv-none-elf/11.3.0/rv32im/ilp32/crtbegin.o .text.frame_dummy - 0x0000000000000418 0x20 + 0x00000000000003cc 0x30 .text.frame_dummy - 0x0000000000000418 0x20 /home/rayanst/.local/xPacks/@xpack-dev-tools/riscv-none-embed-gcc/10.1.0-1.1.1/.content/bin/../lib/gcc/riscv-none-embed/10.1.0/rv32im/ilp32/crtbegin.o - -.text.trap 0x0000000000000438 0xc8 - .text.trap 0x0000000000000438 0xc8 start.o - 0x0000000000000438 trap_entry - -.text.irq 0x0000000000000500 0xa8 - .text.irq 0x0000000000000500 0xa8 start.o - 0x0000000000000500 irq_entry - -.init 0x00000000000005a8 0x84 - .init 0x00000000000005a8 0x84 start.o + 0x00000000000003cc 0x30 /var/data/aluno/gcc/bin/../lib/gcc/riscv-none-elf/11.3.0/rv32im/ilp32/crtbegin.o -.text.__errno 0x000000000000062c 0x8 - .text.__errno 0x000000000000062c 0x8 /home/rayanst/.local/xPacks/@xpack-dev-tools/riscv-none-embed-gcc/10.1.0-1.1.1/.content/bin/../lib/gcc/riscv-none-embed/10.1.0/../../../../riscv-none-embed/lib/rv32im/ilp32/libg.a(lib_a-errno.o) - 0x000000000000062c __errno +.text.trap 0x00000000000003fc 0xc8 + .text.trap 0x00000000000003fc 0xc8 start.o + 0x00000000000003fc trap_entry -.text.exit 0x0000000000000634 0x34 - .text.exit 0x0000000000000634 0x34 /home/rayanst/.local/xPacks/@xpack-dev-tools/riscv-none-embed-gcc/10.1.0-1.1.1/.content/bin/../lib/gcc/riscv-none-embed/10.1.0/../../../../riscv-none-embed/lib/rv32im/ilp32/libg.a(lib_a-exit.o) - 0x0000000000000634 exit +.text.irq 0x00000000000004c4 0xa8 + .text.irq 0x00000000000004c4 0xa8 start.o + 0x00000000000004c4 irq_entry -.text.__libc_init_array - 0x0000000000000668 0x84 - .text.__libc_init_array - 0x0000000000000668 0x84 /home/rayanst/.local/xPacks/@xpack-dev-tools/riscv-none-embed-gcc/10.1.0-1.1.1/.content/bin/../lib/gcc/riscv-none-embed/10.1.0/../../../../riscv-none-embed/lib/rv32im/ilp32/libg.a(lib_a-init.o) - 0x0000000000000668 __libc_init_array +.init 0x000000000000056c 0x84 + .init 0x000000000000056c 0x84 start.o -.text.memcpy 0x00000000000006ec 0x11c - .text.memcpy 0x00000000000006ec 0x11c /home/rayanst/.local/xPacks/@xpack-dev-tools/riscv-none-embed-gcc/10.1.0-1.1.1/.content/bin/../lib/gcc/riscv-none-embed/10.1.0/../../../../riscv-none-embed/lib/rv32im/ilp32/libg.a(lib_a-memcpy.o) - 0x00000000000006ec memcpy +.text.atexit 0x00000000000005f0 0x14 + .text.atexit 0x00000000000005f0 0x14 /var/data/aluno/gcc/bin/../lib/gcc/riscv-none-elf/11.3.0/../../../../riscv-none-elf/lib/rv32im/ilp32/libc.a(lib_a-atexit.o) + 0x00000000000005f0 atexit -.text.startup.register_fini - 0x0000000000000808 0x18 - .text.startup.register_fini - 0x0000000000000808 0x18 /home/rayanst/.local/xPacks/@xpack-dev-tools/riscv-none-embed-gcc/10.1.0-1.1.1/.content/bin/../lib/gcc/riscv-none-embed/10.1.0/../../../../riscv-none-embed/lib/rv32im/ilp32/libg.a(lib_a-__call_atexit.o) +.text.__errno 0x0000000000000604 0x8 + .text.__errno 0x0000000000000604 0x8 /var/data/aluno/gcc/bin/../lib/gcc/riscv-none-elf/11.3.0/../../../../riscv-none-elf/lib/rv32im/ilp32/libc.a(lib_a-errno.o) + 0x0000000000000604 __errno -.text.__call_exitprocs - 0x0000000000000820 0x124 - .text.__call_exitprocs - 0x0000000000000820 0x124 /home/rayanst/.local/xPacks/@xpack-dev-tools/riscv-none-embed-gcc/10.1.0-1.1.1/.content/bin/../lib/gcc/riscv-none-embed/10.1.0/../../../../riscv-none-embed/lib/rv32im/ilp32/libg.a(lib_a-__call_atexit.o) - 0x0000000000000820 __call_exitprocs +.text.exit 0x000000000000060c 0x34 + .text.exit 0x000000000000060c 0x34 /var/data/aluno/gcc/bin/../lib/gcc/riscv-none-elf/11.3.0/../../../../riscv-none-elf/lib/rv32im/ilp32/libc.a(lib_a-exit.o) + 0x000000000000060c exit .text.__libc_fini_array - 0x0000000000000944 0x54 + 0x0000000000000640 0x54 .text.__libc_fini_array - 0x0000000000000944 0x54 /home/rayanst/.local/xPacks/@xpack-dev-tools/riscv-none-embed-gcc/10.1.0-1.1.1/.content/bin/../lib/gcc/riscv-none-embed/10.1.0/../../../../riscv-none-embed/lib/rv32im/ilp32/libg.a(lib_a-fini.o) - 0x0000000000000944 __libc_fini_array + 0x0000000000000640 0x54 /var/data/aluno/gcc/bin/../lib/gcc/riscv-none-elf/11.3.0/../../../../riscv-none-elf/lib/rv32im/ilp32/libc.a(lib_a-fini.o) + 0x0000000000000640 __libc_fini_array + +.text.__libc_init_array + 0x0000000000000694 0x84 + .text.__libc_init_array + 0x0000000000000694 0x84 /var/data/aluno/gcc/bin/../lib/gcc/riscv-none-elf/11.3.0/../../../../riscv-none-elf/lib/rv32im/ilp32/libc.a(lib_a-init.o) + 0x0000000000000694 __libc_init_array -.text.atexit 0x0000000000000998 0x14 - .text.atexit 0x0000000000000998 0x14 /home/rayanst/.local/xPacks/@xpack-dev-tools/riscv-none-embed-gcc/10.1.0-1.1.1/.content/bin/../lib/gcc/riscv-none-embed/10.1.0/../../../../riscv-none-embed/lib/rv32im/ilp32/libg.a(lib_a-atexit.o) - 0x0000000000000998 atexit +.text.memcpy 0x0000000000000718 0x128 + .text.memcpy 0x0000000000000718 0x128 /var/data/aluno/gcc/bin/../lib/gcc/riscv-none-elf/11.3.0/../../../../riscv-none-elf/lib/rv32im/ilp32/libc.a(lib_a-memcpy.o) + 0x0000000000000718 memcpy .text.__register_exitproc - 0x00000000000009ac 0x9c + 0x0000000000000840 0x9c .text.__register_exitproc - 0x00000000000009ac 0x9c /home/rayanst/.local/xPacks/@xpack-dev-tools/riscv-none-embed-gcc/10.1.0-1.1.1/.content/bin/../lib/gcc/riscv-none-embed/10.1.0/../../../../riscv-none-embed/lib/rv32im/ilp32/libg.a(lib_a-__atexit.o) - 0x00000000000009ac __register_exitproc - -.eh_frame 0x0000000000000a48 0x4 - .eh_frame 0x0000000000000a48 0x0 /home/rayanst/.local/xPacks/@xpack-dev-tools/riscv-none-embed-gcc/10.1.0-1.1.1/.content/bin/../lib/gcc/riscv-none-embed/10.1.0/rv32im/ilp32/crtbegin.o - .eh_frame 0x0000000000000a48 0x4 /home/rayanst/.local/xPacks/@xpack-dev-tools/riscv-none-embed-gcc/10.1.0-1.1.1/.content/bin/../lib/gcc/riscv-none-embed/10.1.0/rv32im/ilp32/crtend.o + 0x0000000000000840 0x9c /var/data/aluno/gcc/bin/../lib/gcc/riscv-none-elf/11.3.0/../../../../riscv-none-elf/lib/rv32im/ilp32/libc.a(lib_a-__atexit.o) + 0x0000000000000840 __register_exitproc -.rodata.str1.4 0x0000000000000a4c 0x23 - .rodata.str1.4 - 0x0000000000000a4c 0x23 ../_core/syscalls.o +.text.__call_exitprocs + 0x00000000000008dc 0x124 + .text.__call_exitprocs + 0x00000000000008dc 0x124 /var/data/aluno/gcc/bin/../lib/gcc/riscv-none-elf/11.3.0/../../../../riscv-none-elf/lib/rv32im/ilp32/libc.a(lib_a-__call_atexit.o) + 0x00000000000008dc __call_exitprocs .srodata._global_impure_ptr - 0x0000000000000a70 0x4 + 0x0000000000000a00 0x4 .srodata._global_impure_ptr - 0x0000000000000a70 0x4 /home/rayanst/.local/xPacks/@xpack-dev-tools/riscv-none-embed-gcc/10.1.0-1.1.1/.content/bin/../lib/gcc/riscv-none-embed/10.1.0/../../../../riscv-none-embed/lib/rv32im/ilp32/libg.a(lib_a-impure.o) - 0x0000000000000a70 _global_impure_ptr - -.rela.dyn 0x0000000000000a74 0x0 - .rela.text 0x0000000000000a74 0x0 /home/rayanst/.local/xPacks/@xpack-dev-tools/riscv-none-embed-gcc/10.1.0-1.1.1/.content/bin/../lib/gcc/riscv-none-embed/10.1.0/../../../../riscv-none-embed/lib/rv32im/ilp32/crt0.o + 0x0000000000000a00 0x4 /var/data/aluno/gcc/bin/../lib/gcc/riscv-none-elf/11.3.0/../../../../riscv-none-elf/lib/rv32im/ilp32/libc.a(lib_a-impure.o) + 0x0000000000000a00 _global_impure_ptr + +.rela.dyn 0x0000000000000a04 0x0 + .rela.text 0x0000000000000a04 0x0 /var/data/aluno/gcc/bin/../lib/gcc/riscv-none-elf/11.3.0/../../../../riscv-none-elf/lib/rv32im/ilp32/crt0.o + .rela.text.deregister_tm_clones + 0x0000000000000a04 0x0 /var/data/aluno/gcc/bin/../lib/gcc/riscv-none-elf/11.3.0/../../../../riscv-none-elf/lib/rv32im/ilp32/crt0.o + .rela.text.register_tm_clones + 0x0000000000000a04 0x0 /var/data/aluno/gcc/bin/../lib/gcc/riscv-none-elf/11.3.0/../../../../riscv-none-elf/lib/rv32im/ilp32/crt0.o .rela.text.__do_global_dtors_aux - 0x0000000000000a74 0x0 /home/rayanst/.local/xPacks/@xpack-dev-tools/riscv-none-embed-gcc/10.1.0-1.1.1/.content/bin/../lib/gcc/riscv-none-embed/10.1.0/../../../../riscv-none-embed/lib/rv32im/ilp32/crt0.o + 0x0000000000000a04 0x0 /var/data/aluno/gcc/bin/../lib/gcc/riscv-none-elf/11.3.0/../../../../riscv-none-elf/lib/rv32im/ilp32/crt0.o .rela.text.frame_dummy - 0x0000000000000a74 0x0 /home/rayanst/.local/xPacks/@xpack-dev-tools/riscv-none-embed-gcc/10.1.0-1.1.1/.content/bin/../lib/gcc/riscv-none-embed/10.1.0/../../../../riscv-none-embed/lib/rv32im/ilp32/crt0.o - .rela.init 0x0000000000000a74 0x0 /home/rayanst/.local/xPacks/@xpack-dev-tools/riscv-none-embed-gcc/10.1.0-1.1.1/.content/bin/../lib/gcc/riscv-none-embed/10.1.0/../../../../riscv-none-embed/lib/rv32im/ilp32/crt0.o - .rela.text.__libc_init_array - 0x0000000000000a74 0x0 /home/rayanst/.local/xPacks/@xpack-dev-tools/riscv-none-embed-gcc/10.1.0-1.1.1/.content/bin/../lib/gcc/riscv-none-embed/10.1.0/../../../../riscv-none-embed/lib/rv32im/ilp32/crt0.o - .rela.text.startup.register_fini - 0x0000000000000a74 0x0 /home/rayanst/.local/xPacks/@xpack-dev-tools/riscv-none-embed-gcc/10.1.0-1.1.1/.content/bin/../lib/gcc/riscv-none-embed/10.1.0/../../../../riscv-none-embed/lib/rv32im/ilp32/crt0.o + 0x0000000000000a04 0x0 /var/data/aluno/gcc/bin/../lib/gcc/riscv-none-elf/11.3.0/../../../../riscv-none-elf/lib/rv32im/ilp32/crt0.o + .rela.init 0x0000000000000a04 0x0 /var/data/aluno/gcc/bin/../lib/gcc/riscv-none-elf/11.3.0/../../../../riscv-none-elf/lib/rv32im/ilp32/crt0.o .rela.text.__libc_fini_array - 0x0000000000000a74 0x0 /home/rayanst/.local/xPacks/@xpack-dev-tools/riscv-none-embed-gcc/10.1.0-1.1.1/.content/bin/../lib/gcc/riscv-none-embed/10.1.0/../../../../riscv-none-embed/lib/rv32im/ilp32/crt0.o + 0x0000000000000a04 0x0 /var/data/aluno/gcc/bin/../lib/gcc/riscv-none-elf/11.3.0/../../../../riscv-none-elf/lib/rv32im/ilp32/crt0.o + .rela.text.__libc_init_array + 0x0000000000000a04 0x0 /var/data/aluno/gcc/bin/../lib/gcc/riscv-none-elf/11.3.0/../../../../riscv-none-elf/lib/rv32im/ilp32/crt0.o -.data 0x0000000002000000 0x42c load address 0x0000000000000a74 - 0x0000000000000a74 _data_lma = LOADADDR (.data) +.data 0x0000000002000000 0x430 load address 0x0000000000000a04 + 0x0000000000000a04 _data_lma = LOADADDR (.data) 0x0000000002000000 _data = . 0x0000000002000000 __global_pointer$ = . *(.data .data.*) - .data 0x0000000002000000 0x0 /home/rayanst/.local/xPacks/@xpack-dev-tools/riscv-none-embed-gcc/10.1.0-1.1.1/.content/bin/../lib/gcc/riscv-none-embed/10.1.0/../../../../riscv-none-embed/lib/rv32im/ilp32/crt0.o - .data 0x0000000002000000 0x0 /home/rayanst/.local/xPacks/@xpack-dev-tools/riscv-none-embed-gcc/10.1.0-1.1.1/.content/bin/../lib/gcc/riscv-none-embed/10.1.0/rv32im/ilp32/crtbegin.o + .data 0x0000000002000000 0x0 /var/data/aluno/gcc/bin/../lib/gcc/riscv-none-elf/11.3.0/../../../../riscv-none-elf/lib/rv32im/ilp32/crt0.o + .data 0x0000000002000000 0x0 /var/data/aluno/gcc/bin/../lib/gcc/riscv-none-elf/11.3.0/rv32im/ilp32/crtbegin.o .data 0x0000000002000000 0x0 main_step_motor.o .data 0x0000000002000000 0x0 start.o .data 0x0000000002000000 0x0 ../_core/syscalls.o .data 0x0000000002000000 0x0 ../_core/utils.o .data 0x0000000002000000 0x0 step_motor.o - .data 0x0000000002000000 0x0 /home/rayanst/.local/xPacks/@xpack-dev-tools/riscv-none-embed-gcc/10.1.0-1.1.1/.content/bin/../lib/gcc/riscv-none-embed/10.1.0/../../../../riscv-none-embed/lib/rv32im/ilp32/libg.a(lib_a-errno.o) - .data 0x0000000002000000 0x0 /home/rayanst/.local/xPacks/@xpack-dev-tools/riscv-none-embed-gcc/10.1.0-1.1.1/.content/bin/../lib/gcc/riscv-none-embed/10.1.0/../../../../riscv-none-embed/lib/rv32im/ilp32/libg.a(lib_a-exit.o) - .data 0x0000000002000000 0x0 /home/rayanst/.local/xPacks/@xpack-dev-tools/riscv-none-embed-gcc/10.1.0-1.1.1/.content/bin/../lib/gcc/riscv-none-embed/10.1.0/../../../../riscv-none-embed/lib/rv32im/ilp32/libg.a(lib_a-impure.o) + .data 0x0000000002000000 0x0 /var/data/aluno/gcc/bin/../lib/gcc/riscv-none-elf/11.3.0/../../../../riscv-none-elf/lib/rv32im/ilp32/libc.a(lib_a-atexit.o) + .data 0x0000000002000000 0x0 /var/data/aluno/gcc/bin/../lib/gcc/riscv-none-elf/11.3.0/../../../../riscv-none-elf/lib/rv32im/ilp32/libc.a(lib_a-errno.o) + .data 0x0000000002000000 0x0 /var/data/aluno/gcc/bin/../lib/gcc/riscv-none-elf/11.3.0/../../../../riscv-none-elf/lib/rv32im/ilp32/libc.a(lib_a-exit.o) + .data 0x0000000002000000 0x0 /var/data/aluno/gcc/bin/../lib/gcc/riscv-none-elf/11.3.0/../../../../riscv-none-elf/lib/rv32im/ilp32/libc.a(lib_a-fini.o) + .data 0x0000000002000000 0x0 /var/data/aluno/gcc/bin/../lib/gcc/riscv-none-elf/11.3.0/../../../../riscv-none-elf/lib/rv32im/ilp32/libc.a(lib_a-impure.o) .data.impure_data - 0x0000000002000000 0x428 /home/rayanst/.local/xPacks/@xpack-dev-tools/riscv-none-embed-gcc/10.1.0-1.1.1/.content/bin/../lib/gcc/riscv-none-embed/10.1.0/../../../../riscv-none-embed/lib/rv32im/ilp32/libg.a(lib_a-impure.o) - .data 0x0000000002000428 0x0 /home/rayanst/.local/xPacks/@xpack-dev-tools/riscv-none-embed-gcc/10.1.0-1.1.1/.content/bin/../lib/gcc/riscv-none-embed/10.1.0/../../../../riscv-none-embed/lib/rv32im/ilp32/libg.a(lib_a-init.o) - .data 0x0000000002000428 0x0 /home/rayanst/.local/xPacks/@xpack-dev-tools/riscv-none-embed-gcc/10.1.0-1.1.1/.content/bin/../lib/gcc/riscv-none-embed/10.1.0/../../../../riscv-none-embed/lib/rv32im/ilp32/libg.a(lib_a-memcpy.o) - .data 0x0000000002000428 0x0 /home/rayanst/.local/xPacks/@xpack-dev-tools/riscv-none-embed-gcc/10.1.0-1.1.1/.content/bin/../lib/gcc/riscv-none-embed/10.1.0/../../../../riscv-none-embed/lib/rv32im/ilp32/libg.a(lib_a-memset.o) - .data 0x0000000002000428 0x0 /home/rayanst/.local/xPacks/@xpack-dev-tools/riscv-none-embed-gcc/10.1.0-1.1.1/.content/bin/../lib/gcc/riscv-none-embed/10.1.0/../../../../riscv-none-embed/lib/rv32im/ilp32/libg.a(lib_a-__call_atexit.o) - .data 0x0000000002000428 0x0 /home/rayanst/.local/xPacks/@xpack-dev-tools/riscv-none-embed-gcc/10.1.0-1.1.1/.content/bin/../lib/gcc/riscv-none-embed/10.1.0/../../../../riscv-none-embed/lib/rv32im/ilp32/libg.a(lib_a-fini.o) - .data 0x0000000002000428 0x0 /home/rayanst/.local/xPacks/@xpack-dev-tools/riscv-none-embed-gcc/10.1.0-1.1.1/.content/bin/../lib/gcc/riscv-none-embed/10.1.0/../../../../riscv-none-embed/lib/rv32im/ilp32/libg.a(lib_a-atexit.o) - .data 0x0000000002000428 0x0 /home/rayanst/.local/xPacks/@xpack-dev-tools/riscv-none-embed-gcc/10.1.0-1.1.1/.content/bin/../lib/gcc/riscv-none-embed/10.1.0/../../../../riscv-none-embed/lib/rv32im/ilp32/libg.a(lib_a-__atexit.o) - .data 0x0000000002000428 0x0 /home/rayanst/.local/xPacks/@xpack-dev-tools/riscv-none-embed-gcc/10.1.0-1.1.1/.content/bin/../lib/gcc/riscv-none-embed/10.1.0/rv32im/ilp32/crtend.o + 0x0000000002000000 0x428 /var/data/aluno/gcc/bin/../lib/gcc/riscv-none-elf/11.3.0/../../../../riscv-none-elf/lib/rv32im/ilp32/libc.a(lib_a-impure.o) + .data 0x0000000002000428 0x0 /var/data/aluno/gcc/bin/../lib/gcc/riscv-none-elf/11.3.0/../../../../riscv-none-elf/lib/rv32im/ilp32/libc.a(lib_a-init.o) + .data 0x0000000002000428 0x0 /var/data/aluno/gcc/bin/../lib/gcc/riscv-none-elf/11.3.0/../../../../riscv-none-elf/lib/rv32im/ilp32/libc.a(lib_a-memcpy.o) + .data 0x0000000002000428 0x0 /var/data/aluno/gcc/bin/../lib/gcc/riscv-none-elf/11.3.0/../../../../riscv-none-elf/lib/rv32im/ilp32/libc.a(lib_a-memset.o) + .data 0x0000000002000428 0x0 /var/data/aluno/gcc/bin/../lib/gcc/riscv-none-elf/11.3.0/../../../../riscv-none-elf/lib/rv32im/ilp32/libc.a(lib_a-__atexit.o) + .data 0x0000000002000428 0x0 /var/data/aluno/gcc/bin/../lib/gcc/riscv-none-elf/11.3.0/../../../../riscv-none-elf/lib/rv32im/ilp32/libc.a(lib_a-__call_atexit.o) + .data 0x0000000002000428 0x0 /var/data/aluno/gcc/bin/../lib/gcc/riscv-none-elf/11.3.0/rv32im/ilp32/crtend.o *(.sdata .sdata.*) + .sdata.__dso_handle + 0x0000000002000428 0x4 /var/data/aluno/gcc/bin/../lib/gcc/riscv-none-elf/11.3.0/rv32im/ilp32/crtbegin.o + 0x0000000002000428 __dso_handle .sdata._impure_ptr - 0x0000000002000428 0x4 /home/rayanst/.local/xPacks/@xpack-dev-tools/riscv-none-embed-gcc/10.1.0-1.1.1/.content/bin/../lib/gcc/riscv-none-embed/10.1.0/../../../../riscv-none-embed/lib/rv32im/ilp32/libg.a(lib_a-impure.o) - 0x0000000002000428 _impure_ptr - 0x000000000200042c . = ALIGN (0x4) - 0x000000000200042c _edata = . - -.fini_array 0x000000000200042c 0x4 load address 0x0000000000000ea0 - .fini_array 0x000000000200042c 0x4 /home/rayanst/.local/xPacks/@xpack-dev-tools/riscv-none-embed-gcc/10.1.0-1.1.1/.content/bin/../lib/gcc/riscv-none-embed/10.1.0/rv32im/ilp32/crtbegin.o - -.init_array 0x0000000002000430 0x4 load address 0x0000000000000ea4 - .init_array 0x0000000002000430 0x4 /home/rayanst/.local/xPacks/@xpack-dev-tools/riscv-none-embed-gcc/10.1.0-1.1.1/.content/bin/../lib/gcc/riscv-none-embed/10.1.0/rv32im/ilp32/crtbegin.o - -.init_array.00000 - 0x0000000002000434 0x4 load address 0x0000000000000ea8 - .init_array.00000 - 0x0000000002000434 0x4 /home/rayanst/.local/xPacks/@xpack-dev-tools/riscv-none-embed-gcc/10.1.0-1.1.1/.content/bin/../lib/gcc/riscv-none-embed/10.1.0/../../../../riscv-none-embed/lib/rv32im/ilp32/libg.a(lib_a-__call_atexit.o) - -.bss 0x0000000002000438 0x18 load address 0x0000000000000eac - 0x0000000002000438 _bss_start = . + 0x000000000200042c 0x4 /var/data/aluno/gcc/bin/../lib/gcc/riscv-none-elf/11.3.0/../../../../riscv-none-elf/lib/rv32im/ilp32/libc.a(lib_a-impure.o) + 0x000000000200042c _impure_ptr + 0x0000000002000430 . = ALIGN (0x4) + 0x0000000002000430 _edata = . + +.eh_frame 0x0000000002000430 0x120 load address 0x0000000000000e34 + .eh_frame 0x0000000002000430 0x0 /var/data/aluno/gcc/bin/../lib/gcc/riscv-none-elf/11.3.0/rv32im/ilp32/crtbegin.o + .eh_frame 0x0000000002000430 0x28 /var/data/aluno/gcc/bin/../lib/gcc/riscv-none-elf/11.3.0/../../../../riscv-none-elf/lib/rv32im/ilp32/libc.a(lib_a-atexit.o) + .eh_frame 0x0000000002000458 0x14 /var/data/aluno/gcc/bin/../lib/gcc/riscv-none-elf/11.3.0/../../../../riscv-none-elf/lib/rv32im/ilp32/libc.a(lib_a-errno.o) + 0x28 (size before relaxing) + .eh_frame 0x000000000200046c 0x1c /var/data/aluno/gcc/bin/../lib/gcc/riscv-none-elf/11.3.0/../../../../riscv-none-elf/lib/rv32im/ilp32/libc.a(lib_a-exit.o) + 0x30 (size before relaxing) + .eh_frame 0x0000000002000488 0x28 /var/data/aluno/gcc/bin/../lib/gcc/riscv-none-elf/11.3.0/../../../../riscv-none-elf/lib/rv32im/ilp32/libc.a(lib_a-fini.o) + 0x3c (size before relaxing) + .eh_frame 0x00000000020004b0 0x2c /var/data/aluno/gcc/bin/../lib/gcc/riscv-none-elf/11.3.0/../../../../riscv-none-elf/lib/rv32im/ilp32/libc.a(lib_a-init.o) + 0x40 (size before relaxing) + .eh_frame 0x00000000020004dc 0x14 /var/data/aluno/gcc/bin/../lib/gcc/riscv-none-elf/11.3.0/../../../../riscv-none-elf/lib/rv32im/ilp32/libc.a(lib_a-memcpy.o) + 0x28 (size before relaxing) + .eh_frame 0x00000000020004f0 0x14 /var/data/aluno/gcc/bin/../lib/gcc/riscv-none-elf/11.3.0/../../../../riscv-none-elf/lib/rv32im/ilp32/libc.a(lib_a-__atexit.o) + 0x28 (size before relaxing) + .eh_frame 0x0000000002000504 0x48 /var/data/aluno/gcc/bin/../lib/gcc/riscv-none-elf/11.3.0/../../../../riscv-none-elf/lib/rv32im/ilp32/libc.a(lib_a-__call_atexit.o) + 0x5c (size before relaxing) + .eh_frame 0x000000000200054c 0x4 /var/data/aluno/gcc/bin/../lib/gcc/riscv-none-elf/11.3.0/rv32im/ilp32/crtend.o + +.tm_clone_table + 0x0000000002000550 0x0 load address 0x0000000000000f54 + .tm_clone_table + 0x0000000002000550 0x0 /var/data/aluno/gcc/bin/../lib/gcc/riscv-none-elf/11.3.0/rv32im/ilp32/crtbegin.o + .tm_clone_table + 0x0000000002000550 0x0 /var/data/aluno/gcc/bin/../lib/gcc/riscv-none-elf/11.3.0/rv32im/ilp32/crtend.o + +.fini_array 0x0000000002000550 0x4 load address 0x0000000000000f54 + .fini_array 0x0000000002000550 0x4 /var/data/aluno/gcc/bin/../lib/gcc/riscv-none-elf/11.3.0/rv32im/ilp32/crtbegin.o + +.init_array 0x0000000002000554 0x4 load address 0x0000000000000f58 + .init_array 0x0000000002000554 0x4 /var/data/aluno/gcc/bin/../lib/gcc/riscv-none-elf/11.3.0/rv32im/ilp32/crtbegin.o + +.bss 0x0000000002000558 0x18 load address 0x0000000000000f5c + 0x0000000002000558 __bss_start = . *(.bss .bss.*) - .bss 0x0000000002000438 0x0 /home/rayanst/.local/xPacks/@xpack-dev-tools/riscv-none-embed-gcc/10.1.0-1.1.1/.content/bin/../lib/gcc/riscv-none-embed/10.1.0/../../../../riscv-none-embed/lib/rv32im/ilp32/crt0.o - .bss 0x0000000002000438 0x0 /home/rayanst/.local/xPacks/@xpack-dev-tools/riscv-none-embed-gcc/10.1.0-1.1.1/.content/bin/../lib/gcc/riscv-none-embed/10.1.0/rv32im/ilp32/crtbegin.o - .bss.object.0 0x0000000002000438 0x18 /home/rayanst/.local/xPacks/@xpack-dev-tools/riscv-none-embed-gcc/10.1.0-1.1.1/.content/bin/../lib/gcc/riscv-none-embed/10.1.0/rv32im/ilp32/crtbegin.o - .bss 0x0000000002000450 0x0 main_step_motor.o - .bss 0x0000000002000450 0x0 start.o - .bss 0x0000000002000450 0x0 ../_core/syscalls.o - .bss 0x0000000002000450 0x0 ../_core/utils.o - .bss 0x0000000002000450 0x0 step_motor.o - .bss 0x0000000002000450 0x0 /home/rayanst/.local/xPacks/@xpack-dev-tools/riscv-none-embed-gcc/10.1.0-1.1.1/.content/bin/../lib/gcc/riscv-none-embed/10.1.0/../../../../riscv-none-embed/lib/rv32im/ilp32/libg.a(lib_a-errno.o) - .bss 0x0000000002000450 0x0 /home/rayanst/.local/xPacks/@xpack-dev-tools/riscv-none-embed-gcc/10.1.0-1.1.1/.content/bin/../lib/gcc/riscv-none-embed/10.1.0/../../../../riscv-none-embed/lib/rv32im/ilp32/libg.a(lib_a-exit.o) - .bss 0x0000000002000450 0x0 /home/rayanst/.local/xPacks/@xpack-dev-tools/riscv-none-embed-gcc/10.1.0-1.1.1/.content/bin/../lib/gcc/riscv-none-embed/10.1.0/../../../../riscv-none-embed/lib/rv32im/ilp32/libg.a(lib_a-impure.o) - .bss 0x0000000002000450 0x0 /home/rayanst/.local/xPacks/@xpack-dev-tools/riscv-none-embed-gcc/10.1.0-1.1.1/.content/bin/../lib/gcc/riscv-none-embed/10.1.0/../../../../riscv-none-embed/lib/rv32im/ilp32/libg.a(lib_a-init.o) - .bss 0x0000000002000450 0x0 /home/rayanst/.local/xPacks/@xpack-dev-tools/riscv-none-embed-gcc/10.1.0-1.1.1/.content/bin/../lib/gcc/riscv-none-embed/10.1.0/../../../../riscv-none-embed/lib/rv32im/ilp32/libg.a(lib_a-memcpy.o) - .bss 0x0000000002000450 0x0 /home/rayanst/.local/xPacks/@xpack-dev-tools/riscv-none-embed-gcc/10.1.0-1.1.1/.content/bin/../lib/gcc/riscv-none-embed/10.1.0/../../../../riscv-none-embed/lib/rv32im/ilp32/libg.a(lib_a-memset.o) - .bss 0x0000000002000450 0x0 /home/rayanst/.local/xPacks/@xpack-dev-tools/riscv-none-embed-gcc/10.1.0-1.1.1/.content/bin/../lib/gcc/riscv-none-embed/10.1.0/../../../../riscv-none-embed/lib/rv32im/ilp32/libg.a(lib_a-__call_atexit.o) - .bss 0x0000000002000450 0x0 /home/rayanst/.local/xPacks/@xpack-dev-tools/riscv-none-embed-gcc/10.1.0-1.1.1/.content/bin/../lib/gcc/riscv-none-embed/10.1.0/../../../../riscv-none-embed/lib/rv32im/ilp32/libg.a(lib_a-fini.o) - .bss 0x0000000002000450 0x0 /home/rayanst/.local/xPacks/@xpack-dev-tools/riscv-none-embed-gcc/10.1.0-1.1.1/.content/bin/../lib/gcc/riscv-none-embed/10.1.0/../../../../riscv-none-embed/lib/rv32im/ilp32/libg.a(lib_a-atexit.o) - .bss 0x0000000002000450 0x0 /home/rayanst/.local/xPacks/@xpack-dev-tools/riscv-none-embed-gcc/10.1.0-1.1.1/.content/bin/../lib/gcc/riscv-none-embed/10.1.0/../../../../riscv-none-embed/lib/rv32im/ilp32/libg.a(lib_a-__atexit.o) - .bss 0x0000000002000450 0x0 /home/rayanst/.local/xPacks/@xpack-dev-tools/riscv-none-embed-gcc/10.1.0-1.1.1/.content/bin/../lib/gcc/riscv-none-embed/10.1.0/rv32im/ilp32/crtend.o - 0x0000000002000450 . = ALIGN (0x4) - 0x0000000002000450 _bss_end = . - 0x0000000002000450 _end = . + .bss 0x0000000002000558 0x0 /var/data/aluno/gcc/bin/../lib/gcc/riscv-none-elf/11.3.0/../../../../riscv-none-elf/lib/rv32im/ilp32/crt0.o + .bss 0x0000000002000558 0x0 /var/data/aluno/gcc/bin/../lib/gcc/riscv-none-elf/11.3.0/rv32im/ilp32/crtbegin.o + .bss.object.0 0x0000000002000558 0x18 /var/data/aluno/gcc/bin/../lib/gcc/riscv-none-elf/11.3.0/rv32im/ilp32/crtbegin.o + .bss 0x0000000002000570 0x0 main_step_motor.o + .bss 0x0000000002000570 0x0 start.o + .bss 0x0000000002000570 0x0 ../_core/syscalls.o + .bss 0x0000000002000570 0x0 ../_core/utils.o + .bss 0x0000000002000570 0x0 step_motor.o + .bss 0x0000000002000570 0x0 /var/data/aluno/gcc/bin/../lib/gcc/riscv-none-elf/11.3.0/../../../../riscv-none-elf/lib/rv32im/ilp32/libc.a(lib_a-atexit.o) + .bss 0x0000000002000570 0x0 /var/data/aluno/gcc/bin/../lib/gcc/riscv-none-elf/11.3.0/../../../../riscv-none-elf/lib/rv32im/ilp32/libc.a(lib_a-errno.o) + .bss 0x0000000002000570 0x0 /var/data/aluno/gcc/bin/../lib/gcc/riscv-none-elf/11.3.0/../../../../riscv-none-elf/lib/rv32im/ilp32/libc.a(lib_a-exit.o) + .bss 0x0000000002000570 0x0 /var/data/aluno/gcc/bin/../lib/gcc/riscv-none-elf/11.3.0/../../../../riscv-none-elf/lib/rv32im/ilp32/libc.a(lib_a-fini.o) + .bss 0x0000000002000570 0x0 /var/data/aluno/gcc/bin/../lib/gcc/riscv-none-elf/11.3.0/../../../../riscv-none-elf/lib/rv32im/ilp32/libc.a(lib_a-impure.o) + .bss 0x0000000002000570 0x0 /var/data/aluno/gcc/bin/../lib/gcc/riscv-none-elf/11.3.0/../../../../riscv-none-elf/lib/rv32im/ilp32/libc.a(lib_a-init.o) + .bss 0x0000000002000570 0x0 /var/data/aluno/gcc/bin/../lib/gcc/riscv-none-elf/11.3.0/../../../../riscv-none-elf/lib/rv32im/ilp32/libc.a(lib_a-memcpy.o) + .bss 0x0000000002000570 0x0 /var/data/aluno/gcc/bin/../lib/gcc/riscv-none-elf/11.3.0/../../../../riscv-none-elf/lib/rv32im/ilp32/libc.a(lib_a-memset.o) + .bss 0x0000000002000570 0x0 /var/data/aluno/gcc/bin/../lib/gcc/riscv-none-elf/11.3.0/../../../../riscv-none-elf/lib/rv32im/ilp32/libc.a(lib_a-__atexit.o) + .bss 0x0000000002000570 0x0 /var/data/aluno/gcc/bin/../lib/gcc/riscv-none-elf/11.3.0/../../../../riscv-none-elf/lib/rv32im/ilp32/libc.a(lib_a-__call_atexit.o) + .bss 0x0000000002000570 0x0 /var/data/aluno/gcc/bin/../lib/gcc/riscv-none-elf/11.3.0/rv32im/ilp32/crtend.o + 0x0000000002000570 . = ALIGN (0x4) + 0x0000000002000570 _bss_end = . + 0x0000000002000570 _end = . OUTPUT(main_step_motor.elf elf32-littleriscv) .sbss.completed.1 - 0x0000000002000450 0x1 load address 0x0000000000000eac + 0x0000000002000570 0x1 load address 0x0000000000000f5c .sbss.completed.1 - 0x0000000002000450 0x1 /home/rayanst/.local/xPacks/@xpack-dev-tools/riscv-none-embed-gcc/10.1.0-1.1.1/.content/bin/../lib/gcc/riscv-none-embed/10.1.0/rv32im/ilp32/crtbegin.o - -.sbss 0x0000000002000454 0x4 load address 0x0000000000000eac - .sbss 0x0000000002000454 0x4 ../_core/syscalls.o - -.comment 0x0000000000000000 0x34 - .comment 0x0000000000000000 0x34 main_step_motor.o - 0x35 (size before relaxing) - .comment 0x0000000000000034 0x35 ../_core/syscalls.o - .comment 0x0000000000000034 0x35 ../_core/utils.o - .comment 0x0000000000000034 0x35 step_motor.o - -.debug_frame 0x0000000000000000 0x180 - .debug_frame 0x0000000000000000 0x20 /home/rayanst/.local/xPacks/@xpack-dev-tools/riscv-none-embed-gcc/10.1.0-1.1.1/.content/bin/../lib/gcc/riscv-none-embed/10.1.0/../../../../riscv-none-embed/lib/rv32im/ilp32/libg.a(lib_a-errno.o) - .debug_frame 0x0000000000000020 0x28 /home/rayanst/.local/xPacks/@xpack-dev-tools/riscv-none-embed-gcc/10.1.0-1.1.1/.content/bin/../lib/gcc/riscv-none-embed/10.1.0/../../../../riscv-none-embed/lib/rv32im/ilp32/libg.a(lib_a-exit.o) - .debug_frame 0x0000000000000048 0x3c /home/rayanst/.local/xPacks/@xpack-dev-tools/riscv-none-embed-gcc/10.1.0-1.1.1/.content/bin/../lib/gcc/riscv-none-embed/10.1.0/../../../../riscv-none-embed/lib/rv32im/ilp32/libg.a(lib_a-init.o) - .debug_frame 0x0000000000000084 0x20 /home/rayanst/.local/xPacks/@xpack-dev-tools/riscv-none-embed-gcc/10.1.0-1.1.1/.content/bin/../lib/gcc/riscv-none-embed/10.1.0/../../../../riscv-none-embed/lib/rv32im/ilp32/libg.a(lib_a-memcpy.o) - .debug_frame 0x00000000000000a4 0x68 /home/rayanst/.local/xPacks/@xpack-dev-tools/riscv-none-embed-gcc/10.1.0-1.1.1/.content/bin/../lib/gcc/riscv-none-embed/10.1.0/../../../../riscv-none-embed/lib/rv32im/ilp32/libg.a(lib_a-__call_atexit.o) - .debug_frame 0x000000000000010c 0x34 /home/rayanst/.local/xPacks/@xpack-dev-tools/riscv-none-embed-gcc/10.1.0-1.1.1/.content/bin/../lib/gcc/riscv-none-embed/10.1.0/../../../../riscv-none-embed/lib/rv32im/ilp32/libg.a(lib_a-fini.o) - .debug_frame 0x0000000000000140 0x20 /home/rayanst/.local/xPacks/@xpack-dev-tools/riscv-none-embed-gcc/10.1.0-1.1.1/.content/bin/../lib/gcc/riscv-none-embed/10.1.0/../../../../riscv-none-embed/lib/rv32im/ilp32/libg.a(lib_a-atexit.o) - .debug_frame 0x0000000000000160 0x20 /home/rayanst/.local/xPacks/@xpack-dev-tools/riscv-none-embed-gcc/10.1.0-1.1.1/.content/bin/../lib/gcc/riscv-none-embed/10.1.0/../../../../riscv-none-embed/lib/rv32im/ilp32/libg.a(lib_a-__atexit.o) + 0x0000000002000570 0x1 /var/data/aluno/gcc/bin/../lib/gcc/riscv-none-elf/11.3.0/rv32im/ilp32/crtbegin.o + +.sbss 0x0000000002000574 0x4 load address 0x0000000000000f5c + .sbss 0x0000000002000574 0x4 ../_core/syscalls.o + +.riscv.attributes + 0x0000000000000000 0x25 + .riscv.attributes + 0x0000000000000000 0x1f /var/data/aluno/gcc/bin/../lib/gcc/riscv-none-elf/11.3.0/../../../../riscv-none-elf/lib/rv32im/ilp32/crt0.o + .riscv.attributes + 0x000000000000001f 0x21 /var/data/aluno/gcc/bin/../lib/gcc/riscv-none-elf/11.3.0/rv32im/ilp32/crtbegin.o + .riscv.attributes + 0x0000000000000040 0x21 main_step_motor.o + .riscv.attributes + 0x0000000000000061 0x23 start.o + .riscv.attributes + 0x0000000000000084 0x21 ../_core/syscalls.o + .riscv.attributes + 0x00000000000000a5 0x21 ../_core/utils.o + .riscv.attributes + 0x00000000000000c6 0x21 step_motor.o + .riscv.attributes + 0x00000000000000e7 0x21 /var/data/aluno/gcc/bin/../lib/gcc/riscv-none-elf/11.3.0/../../../../riscv-none-elf/lib/rv32im/ilp32/libc.a(lib_a-atexit.o) + .riscv.attributes + 0x0000000000000108 0x21 /var/data/aluno/gcc/bin/../lib/gcc/riscv-none-elf/11.3.0/../../../../riscv-none-elf/lib/rv32im/ilp32/libc.a(lib_a-errno.o) + .riscv.attributes + 0x0000000000000129 0x21 /var/data/aluno/gcc/bin/../lib/gcc/riscv-none-elf/11.3.0/../../../../riscv-none-elf/lib/rv32im/ilp32/libc.a(lib_a-exit.o) + .riscv.attributes + 0x000000000000014a 0x21 /var/data/aluno/gcc/bin/../lib/gcc/riscv-none-elf/11.3.0/../../../../riscv-none-elf/lib/rv32im/ilp32/libc.a(lib_a-fini.o) + .riscv.attributes + 0x000000000000016b 0x21 /var/data/aluno/gcc/bin/../lib/gcc/riscv-none-elf/11.3.0/../../../../riscv-none-elf/lib/rv32im/ilp32/libc.a(lib_a-impure.o) + .riscv.attributes + 0x000000000000018c 0x21 /var/data/aluno/gcc/bin/../lib/gcc/riscv-none-elf/11.3.0/../../../../riscv-none-elf/lib/rv32im/ilp32/libc.a(lib_a-init.o) + .riscv.attributes + 0x00000000000001ad 0x21 /var/data/aluno/gcc/bin/../lib/gcc/riscv-none-elf/11.3.0/../../../../riscv-none-elf/lib/rv32im/ilp32/libc.a(lib_a-memcpy.o) + .riscv.attributes + 0x00000000000001ce 0x1f /var/data/aluno/gcc/bin/../lib/gcc/riscv-none-elf/11.3.0/../../../../riscv-none-elf/lib/rv32im/ilp32/libc.a(lib_a-memset.o) + .riscv.attributes + 0x00000000000001ed 0x21 /var/data/aluno/gcc/bin/../lib/gcc/riscv-none-elf/11.3.0/../../../../riscv-none-elf/lib/rv32im/ilp32/libc.a(lib_a-__atexit.o) + .riscv.attributes + 0x000000000000020e 0x21 /var/data/aluno/gcc/bin/../lib/gcc/riscv-none-elf/11.3.0/../../../../riscv-none-elf/lib/rv32im/ilp32/libc.a(lib_a-__call_atexit.o) + .riscv.attributes + 0x000000000000022f 0x21 /var/data/aluno/gcc/bin/../lib/gcc/riscv-none-elf/11.3.0/rv32im/ilp32/crtend.o + +.comment 0x0000000000000000 0x33 + .comment 0x0000000000000000 0x33 /var/data/aluno/gcc/bin/../lib/gcc/riscv-none-elf/11.3.0/rv32im/ilp32/crtbegin.o + 0x34 (size before relaxing) + .comment 0x0000000000000033 0x34 main_step_motor.o + .comment 0x0000000000000033 0x34 ../_core/syscalls.o + .comment 0x0000000000000033 0x34 ../_core/utils.o + .comment 0x0000000000000033 0x34 step_motor.o + .comment 0x0000000000000033 0x34 /var/data/aluno/gcc/bin/../lib/gcc/riscv-none-elf/11.3.0/../../../../riscv-none-elf/lib/rv32im/ilp32/libc.a(lib_a-atexit.o) + .comment 0x0000000000000033 0x34 /var/data/aluno/gcc/bin/../lib/gcc/riscv-none-elf/11.3.0/../../../../riscv-none-elf/lib/rv32im/ilp32/libc.a(lib_a-errno.o) + .comment 0x0000000000000033 0x34 /var/data/aluno/gcc/bin/../lib/gcc/riscv-none-elf/11.3.0/../../../../riscv-none-elf/lib/rv32im/ilp32/libc.a(lib_a-exit.o) + .comment 0x0000000000000033 0x34 /var/data/aluno/gcc/bin/../lib/gcc/riscv-none-elf/11.3.0/../../../../riscv-none-elf/lib/rv32im/ilp32/libc.a(lib_a-fini.o) + .comment 0x0000000000000033 0x34 /var/data/aluno/gcc/bin/../lib/gcc/riscv-none-elf/11.3.0/../../../../riscv-none-elf/lib/rv32im/ilp32/libc.a(lib_a-impure.o) + .comment 0x0000000000000033 0x34 /var/data/aluno/gcc/bin/../lib/gcc/riscv-none-elf/11.3.0/../../../../riscv-none-elf/lib/rv32im/ilp32/libc.a(lib_a-init.o) + .comment 0x0000000000000033 0x34 /var/data/aluno/gcc/bin/../lib/gcc/riscv-none-elf/11.3.0/../../../../riscv-none-elf/lib/rv32im/ilp32/libc.a(lib_a-memcpy.o) + .comment 0x0000000000000033 0x34 /var/data/aluno/gcc/bin/../lib/gcc/riscv-none-elf/11.3.0/../../../../riscv-none-elf/lib/rv32im/ilp32/libc.a(lib_a-__atexit.o) + .comment 0x0000000000000033 0x34 /var/data/aluno/gcc/bin/../lib/gcc/riscv-none-elf/11.3.0/../../../../riscv-none-elf/lib/rv32im/ilp32/libc.a(lib_a-__call_atexit.o) + .comment 0x0000000000000033 0x34 /var/data/aluno/gcc/bin/../lib/gcc/riscv-none-elf/11.3.0/rv32im/ilp32/crtend.o diff --git a/software/step_motor/step_motor.c b/software/step_motor/step_motor.c index 66aaf583..5666f3df 100644 --- a/software/step_motor/step_motor.c +++ b/software/step_motor/step_motor.c @@ -1,22 +1,29 @@ #include "step_motor.h" #include +// Arquivo para criar funções do periférico step_motor + +// Função para resetar motor, pode retornar 1 (resetado) ou 0 (não resetado) void reset_motor(uint8_t val) { STEP_BASE->rst = val; } +// Função para parar motor, pode retornar 1 (parado) ou 0 (andando) void stop_motor(uint8_t val) { STEP_BASE->stop = val; } +// Função para alterar sentido da rotação do motor, pode retornar 1 (horário) ou 0 (anti-horário) void reverse_rotation(uint8_t val) { STEP_BASE->reverse = val; } +// Função para alterar step do motor, pode retornar 1 (fullstep) ou 0 (half step) void change_step(uint8_t val) { STEP_BASE->half_full = val; } +// Função para alterar velocidade do motor, podendo retornar valor de 0 a 7, pois componente recebe 3 bits void change_speed(uint8_t val) { STEP_BASE->speed = val; }