From 31f424448420bd4979a6f043fc24c1b6ed485fa8 Mon Sep 17 00:00:00 2001 From: elvisfernandess <94504194+elvisfernandess@users.noreply.github.com> Date: Tue, 10 Sep 2024 16:03:13 -0300 Subject: [PATCH] Semaforo (#76) * Create README.md * Add files via upload * Update README.md * Update README.md * Update README.md * Update README.md * Update README.md * Update README.md * Update README.md * Update README.md * Update README.md * Update README.md * Add files via upload --- peripherals/semaforo/BCD_to_7seg_display.vhd | 42 ++++ .../semaforo/BCD_to_7seg_display_tb.vhd | 59 +++++ peripherals/semaforo/README.md | 198 ++++++++++++++++ peripherals/semaforo/bcd_to_7seg_pkg.vhd | 79 +++++++ peripherals/semaforo/compilacao.png | Bin 0 -> 113151 bytes peripherals/semaforo/de10_lite.vhd | 216 ++++++++++++++++++ .../semaforo/do_BCD_to_7seg_display.do | 32 +++ peripherals/semaforo/make.png | Bin 0 -> 23640 bytes peripherals/semaforo/programmer.png | Bin 0 -> 35228 bytes peripherals/semaforo/semaforo.vhd | 190 +++++++++++++++ peripherals/semaforo/semaforo_testbench.vhd | 117 ++++++++++ peripherals/semaforo/simulacao.png | Bin 0 -> 132176 bytes peripherals/semaforo/simulacao2.png | Bin 0 -> 137470 bytes peripherals/semaforo/simulacao3.png | Bin 0 -> 191653 bytes peripherals/semaforo/sintese.png | Bin 0 -> 46472 bytes peripherals/semaforo/tb.do | 34 +++ 16 files changed, 967 insertions(+) create mode 100644 peripherals/semaforo/BCD_to_7seg_display.vhd create mode 100644 peripherals/semaforo/BCD_to_7seg_display_tb.vhd create mode 100644 peripherals/semaforo/README.md create mode 100644 peripherals/semaforo/bcd_to_7seg_pkg.vhd create mode 100644 peripherals/semaforo/compilacao.png create mode 100644 peripherals/semaforo/de10_lite.vhd create mode 100644 peripherals/semaforo/do_BCD_to_7seg_display.do create mode 100644 peripherals/semaforo/make.png create mode 100644 peripherals/semaforo/programmer.png create mode 100644 peripherals/semaforo/semaforo.vhd create mode 100644 peripherals/semaforo/semaforo_testbench.vhd create mode 100644 peripherals/semaforo/simulacao.png create mode 100644 peripherals/semaforo/simulacao2.png create mode 100644 peripherals/semaforo/simulacao3.png create mode 100644 peripherals/semaforo/sintese.png create mode 100644 peripherals/semaforo/tb.do diff --git a/peripherals/semaforo/BCD_to_7seg_display.vhd b/peripherals/semaforo/BCD_to_7seg_display.vhd new file mode 100644 index 00000000..a58de59c --- /dev/null +++ b/peripherals/semaforo/BCD_to_7seg_display.vhd @@ -0,0 +1,42 @@ +------------------------------------------------------------------- +-- Name : BCD_to_7seg_display.vhd +-- Author : Elvis Fernandes +-- Version : 0.1 +-- Copyright : Departamento de Eletrônica, Florianópolis, IFSC +-- Description : Tarefa 11: subprogramas +-- Date : 11/07/2024 +------------------------------------------------------------------- +--Implemente um pacote que contenha a função especificada abaixo. +--Desenvolva usando a simulação. +--Sintetize e teste no kit DE10-Lite. +--Analise a quantidade de hardware utilizado no resultado da síntese. +--Escreva uma função que faz a conversão de 4-bits BCD para display de 7-segmentos (0 a 0xF). +--Use um vetor (array) constante para definir a tabela. +--Escreve outra função que recebe um número de 8-bits e converte para dois diplays de 7 segmentos. Use a função acima. +--Teste utilizando 2 displays de 7 segmentos e um contador síncrono. +------------------------------------------------------------------- + +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; +use IEEE.NUMERIC_STD.ALL; +use work.bcd_to_7seg_pkg.all; + +entity BCD_to_7seg_display is + Port ( + bcd_input : in std_logic_vector(7 downto 0); + seven_seg_output_1 : out std_logic_vector(7 downto 0); -- Alterado para acomodar um display + seven_seg_output_2 : out std_logic_vector(7 downto 0) -- Alterado para acomodar um display + ); +end entity BCD_to_7seg_display; + +architecture Behavioral of BCD_to_7seg_display is +begin + -- Atribui as saídas usando a função convert_8bits_to_dual_7seg + process(bcd_input) + variable result : std_logic_vector(15 downto 0); + begin + result := convert_8bits_to_dual_7seg(bcd_input); + seven_seg_output_1 <= result(15 downto 8); + seven_seg_output_2 <= result(7 downto 0); + end process; +end architecture Behavioral; \ No newline at end of file diff --git a/peripherals/semaforo/BCD_to_7seg_display_tb.vhd b/peripherals/semaforo/BCD_to_7seg_display_tb.vhd new file mode 100644 index 00000000..b961c4d6 --- /dev/null +++ b/peripherals/semaforo/BCD_to_7seg_display_tb.vhd @@ -0,0 +1,59 @@ +------------------------------------------------------------------- +-- Name : BCD_to_7seg_display_tb.vhd +-- Author : Elvis Fernandes +-- Version : 0.1 +-- Copyright : Departamento de Eletrônica, Florianópolis, IFSC +-- Description : Tarefa 11: subprogramas +-- Date : 11/07/2024 +------------------------------------------------------------------- +--Implemente um pacote que contenha a função especificada abaixo. +--Desenvolva usando a simulação. +--Sintetize e teste no kit DE10-Lite. +--Analise a quantidade de hardware utilizado no resultado da síntese. +--Escreva uma função que faz a conversão de 4-bits BCD para display de 7-segmentos (0 a 0xF). +--Use um vetor (array) constante para definir a tabela. +--Escreve outra função que recebe um número de 8-bits e converte para dois diplays de 7 segmentos. Use a função acima. +--Teste utilizando 2 displays de 7 segmentos e um contador síncrono. +------------------------------------------------------------------- + +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; +use IEEE.NUMERIC_STD.ALL; +use work.bcd_to_7seg_pkg.all; + + +entity BCD_to_7seg_display_tb is +end BCD_to_7seg_display_tb; + +architecture Behavioral of BCD_to_7seg_display_tb is + -- Declaração dos sinais para o teste + signal bcd_input : std_logic_vector(7 downto 0) := "00000000"; + --signal seven_seg_output : std_logic_vector(15 downto 0); + signal seven_seg_output_1 : std_logic_vector(7 downto 0); -- Alterado para acomodar um display + signal seven_seg_output_2 : std_logic_vector(7 downto 0); -- Alterado para acomodar um display + +begin + + -- Instanciação do componente a ser testado + UUT : entity work.BCD_to_7seg_display + port map ( + bcd_input => bcd_input, + --seven_seg_output => seven_seg_output + seven_seg_output_1 => seven_seg_output_1, + seven_seg_output_2 => seven_seg_output_2 + ); + + -- Processo de simulação + process + begin + -- Teste de cada número BCD de 0 a F + for i in 0 to 15 loop + bcd_input <= std_logic_vector(to_unsigned(i, bcd_input'length)); + wait for 10 ns; -- Atraso para observar o resultado + end loop; + + -- Finalização da simulação + wait; + end process; + +end Behavioral; \ No newline at end of file diff --git a/peripherals/semaforo/README.md b/peripherals/semaforo/README.md new file mode 100644 index 00000000..6c0b89bc --- /dev/null +++ b/peripherals/semaforo/README.md @@ -0,0 +1,198 @@ +# SEMÁFORO +### Esta tarefa envolve a criação de um semáforo em VHDL baseada em máquina de estados com os seguintes funcionalidades: +- Controle dos estados luzes de um semáforo (Red, Yellow e Green); +- Contagem do número de pedestres (apenas no estado Red); +- Contagem do número de carros (apenas nos estados Yellow e Green); +- Exibição das luzes do semáforo, da contagem dos pedestres e carros, bem como do tempo de cada estado do semáforo. +------------------------------------------------------------------- +## 1 ESPECIFICAÇÕES +### 1.1 PORTAS DE ENTRADA + - clk: Porta de entrada (interno) do tipo std_logic responsável pelo clock. + - rst: Porta de entrada (interno) do tipo std_logic responsável pelo rst. + - start: Porta de entrada (chave) do tipo std_logic responsável por iniciar o sistema. + - carro: Porta de entrada (chave) do tipo std_logic responsável pela contagem de carros. + - pedestre: Porta de entrada (chave) do tipo std_logic responsável pela contagem de pessoas. + +### 1.2 PORTAS DE SAÍDA + - r1: Porta de saída (LED) do tipo std_logic responsável sinal vermelho do semáforo. + - y1: Porta de saída (LED) do tipo std_logic responsável sinal amarelo do semáforo. + - g1: Porta de saída (LED) do tipo std_logic responsável sinal verde do semáforo. + - ped_count: Porta de saída (DISPLAY 7 SEGMENTOS) do tipo unsigned responsável pela visualização da contagem de pedestres. + - car_count: Porta de saída (DISPLAY 7 SEGMENTOS) do tipo unsigned responsável pela visualização da contagem de carros. + - time_display: Porta de saída (DISPLAY 7 SEGMENTOS) do tipo unsigned responsável pela visualização do tempo de cada estado. + - visual_display: Porta de saída (DISPLAY 7 SEGMENTOS) do tipo unsigned responsável visualizar os segmentos. + + +### 1.3 ESTADOS + +**STARTT** + - Estado STARTT criado com valor 0, para que ele vá para o estado IDLE imediatamente, garantindo com que o estado RED assuma o valor do estado IDLE; + +**IDLE** + - Estado IDLE para garantir a contagem total do estado RED. + - Sem o estado IDLE, o estado RED utiliza a mesma contagem de tempo IDLE No primeiro ciclo da máquina de estados. + +**RED** + - Deve ser contabilizado o tempo do estado e mostrado no display; + - Deve ser contabilizado quantas pessoas atravessam e mostrado no displaY; + - Não deve ser contabilizado quantas pessoas atravessam e mostrado no display; + - O tempo do estado deve ser decrementado e chegar até 0; + - Quando chegar em 0, o estado é alterado para o próximo estado. + +**YELLOW** + - Deve ser contabilizado o tempo do estado e mostrado no display; + - Não deve ser contabilizado quantas pessoas atravessam; + - Deve ser contabilizado o número de carros que passam e mostrado no display; + - O tempo do estado deve ser decrementado e chegar até 0; + - Quando chegar em 0, o estado é alterado para o próximo estado. + +**GREEN** + - Deve ser contabilizado o tempo do estado e mostrado no display; + - Não deve ser contabilizado quantas pessoas atravessam e mostrado no display; + - Deve ser contabilizado o número de carros que passam e mostrado no display; + - O tempo do estado deve ser decrementado e chegar até 0; + - Quando chegar em 0, o estado é alterado para o próximo estado. + +## 2 PINAGEM + +**Leds** + - LEDR (0) --> r1 + - LEDR (1) --> y1 + - LEDR (2) --> g1 + +**Chaves** + - SW(0) --> start + - SW(1) --> rst + - SW(8) --> carro + - SW(9) --> pedestre + +**Displays** + + - HEX0 --> ped_count. + - HEX1 --> car_count. + - HEX2 --> time_display. + - HEX4 --> segmentos de time_display (15 a 8). + - HEX5 --> segmentos de time_display (7 a 0). + +## 3 FUNCIONAMENTO + + - 1) Simular no modelsim; + - 2) Gravar na placa; + - 3) Colocar rst em nivel ALTO; + - 4) Colocar rst em nivel BAIXO; + - 5) Colocar start em nivel ALTO; + + **No primeiro ciclo da máquina de estados** + - Estado STARTT iniciado. LEDR (0), LEDR (1) e LED (2) acesos; tempo 0 segundos. + - Estado IDLE iniciado. Apenas LEDR (2) aceso; tempo estabelecido pelo estado RED; tempo 15 segundos. + - ESTADO YELLOW inicado. Apenas LEDR (1) aceso; tempo estabelecido pelo estado YELLOW; tempo 15 segundos. + - ESTADO GREEN inicado. Apenas LEDR (0) aceso; tempo estabelecido pelo estado GREEN; tempo 15 segundos. + + **No segundo ciclo da máquina de estados** + - Estado RED iniciado. Apenas LEDR (2) aceso; tempo estabelecido pelo estado RED; tempo 15 segundos. + - Estado YELLOW iniciado. Apenas LEDR (1) aceso; tempo estabelecido pelo estado YELLOW; tempo 15 segundos. + - Estado GREEN iniciado. Apenas LEDR (0) aceso; tempo estabelecido pelo estado GREEN; tempo 15 segundos. + +## 4 SIMULAÇÃO SEMÁFORO + - 1) Abrir o software Modelsim + - 2) cd C:/Users/elvis/OneDrive/Documentos/projeto_final/riscv-multicycle-master/riscv-multicycle-master/peripherals/semaforo + - 3) do tb.do + - 4) Imagens da simulação + +No primeiro ciclo da máquina de estados. +![Simulação](/peripherals/semaforo/simulacao.png) + +A partir do segundo ciclo da máquina de estados. +![Simulação](/peripherals/semaforo/simulacao2.png) + +## 5 SUBPROGRAMAS +Os subprogramas possuem as seguintes especificações: +- Função que faz a conversão de 4-bits BCD para display de 7-segmentos (0 a 0xF). +- Função que recebe um número de 8-bits e converte para dois diplays de 7 segmentos. +- Tabela de conversão de BCD para display de 7 segmentos +- Um vetor (array) constante para definir a tabela. +- Atribuição das saídas usando a função convert_8bits_to_dual_7seg. + +Os arquivos utilizados nos subprogramas são: +- BCD_to_7seg_display.vhd +- bcd_to_7seg_pkg.vhd +- BCD_to_7seg_display_tb.vhd +- do_BCD_to_7seg_display.do + +Simulação dos Subprogramas: + + - 1) Abrir o software Modelsim + - 2) cd C:/Users/elvis/OneDrive/Documentos/projeto_final/riscv-multicycle-master/riscv-multicycle-master/peripherals/semaforo + - 3) do_BCD_to_7seg_display.do + - 4) Imagem da simulação + +![Simulação](/peripherals/semaforo/simulacao3.png) + +## 6 SÍNTESE +O arquivo de síntese é denominado de_10_lite.vhd e é composto de: + +- Pacote de conversão BCD para 7 segmentos (USE work.bcd_to_7seg_pkg.all;) +- Sinais para o semáforo e contadores +- Sinais para os displays de 7 segmentos +- Sinal para o divisor de clock (clk_div) +- Divisor de Clock para gerar um sinal de clock mais lento +- Instância do DUT (Design Under Test) do semáforo +- Processo para converter os valores de contagem para displays de 7 segmentos (ped_count, car_count, time_display, visual_display) +- Atribuição dos valores convertidos aos displays +- Sincronizar o valor de time_display a visual_display_test +- Processo para controlar o displays HEX4 e HEX5 com base no valor de visual_display_test + +Compilação Síntese +- 1) Abrir o software Quartus Prime +- 2) Assignments >> Settings... >> ... >> Apply >> OK +- 3) Adicionar: + - semaforo.vhd + - BCD_to_7seg_display.vhd + - bcd_to_7seg_pkg.vhd + +![Sintese](/peripherals/semaforo/sintese.png) + +- 4) Compilação (control + L): +![Sintese](/peripherals/semaforo/compilacao.png) + +## 7 GRAVAÇÃO + +- Tools >> Programmer >> Start + + ![Sintese](/peripherals/semaforo/programmer.png) + + ## 8 Make - Procedimento Windows + +1 - Abril power shell como administrador e digitar: + +Set-ExecutionPolicy Bypass -Scope Process -Force; [System.Net.ServicePointManager]::SecurityProtocol = [System.Net.ServicePointManager]::SecurityProtocol -bor 3072; iex ((New-Object System.Net.WebClient).DownloadString('https://community.chocolatey.org/install.ps1')) + + +2 - choco install make + +3 - make --version + +GNU Make 4.4.1 +Built for Windows32 +Copyright (C) 1988-2023 Free Software Foundation, Inc. +License GPLv3+: GNU GPL version 3 or later +This is free software: you are free to change and redistribute it. +There is NO WARRANTY, to the extent permitted by law. +PS C:\Windows\system32> + +4 - make + +elvis@DESKTOP-FDIHVVJ MINGW64 ~/OneDrive/Documentos/projeto_final/riscv-multicycle-master/riscv-multicycle-master/software +$ make +../compiler/gcc/bin/riscv-none-embed-objcopy -O verilog blink.elf blink.tmp +../compiler/gcc/bin/riscv-none-embed-objdump -h -S blink.elf > "blink.lss" +python hex8tohex32.py blink.tmp > blink32.hex +python hex8tointel.py blink.tmp > quartus_blink.hex +rm blink32.hex + + ![make](/peripherals/semaforo/make.png) + + ## 9 Video - YOUTUBE - Demonstração + + https://www.youtube.com/watch?v=rF7BGWolLLM + diff --git a/peripherals/semaforo/bcd_to_7seg_pkg.vhd b/peripherals/semaforo/bcd_to_7seg_pkg.vhd new file mode 100644 index 00000000..2b84c87d --- /dev/null +++ b/peripherals/semaforo/bcd_to_7seg_pkg.vhd @@ -0,0 +1,79 @@ +------------------------------------------------------------------- +-- Name : bcd_to_7seg_pkg.vhd +-- Author : Elvis Fernandes +-- Version : 0.1 +-- Copyright : Departamento de Eletrônica, Florianópolis, IFSC +-- Description : Tarefa 11: subprogramas +-- Date : 11/07/2024 +------------------------------------------------------------------- +--Implemente um pacote que contenha a função especificada abaixo. +--Desenvolva usando a simulação. +--Sintetize e teste no kit DE10-Lite. +--Analise a quantidade de hardware utilizado no resultado da síntese. +--Escreva uma função que faz a conversão de 4-bits BCD para display de 7-segmentos (0 a 0xF). +--Use um vetor (array) constante para definir a tabela. +--Escreve outra função que recebe um número de 8-bits e converte para dois diplays de 7 segmentos. Use a função acima. +--Teste utilizando 2 displays de 7 segmentos e um contador síncrono. +------------------------------------------------------------------- + +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; +use IEEE.NUMERIC_STD.ALL; + +package bcd_to_7seg_pkg is + -- Tabela de conversão de BCD para display de 7 segmentos + type seg_array is array (natural range 0 to 15) of std_logic_vector(7 downto 0); + + constant bcd_to_7seg_table : seg_array := + (0 => "11000000", -- 0 + 1 => "11111001", -- 1 + 2 => "10100100", -- 2 + 3 => "10110000", -- 3 + 4 => "10011001", -- 4 + 5 => "10010010", -- 5 + 6 => "10000010", -- 6 + 7 => "11111000", -- 7 + 8 => "10000000", -- 8 + 9 => "10010000", -- 9 + 10 => "10001000", -- A + 11 => "10000011", -- B + 12 => "11000110", -- C + 13 => "10100001", -- D + 14 => "10000110", -- E + 15 => "10001110");-- F + + -- Função para converter um número BCD de 4 bits para um display de 7 segmentos + function bcd_to_7seg(bcd_input : std_logic_vector(3 downto 0)) return std_logic_vector; + + -- Tamanho dos vetores de entrada e saída + constant input_width : integer := 8; + constant output_width : integer := 16; + + -- Tipos para os vetores de entrada e saída + subtype input_vector is std_logic_vector(input_width - 1 downto 0); + subtype output_vector is std_logic_vector(output_width - 1 downto 0); + + -- Função para converter um número de 8 bits para dois displays de 7 segmentos + function convert_8bits_to_dual_7seg(input_value : input_vector) return output_vector; +end bcd_to_7seg_pkg; + +package body bcd_to_7seg_pkg is + -- Implementação da função para converter um número BCD de 4 bits para um display de 7 segmentos + function bcd_to_7seg(bcd_input : std_logic_vector(3 downto 0)) return std_logic_vector is + begin + return bcd_to_7seg_table(to_integer(unsigned(bcd_input))); + end function; + + -- Implementação da função para converter um número de 8 bits para dois displays de 7 segmentos + function convert_8bits_to_dual_7seg(input_value : input_vector) return output_vector is + variable result : output_vector; + begin + -- Converte os primeiros 4 bits para o primeiro display de 7 segmentos + result(15 downto 8) := bcd_to_7seg(input_value(7 downto 4)); + + -- Converte os últimos 4 bits para o segundo display de 7 segmentos + result(7 downto 0) := bcd_to_7seg(input_value(3 downto 0)); + + return result; + end function; +end bcd_to_7seg_pkg; \ No newline at end of file diff --git a/peripherals/semaforo/compilacao.png b/peripherals/semaforo/compilacao.png new file mode 100644 index 0000000000000000000000000000000000000000..8ec58cdd3856ce7819dbd87576b93420f0e88ba6 GIT binary patch literal 113151 zcmZ^~2UL??(=Hrrs0dg=KtM!5x=NE86cq&l>C!<3krwGaK~WLu(xiqWU5a!FAtEBZ zcR~o#36MxhLi!0l&-;Gg|F3hh7H}u*I{ZNc#?;V&Kv`^UaYLxAkrV0N>)+s18S&?@zpXVBrk_aI_!% zuylfop927lf`@l+n*`Wy(%3%pn}@E_Jbt7lD&bCbKX*Dir~F&Qp)2#MoY;vg{8rP6 zr>+JX9Dnq%dIsfjx=GBZNg!mIDjjC?+clb3tKc~&NnDX2r z#?dN8*?7fFDs~2i9;}(Fu&i?#FV$5V8SJg& zi(;BLDBB{ng_+EdM?WCvfE8AbTakaasE-4N&re4P%c)BcelaKh|r|-;n<{ z16-5l$Uy`UhvTr+nN<$8KTx0=QFiXeuCVbWZOU#l=yz~sXq%ulDZ*SJU}1>|$?sQ~aMx;ZAgXu+EhWaf}0x9DV3b3BBxG1I<{GZ$aCs(U-DjsL2YFIjwsEFSzMU)cD*U;E2 zWAn@2w~w6>yizD@NH+|!J%@Zzd#OZY?Pu{17|}m`^SJIF(2p904wvuG_9?8s6Va~N zl^a1xloY-Z_h8vW*Lv_bN1b5W?T@#$FJNjk8rgi~;cO!KMky`j4cn|e_5GV)cH8K{ zZlBX@!nZax1Zp&aM&lbFNtC-o3FJzRQtRhviR1&i=bbs7ca*G0%Pni$m7+|l)B#U4FSrJqk zB!&HPZERKM)biITRi1U>s}Ta5jHm^m;g^9tgu@HjdsWaklA>G7NtU+G(lPyBbGFmu zH}o>z{9LAS+FyMmzUy^#XhHM53hXG%0YH$a_rsj%Uc`i&K{d%(VGV3l_Uf}YSgwe2Q>8g)0{M08mv{dhb+(0}8hEiZqUjMgVC(q2zR(!Xyo+LUZlM0&Bs ziglJ){?q9*=Vxu`V_OQ5NBLmc1@3v(sBfsQW0UzvAn|f!{>8%k8p2L-C)Iz(WNi68 zjbHbGhc41lEPH0_n!T5VBQRnLcchgcy-dEPGuvR*pZTi!PF*ziUZLl((AyHj;3k4$ z8I@%}RMda`OV4I;aF=a^52VDfNx(F8heuc7t8-YXjAi!*8a<4ZLuDagRugRF0={tF z1(yeUKjlwEi=m=Qym}u-8r~E3d={&^3%=x5w{cw{5u#?3FeNEq{;JqfUvHZuOLc#Z z=eXb5TdMGHn}92x!KkbaGuh|azZ>hedh5)M#F9zsX%~xECX1H?-bTjq+gR=GlVDAb zHJ71hd@mv#(;W8Q&+;8AO3tz3qIdliuHoNT|HZd8vG?1Av7MjSfGqeas(#A%`{pYe zZ{4MFv4N3%*Cw_|-*HHrO&2wco0#Ko$R1814Ch*Y+pL-u8iA#iIxE*G_#{biE85nu zFL0m$CeZDUQ}VCAI9iLb=O>R{!(SdB-n+BS;1MB52KSI4uN!lL7pJlMK;`>l%3n@G z=6aRxYcX$>Crz8sXs@aJ`P^zLCDuI-`yA0SVPImaAme*^957^o$M~5VEo*ho7oSx< zF6*jf^Fm2`4C*8YiH)HrZPKqvQ61YE;nm2L2HjdI#wo@(Wsk4#pK}+C`2OZC?%B+< zRkVSnxZJS3*`k+Hwyku5IN#VlEuygF18VbFv}vg^O-_>uj`T21hdilU2-0=?U``YL zIN4{*QW9A@67$Zk!1Deh$FYn_3CmM%9|KHZkD74HV1$BEc^>$uJ^)12Pps!?_TpHS zEu}aMt@&^d#8;v+Dr)<29|m)*1NjYaj39eqdfUI;f-i=L5h&{ews6Its*nJi8 zfnv^guCw3oNsGC48qj1i++v{CoEmDv2NSswFsU*XX;R2FmS?-;@`F7Kt(Lof}L=X3kEd&u^`P%p2KCjH8=BK}75C;!Bpj{M$UD26Gx&8x%n3 zVk7DF!%a5K`Hhfy5}gWBTuaarwt4e{hGx`wo~q7lfoh(mK7NCMSJ_627+iNb<7Q9d zU$4txeQB_P-NB4n6b7+{X9Zsg!G&tZzmoMxiR_BPpB#L)?JoqN9*@YAQG04 z2qesi#Fd=#;H^8HAAGbkYOaQ+H>tkQye;W%$n22$fGgqIRG4hhA?KHF4a&K|BmPl` zoh@n~>FL+ZSNrfY+&j7bG4x|eqgGWTRud5)L=^x`=Z+Oa)LN5S6aB{EGjh4wi z?C0PeMvLYr{mt3BJ&&nGE4e7s%J>%(kl@5*%em{-$#Y)zCU9~$zd=jJr(1RB8K(_7 zD~y_T+@j5l_)h%Nq?QWrlACH{ON1NVnu$ z>HAig)f92X%+{8L-0w)vEq9Daa9{KzJ}B#XHW6huTjZMRoThG_XHdsAXINRhq8{|B zH#am~TK819hYmhdL$6N6R?l5pb#uvUF83YgF*H$>Z$#{($K|nO{?`lar#vHU2Y>fG z0&eS!J@OY|i;;ob&Hm~ZKuMp!^aGu=S^NILfWPk~0Mn;M;z+_7RU5j?nm2v)%L)D2 zzi^=|6L$MZWM5P^gQ6GbADgK{+4OcAHGs?u8nNuTGRVhj#0%+nGsw@rnmE$uH&PY} z@A^NcY(eu9we{pb90~5)P_*R8OjvACx`Q&qwAmaJL1v&eEcFSp2b!#I$ou9Jxm{qM z7+j{{ZFdM>7;#=nAJ20!#QIr!km^<5Rbol~)nn()_to$Armd_SUcd?P&xOq3eImB+ zOBXSgVn-g79|>aP5Pq^QO&m6OrItQ(wsl{eE@bU*==jup^5%3dvU{AB_%TCVSqp#c zoCkDGWmsb__l1~Y_>x)qYOA;{B|zDPhbXFB;#BeLIH9Ci!wZtTZR(wWX+~+Yw87ribW3f)U`w|R|6xiY1@)cMcB7DO1CQg=H$yA(jmzImx%*aC} z-eJY3E($ChPEXFAd*?hTpx(GV)Y_KP!T-z3Q5;z_=V36a@S($M5u7<>PhAe+p7efY z|IuwoQk}1{BGWBqP9YT6lHH!%4L<8?C^rsLyBa6|0R!*&-j%dDjJne%v=cM@mBbJbUTu*PiUYNr9jpD5K33p+t=D&M9c|M=wGk=xz`{kb(lytR=$e z`Dw_0TMg1;Ic2w;bk1W>+q%w;+eVle;ZS#DcXjcnmoxE$o9n-y;Sah3yD~PpDcN&Rb_tb^#afkvUq#lYmG?_T$>5DeTcEa+Bt-i1W7+`MI)uOBv18 z#D_B)`c+Lz2RFTDPfsY;-{#;Y&I|UcJ~U3l4Aq%R?+|w+;D;@Y za`~EC?-s+Qt`dyhH#t0^aFs~D0GO3JQSV`$KgvnMCJQ4mE%nMM4JACHI3w0{yV#ws zmHmmSGqcc{tbzfv=JF<3qh&8gyXgz$eyEe5!Eu2xD?i_~@M6QOmd7ph6?YnXIA-5f z&EJMQnx`s%;JugkE`IsBFr;iVzLjlQalC2TY)Y#ovjOCw+v~c*wOF&OYPk8bkQFpt z-l2U$2G;y1*HJmh#3X$FgcI)r8<%)^1izY3;Z0PWTXlpHYaFr@9`HqDW}1B*r`9(9 zg1gTvpdrL1^#+dw6II5)>ag7uO?%WmODD;dOC5~QB8>_LL|3B(p! zj|qUrjDL`!pZ1`+{J6meOdQOz>;g^bmJ1)lah$R1SsOu-tN-oiuYfgJGRsT+V7HzU zp4{!o2szuCpm+nNGS}-NwwDCi6eUHF@~iaMPSxiXj>mL*aFyO$88b3z*l8EUoi;5D@&=!?~CF2dxRWtct9Bn5dh$!f1CBHx`~n8%}@+5T1PEIQ(QC3MhyNNZv#GR1tBETiaF+tnEL*bS;1HpZJDaY=NZukKEzHHI$n~T{=)&r zLCaorM5_H^@(_;Cx0t&h9!T`A6(Hb0tqoMn;QPVR2LpW0r;jQK)R_;4MZ|?k)oHY~>zq zn%>6m&kR@jrzN0HEC8L2DUzjGncTwX`~09F)sdw=>w8JpsSwG-czD9Xv5#mpT9Mf&Xp5-?q#a7bAZq{%y+q_5Y#?{#WZ0 zhn)XytyKkK(6dzi2kgIgNvpn^4}-rG*8I<~_Kzt<9D+tb3btQVc{Tsty#eI}TB}KtbN+NB?_N8B1M~ylynlE<)|J=6V>2RPlU4GAtQeI3h z!9%J~=z7|vBtzbqo?6xx_Vg{#0$oeSq0dsk7FL>KFQ#IN|7h~rd*)u3j}CFFk(Xqx z8DqPZYTJh=vCz+qp~_heQV$Ij*qvIT_;Ak^bW4W)Bc5AR`*$?7qtDvD$i^&Nhga?H z>~Cdm>&v?2>x9M~PRUxk*1JAS<#&tnxoI^Yl!_+^_SH|es!I7loUlT2t7eeGx7D_o z?bk0Jjm{b}FZdX_(h^h8=RYp};^^s#6}39YDLYrVZdvD>uc3Md|5aifF7GS1&0b$5 zKJtQhO}kX8PN4Bs!9#<&he!qWt~|=8)_t9zscBTT0!A|kR2Sc5Hph|fAJe0oowMev z;!!m`c>h(zr-l47L7t}V;U{_Q>J?!o84@@pP5ZkQ-0E()4;4_Og<)RLhLQ|EyGwFz zN?oOstOp7SOFj_I(Zg%30--xaDiWde5_JfzFxYOb2Q-J*Ij32smsRvpZcXUukcvY! zOW&hR`D9^u;G_CQ$A>}FCY0RAwI=s6`AJdq^)CZI&{y`tN(C(mugrh;*P1e-U3?FF z+bdKoc~A9WRu$d681!PaAZLK^n6E;uw@F6{%Ce$X3Kia(b8$ zXE(WkveRt?O8qgF+!sIZ&)cuu(? z&X?(!+rH^7O_;_)klg0p(E~pTuphq?Cg9oznCT0pXHVyY$<#%djQ@I#I0#N^LT1xZcl)0WU+fINWy_l!>jBDqPBRn? zG3wuO~>y0-MC@pQbIdNPNHxF@=Y=} z{t|i4 z;LxQ}>L|bH7YG zU8pWXN5C-h*QXgS^S@5B$}&bHOhb**I^FIUSj^=?-Tx3IAjsTuozfJ*vPZYo2hWEk z4cj$^j*NfNX}h}i8~#QseVSne$3VUcs=Qr)Y%Y+Q;OPDN*7gaGvh#JTN4rhBfmwSN z53-18eAzw7px2x5n86Ok2aF;rDSt%45Bzm(4RR!ISj$Clyj(d?4b*H27Z)!2#V#8% z?_8EAP*?**g)(eW}EGRTCiHA6mG2w*X?x zrWCYF+2-TyY=3MMcdt>pVQ{XiiwM%a+lQ75u5H4p_h+DYXH>yjT;TZ`8hyg9kuDCi z`en~j%kgZJ5bl!lCF@(-bZ&f)M@an!4-)FbU0{c8tS*kdbkPzN)ED`c8IT2YiN3xW zVJNJ(X%V|=_KIDB(Wtm3aVa?yY;rD?({`Ed6OWlsX>L?}MPx)#<%_F2bZSlFv6Wkq zawnJKuY~5<1C98z+?XPJxax5_7V>i`U^8@BJ5FM&!+>2_we)F3wg z9+>YsOdedqC#GipUAwJ-YP<+XP7R!t%vSx>&xlPB>MNFZ%dW1ov-4??uVNTs*U{Xz zi6M=390Jy@R@%^*_nL!$?;4Nhv!?wL>(GwCT+4?=0c)J*zL%mq4gOJyt|&)N`0w&p zqj~*H4xseCPWL;K!2<)O%IzxEF{>9K)cYBG19QaD7U9xW~;7tJ#i^8~cx7#(< zes$XY-hBbkbnf%1{^jEJ91qb_iyOU*-L{AN&7>n(DofR0Gnnr{ zEnT-}AGvH9`CYvSCsBKxkNH&PUf{1O*0Xtjcx_Db)+5rkO)7<@mZJaTwr$&M#~b-` zrq>15&8Y7W&1qd%vmPh^XW}-toVs`K+Lh*RQMTid@=uoQTJO$ph}0Rv8!m2_nS9Lb z^KmRIIiVEg>L~6&cy%i*_MWzmS-QWbu8mX8dhdq9tFY$oV?&9%+o`9?%jOYR|6RE`v^|$^SK7H7f{{lYy$DRQAtHrOu z`1h0wjdNo&E_i+RWOn2u!mIOu;OQz4LAZE3{7w72Mi<<3uFa9(ujCq(RnOWi`rTMq z$+j;jjnT$%KwbE5-Gx6t?7Th0cPR0%YliGrn3LCcp|jblG>g;!MTJR9hb=?l_VTpJ z){;Bsoput%5~$K3^V+ogZtYeZe_{+}nNqlKCCNIZvTUYaQaAR%q{cZhxhF2hzThlT zZ10Sm-nh^Y)k&@EVc_{;F-Yld5?i{Sr=6N}&r*mXS?UnW-eg2SVdER$`+frU8{hpt zfxc%-Qc9}4ai}VlDR06Q`{H1BT&KsAJB057u-uf*)9QZh%#-(5!c{H-Eb_k$u7`0Q zz%VNi2jY~a&i3q!aGw9-*Lwin_Nsb)W|0V7tL(gIWy#FXvGxUsRoWg$rH@?n$RX@Y=>8b9m8YQo(|EBRy zVZxjiA4Ml4Jz#p+EO(w$|2rw*;{Sm9?+@f#|C7D@ zeq28LKbb=NBfKyEJ!OE4EdO27_Xq#g9pHZ6wtUqcezkx<@A*7Fvm6uU9O3t$brAdq zHUpCG17ecb=i9=WnYjRti)RBfIrvqc3(Ed8YLeE$f0-QvxGqWr7H=;8#W8>|FW#cp z$<9trE2`fgcWXKRf0{=Ec(t_u-Rfggm9W;|@A(F@)i-39nnFe?Zkftd`)#y2%JEq2BsxkP3m3>u%J+deKkltP$xm$aNarz$76d+NGLJ9`e4ym`TB zQ|AI`%HQat&9J^e%VH#24QGP4yDhxs@@hx*6>bg=yNPXD6+q@W38<3(0JGL+lG{wS zB=C@*M(^p24lBP|`UVb$q0l$9c`N6fdt|A@dl4@P>U(Q9sX%(MZx zaw9fZYAmhEJ2Y z4f~kBi_`1-E&@mL{s zw~r>F%dqBmQ>eeHwp6z~8{=C$v+r;Qf*!#BLs%Oa&sT z_SEaC_4^Gm_TMrnPr)zu*^UaRfL%7!i|D;`iL#_%yw=rwT+dA<924D-92~dBub!oL zZ2-%UV=x49rjTR=K_@;{+UpQKXKsVH=75n(%QiWLR&c-l3No1j@|&!%@hdo@-l6R7 z;eq`bc~0>)nEcW_kh<>)v+62htUN>9*fur-Q<53$C|pPpdv@Kf9mS=@j`$HunaQ&I zR=#^76Ca~A7qHu}g!b&@C(Jm8Eb^hN^m-EreuJl!KVH&}bm!4Xm$w_kJq5iw^WEV93fea&xMae-h~_>3AvjY~q{^x{d@6h5-ZjU>ZId zCg~T+%{1Z^f6v3CL-qA16;6;jA;dnp!HUpcbnkWgLQf@q7rox~eXQO39)?q>Vya)* zZ#=Z96_P>Q?&g}7|EkS>po$bja^(}4KTgAYB^nVgMwzrR?z0Q(M+du)hLj+155Mt| zpFWE$V9(LJNmQnhM!4U4&E|%`hXt-QWIRme}Inh|Hc z&WK_YSh3sBU;kC6qyh5U*aZfTMJpQ?3I@+1D{t)P2nN;qk8FLOwcwcX2ZZiSgo=Sl znTf$fYDcmit&bXRph4S=0&nax2J3q|B^`%KfNvY0*0;`^ros{x6daSN`D3QU>CT+S zS#p&-=YgD`N$~GuzTBfZc1tgH(|sppR}hRkz1;$OtNG(ij(2XN-`=w9Z7+5s%+>IXe>*JX%RB2cEPdsa_Zu z#^vqpU9XeK3$uD45t?$gMb=0;w3`wK-uQr$&cCd)i~cNB1f_xI^g=?A?hVuU5Yh61 zWA{gj)_-0DLYp-m0JL$0HL`Jy&Ck*K{-~tO9f6|Thu0S4if02auGb_9BKB)iX+*)G z{Zc_Y?`8Mp8YUr)uWW!)d(KIO(T;jOuh z5NRckSN6OKY1Vm}LJR%04E-t|+BsAaaeyaxNa{7(=s$HD!i&tKn%X?iNN_Yp6BpbW z8&T}E9d@4IFpexwe`V7zw&}Dbt9m9iTs6GAp}{<*(qj0JabVIAHw3pvvZ_5J2<7%bU*IvfuwW%c*PR?ATk))CGq`@ z3h|Neiv2Gb3&f$Ko}?Gtep4u~&-+H7-LCX{v|=P+S|3QkjW*ktRD9N$I!GGaLN*Ah z`aNurO#>ipE9B%K>CL6eDJAfcHqsq(nUthBU*vLZX5Y+qu;q@qmouZl0IP@;lh ztFwE4f-{qhI_tXYA032mMy%vf59b~e2>Ek+cdXz6-2F^K=R*MrrY}DVRAA{8s9)bD z2qrpOS^OX=735zt&L*Ze(1&m>uKmdkY2(u9sx7w970>&`aRHsGws-;%lP@XG2%D1oPXfhAqp5cXOT7GQScW zI=Fd21MKJ#TmKXhsCdwvqwpewA_=mnsOW=V+lM@#zRFI9aj($$(D>h~1+3zX-RznX zsrcIlK+*m$Qz#wR7Hqy>$ra)e+rVMfI648OMjYEVhf|uxpkRXBE+;(-o$h&fgLEBn z;Bx>oW+Eh(S}Ej=Z4c9ki~u(H^@BpXFw2LG7+redb9(g>*UovW@8tQH_8BY2kPYS~ zU^0|xo*lR;3bW$iH@h~tT$MpNnPb!E64Z!o-tgO+se3UfK=L7MA^ji7o$$?m1F(#~ z5~f%%AA6p4$g7%DeJ|dI^Ty=QilvR|N3mBrOV4Y<{&hb^2GDv&w#Zh6?u4RD%S^>p zlyCPt*Gb!e?(tOXwYo;~kN00uBD(%I(y$8nU1_JcLCNag9B-Q&cX}l4pjQ?AGPIc% zcW5ni*SnJTF12`%lzX_o^li(Y@{^A>ij&FF)7Ry!_!(O#MPQ%2a>2XryK`{h9SiYP zG$R@*QIJ#i20$KA#U-J^Oh-`dw%|lk15+En_N=li&0ba*Sy>y---(*UrVI)i)VDlc z?QUnQcQkNHHOE4tPDJS?j4xw)P*DqLYN4JW@yD?t#x7qWt2hEOzkY5|jkto$rWf$( zBN*5k1ZjCxmd5HUlYGcoVO!aFv;Hhq?2ib9*nbWYGSI;A=$*r!!%YXlGCLqa8+9H` zmzT}sBM}B}E0Zy}Fq!=F@p}qGxFOzmZ>sxK<$Symz3H#kcuyLT#PjDXVTI>^X2p`N z>{eH8euiih)9qRY!0oM4U8TsY%YBOxn;yz0%@(mtKJl_i5EEFoUT9)oxAh>j3|j;T z|4ai2dLpM1PO!AFeX_Q#)Ukasr*|#TH0D$P`yVaRZ50>I?VLq#RKows3^7!^i8AY-Nm+=%kuI24E>YowY2OGA)FA^2Ed3+Oy;N-4u8CPip$%M}|PbNTf{b z{z4)f{72OnDE;Y`x1ZVz_N@jHCD$%{+f1v=vD6y3N-_;-6)^|i!HSK;ZV3SMS8ivN z7oPVqJ*~;M%f(^Q#g-!&x@TB&i5W0hWtS#{Z{KhyH*zEX zXpb7<4y_TSUK^cJn>NFpM#xK5Nr~IHsho#o$qshq}V<2}&!M+36G+<_P zO?$BL^D!--ZktH@?#IkZ)Zb|8m+|7=5iAM@osfO=iY;&nS6dPyXZq!}d%6Lw99PJ2 z+F7RHpd@o-b^t?~0^RQ%1TSZpBUZ>DI!>+=4Z{%#uQMKnk9J}kV9P@#_r(1oPVW+5 z!9L+;Tq{vc-bFSg*Dl;B`cmIhijuyXtf4F3D0|fZ%aR3a=xzo&NmqjVXT_@AZf619 zM(}-$m?FPsna{1BnDJ`;4~`C7@60oL%4DrKR(os#{H(o}E(%?jP+XDNWn#G%`KdeTgtXxg1@;<&hUPldFOOD|`MX zz!lXKy*|nQEO^BT&-NP`tOvLi*%%>g^1tj`#cC z2~4%7n$zQqp!?0u>m`Q^dM>n68IKO`m?y{90ha%9MW>|0S~ueKTB!rFf z&6ACYpe@o2hw)2d_lunmH<=NDJq4(CTO<$M^t%eQKmzdg3O7qdQvL)Gz zPSvG0XOOaP){Q6`=zdSfDn_B?(Fd#jt^5hIf8?ewC2|Dz-Ag6lPmayWgw7Hpz!o^X zX{2FS4m9}cQ79=%&vHgR(K^<;x@@$_fD&v2X2{tEE=)uGx5Rg|-in4}r%i7ILaF=P ztJ%R=rsJq~1B>*$p`5*AE=*?2fiTQ2JS7N?$0e!wEN92Jj1b`g8+QK8fOEg4p_37J zNeYe6MSht!Xmu=OiYq1~|Lj*1h$1lR9(0%cIYSI5R^Ow?ScdP99p@DLpoX@LY_nB+zY=UB_$`JV?^!t^SM+1UVp% zf$ik^Q@?_W3iU^$hvb$Kt~H^mW;u_5qU>L$JIuk;Sgsv3L0wejf)b2(T+8IyM^yPx zmB0%3TU&CbrGkQA#2MH^1}*b1DKR$Tg?O{LD@!=H>WXchE7!5uG>QV_o(o-Iy(K8s}td~6K^6&br3(t#c@79#&YEkp1r+igBi0D z?6!OF5u_pzQ9nknXH%!D@HyG-)Ut#A7M2?rCR0fjEO?`_?d#j9i>)p@Ft+PhUPbH3 z%n9l{HD*AIl!r3d-%juR1cE1|fwZaHFasfAczsDtr$-}30;%$osy^s+cm+`_YZrjW_ zOXn2>vc%Ox!8909$=O8&TB6Ot`3t*nnO`$iDH9Mh$QZoW*Red7SU~rZG}_GxcN>it zIiBpdcQVHvc6I{3u$m$n)=HRhCF0NwrcolzOof8e#FsjVPfv?VsvSEO$uzM7&YX9> zGZF=Ex3$(WV`>ypH?IUjwSzd@_gaBTD+2MP6-gSMbvlIXA4axn3z7MNYKa7aFFM+oV9|>az2P zIn}B{DSmv)!Av+vyo+G4==77;cZpaCO^?m4j<57$2mExFRjWqewgQlWHOQDHiJ`0U zlZw{c4U=px_!$vq~4 z$z&wk@BPb24zV6`{`yGO9~-g|DV80w)7|;{b$9sHxI{G*!uH?M0Gi4%&%W#UFlo39 zkLLC5PQl3<^VhGt{{M}R3_bbwA08KQIFU7SkLO@9fE>>M)=h*ZIIErb`yn%KJ^sHr zSx^3dKL!X7?Vk-Bu3`KVTdzlYAnROHY1yh{k+Z2t5CSzP_D{1t-J?T(XTCchf%F_q zNuv;1u0kB6~|0!}~>R5E`u6wt7^X`BusFmbo0dUb5 z7=vM(d+Ff6fG0=sc#b#!tylI$EQ$c^>im=#g?Kvm`ZQHM(YyAB7)A#>T;4DjwA7UV zVyP43ELE#`{+CY=YZeOQP|Z<~aCF4Jfr~7Dy&MMS^>sY7COi|Wxs~<;zmfr}M?C$z zo?_NRYgH(iKQM|np3ec)eM>k@KwC77KGD$XxTu~(Sn@<2Z1}?8#F(b~Y0ZfKzP=Dv zh097m=017e2dKv$&$;3EFFUSq7r=iXU~^7VH4IE%FETJEGWpJesSDB3v;2pgqq+Z~ zzcty<{2fItoOew&taUlXsscd|nr;Y_pF%(oD2Jc#FKQwj(A}$cfp<>w>=m1`=g6Y> zT1`ls+8RECO06DBhx7lXuZxA4tLNd@gi7yi8e2h8{@FHd$C-wN_Ue^ZntjzCI&AK> zoN#PpbHWN#2a6f0I^>+Hk$5}RA2TKnGcgoo*;^RpZ1h@rl6s0)H~OFS!DFo>Lk{r6 zYjSb28syRrBxGeeYZb|R%IbQUhR@nO!M#Qa2V4IgAy{qtaMBJ!3nilb88jlKCSE?? zX=DnksH1fv$Cz0&@O)_C$B!Q=(pH-~&zTym4D5HUXeGPAY&*hOYR|9S&Ib|af-7xG z-~6w6|Dn{>6LG4Y4N@{xG1EfGpM&WwPbJSD0*29NCOH`E&=&2U7r@DZVn&I z=f|4IHND)GAgt&#F+eMrY#ecxciU1zi1$Nf-X^{^LQ`?iEef5<$`%(#+a_-Pa=?%=+%kdj`g1^l~}@dsq?CZ zDe~01AGykmO7rKPcPUou!9W@_A(6GOqma2F~AI=EZ^ z9JSE!+nge;LS-AQLe{hdZ?a49Fc;p@64kDF=;j=CMTs$PM@zP8!nHCpKU-qp1+k^3 z(9w*!=VdzoYXeh{902?V5JjH7B1n!t&mOmoi}l`7nA#i_Kz@&cY3#oiui11%D^j`< z$fxF4s&yeOdpHE%f9sQeJj%x@@g~KJ-MD5k-2##*r5j^$UEygwfXq(OZzW2;;Ns@? z*Pv#tZUD%0=LXf8dO*XrURop@)C6^e)`!NzWGs-4e_UWU<=Z#$7`9*^Q;hvVCL+Cm zg-WGrYwRSfs^bE^V0Js7Qki^Cmq$gO5rBq-3H1NfC6g>T^pNQpHU=5gK|3&MxjJM} zok%5s81>{y(U@O?jBUY;W6S@#V3)3=Lvq}o5j!rc)>Yd%6#NBMmSEEpOK)KlMj8il94;xqVe$8;A-Ob;Awe#s;AN7X> zl+#zMrm?OYZLESV5_d7~Q6FXxai0dE6*rjjWM;4SQw3Y6D(*f{Z9BZSS#9^MG03>O zw=^MzTNA3{i+P^A3o<&Fsn_GS!i+(iLk$=d$SrX;U@%Rbl;kMK8Puz^X)EN9*_&XJ zN`b3PZlcjlCI-ErZ!9vgg1d;v_*RBLhnwgP(oPx(?Q{vpwX7&qlA55DCP8YOU{WrE zx-1I}*{?#GCCu_SX*2yIdJbP~%~$|f@`eO33}NlnQ}Ex*F_Wg?qIR9@>2iWDm5?1V zNHvpOkq!CHhJ!FD{fJwE+fjCEN=h%3QvXdG77GExG{khWI7>|$Eo-fGt}#p_Tz*DW zoYgcpXG)GbT{3uy^0qsRnE;Bs6+V%eqj$_5*-_D2^^Ncew)t^QdEEQDFcy5VqGhLN zQtV+2YUQty%s*evAfn{=04gAC4Qa25cXaB4`Ae?5XqG(#h@nVt95m1a)ml`;%&8|S z8Vw-U-=d^*F2hY9?#{5uHj&Q)SyGA~Okk4=R4Y?#CT;V$~ zl0JX4wvV-#Wmn}T-wAJGR=dy9@NQqRERYY_%#L9{dO*>j!QDhlik^^I3T5F6534s>8&bAk7b8;Y?UQa6qNama^K22sxij34Bg?7zHzTEX-jCXP3o9|m}Soi)VTtmTZY3oK3tveafSMxO19x^?oS{P6>Z zLU91TFX_?b`P&cv3i}SJw@hFgjH#DIE_cUseRL6!jv8~3nmdiVBzr+n(lTbI7nrXy zS#H^td8d_CFyzl9gf_WMr&AydoE@P$l~zS4RD!S11>@?ZOXL5swNPy$9lD{G&@9|` zHh|sd_JfSiZ(S{m19pAu3CnykaCu9gv?7-(p&8j3>67 zJB05&cNx`6E%&s^9BMw?O7#sYTfeF>KsBC-2=0*VEBtQQzR;Urk)~d-_u$Ih!!k$Q z>28HMNKnvix5O>lizZ%5@X&>u0e+#_!bYndINW78kr+a8Ed@{!}(B*3s`z>Uj&@%vh;+ zKiU$-1Rm-^6rqLti8)Zp5^*N@gW!!Xd2VpDw=n81p^q+?mH6UDKRga zrya*1@G;9wj`H~23gwEl;&*H|N}E?d#r$$zU9x3N2j4>0Io%Ur?rGK$)fOaXayjnD zt)RU%5Pb!tfeRd@_%pERt*LK|)x9#5VL_T<;_XTs7~udeZ|O+#X!2q2T?!P5*NB?+ zF$P^1a=TbZj$9_+mL%C=mTr~B^~+WAWB~!v%Dc&M`ib?Q=$S8tqbih68AW} zCpT#@6Pn}>a?1?f=J&hTMdoWbN?+eDtA}q}2XkG4YsH1)9^cN^sI~Ys_=rOOWHrzF z(}EL79byGuPwvTaOl7kFo2ohNz{iS@`eR+4XnNIB3QlcfAKDH(pXtl-9({4<5$Ig< zL%OI1fnM;?tAA83Y(Uj4=|*sFHG0PF5q`d|Kj2RrD`Zg#ya;REfPtC!?oN~)HP3pE zS%1QWEsX6bVLl~~T0Pye^O{j_PN`&SMCmSmR}|u@yI{Xv5rfKnNwzVnE z`v0onThi&xJER`-)}5KjRzl!l@Er4&j0?efLn%{C+c+o|c|LUDd6DZrZZNO^gg_=L zVT$aBa9@YmAH__&%r)k^<~4Zzteo$<)LvPmT)lTq*YJ~?aPsiwvEO@a8Vja%B4eN` z*2-MlK2?5;o+CqI*+I8%(WXPv7esGEsS|pBN{&`>0Ly|OtF|ruwT+7$_`zFu&Dy@% z%!+QERXqvE@u6BVqe#rrp+b7hViJ(Zk#kRxX&g+J6G;B82PKV(ZRw2ktrj|#*+eFR zpxl~8+268_cxu1Su-{&38u4dv;5XN-1Ouk7(8Kfpq0K}E=09WlH_zdubcYRh;|e~r z-rNqk9}$<0oELvCB_%~oW$f57VKexSMkO;|JX4zb@M3&sVb zU9D1`1Q0tcwa1-?n$~L`3pq)&kGH6qbXlszB=e)dkz;am(kCC}>j1C&esfQX4hp^> z6*(|wDSC#$>TtK5x~oIT7b)Fr{M4Tpd$#U%_Ribb$F&H=!xZ?aPDLPfgJFdy$!sUO%dza!6K>3@Q`3A!D zF}m64aNjTQy!05wiSZNO3%9x#F~=?OYe9(v)*4@}g8Na!?q2Rwv&vK9z_1^qH-681 z#%0j0t+Oe6oNB?#Oly%!?s8XoZvIw(rUmj*D5PGK^+2nRdcD>A z6b~I=NmVGHprztfRwI8Vx3|r_ltOoK^^E{Bd7~16W7b+A7~sU4tITNi5pM=OIu0B# ziqjs2(mv@yV?J#~T+n1oy-j=oty9gL-d%0oUWgih=HMb5E2s!GpWuwO;R4q@-YhG5 z`$YTa+<=OBeUm5V3Fb_+>L}+IzSofbYhft`^Wp(Z%1wJCy2vd8lQ3MW*VvyAN7D%n z(13*#(^sK!>VzphCDdL*T*%Tcl+p6i&Q_46_JQNjz4aQu5^(3+Q1kF0<@dd^;kf({ zcl1hpzBymPM~@icgV>Gv-D3|K*SU_(4L;7NTl+Ux*q@5LeX-kPVX;|m7LN2gTc;ac zv;PW=ga1_j?EiYr_K92qGc^ zO7981gY*s}y@nPd5I||6_kfhZdnMp~@BO^bpZEB_ee7Q`Ge*7eo4zh3c8%jSto#R&p2eMvi<`U)U##T}NLp z5!h1;USikdTKxJvy!};Z%ZSNy^y!%S}TJMpubdVoejo<|{i$0#!p zhiyG(1W+gNF2}vA(M;at=LyQ_30Ftb< z-mH0iq}5_K^qLEG$Niu*HZD#GD8A}x5e(N;we@UdFjh+1zSpBPW21+tbM;7XO%j#f zx_V2Ji1R(Hf)kUyw=;`~@iOCJ9WDdBVQ=D!|XeP?P|5EzO*t6P7vi&D0_Zg>{`MXRU8)b364$ zbjNGK7v+nWF&js0im?IR0`)~zv8LU9sG{W7iqi&>YT)S%8cdLp^`+OE!e`f+oU5eR@>I4`x;Dj%&rZ4*cOqD^Qzp7bH=p;|>4M{SIc9 zHCq~bk2$<#R;P<<47EqTT>jcy!X6nGemGeSP~ckq9WSX^Mm>=@=_1Y4ZCLaUR+PBG z?r+vV-?A#>h+sd|3GTFERk60hi!(X_*g8FA;327G%z!(lO{f;1Dj#P3ctyT&EAZIhV8Mt#mUBM5+|Mw<5R}ucd7QfoNFd!QLL}kAn5ufX z=MSu*&Q2?ElLBLIRCGZT`WIIFknHCa8yl_gM=goP$$|n9F5~KC{UHEv9Y0lPxGgE0 z!It9*bV7*jp-QW&%r(Myy|GUycxCs)eBaJ>Mr5Ve9Vwbo+kISio5DaW^n2)Zo)i~6 zBmTguob)Fz$8d~7nr~M_rL_#sO1mVTA*J@HBeKEGxeHpph&o|c96N-&e*2lZzx5%W z7$(nh3ASoWX~G#G;;kZ=u@U3t343qU?x}y{R4yNIaZxSMXx1$x~s8oDRhRUxf(g4--h{rqZ6&9f;axQsGLc@U8tREsz5};!njvAkc zdh?UTA{#44qs2t7=SJ3Kig!osDx*r1I4bl~>QXX+2|_^h!E&A=9pEOZ*mrweF)!2BWm^L+E*+fXNue7*)hNh9nDnaQ0#NPdky^@011HVd<;FG zbY;BW!x_!X%nFI$3h?N>rY$Q(Jd_GolC4g-HX8L&6{w(PI`t-+mudlRe1%ljvg*fN zjSNG1WBKtOFO@_xJ;i;F`ZEQj`0P+jYCe^L=@hj}Hi%XKqz5&lxA~m0HR^d!9ziO8 zJGaz%H0yWB%=HzK`}DW+-}ViklnU5J$(!y6*d3NlaJ&SA>gR2sWo(Q)B$%U)pRHdDV-J!`s-5sV zYDj)o50LYZ+$)WLEK}D75@KDzOfGyHT!&gwD{8N;)82YK^FEGZ?(s{^6qz$P5CwKb zobZ_9Z@>@;)HZ29XvbE=9Dt5rS4R3Mik_cy{?zc|t94`UkH=NTXIQ)MGNMY^=@Lh) zHvODXnz!18+od|5YJ3phT>fm`n|t$!MdWLl*WOwd)Mre;qw7L{4oM#wsU)yXIb~A~ z(UbP|Jp28VgYkspm63o-_}%^AZg~QZN4^4jhw<0*?3msTh)A3q>erljA5!?ZEOWp` zPDmyGRCKS}3W;yTQR_Q4wXe#woa=YZcwd(yY-Xx&9;1-BQ3L@F^sj~0V#$L7Ug^JR8f9|D!<>6C{3Ns2Cu_-#y@84IU3 z?W}V81+6;V;5yKEnWrE<0iGzr1#9s{ls-cLOD}XreKXVne$mX-)cN{F0n=Kwkkp!o zqt{&NsWVd6(4GFSii^#NSl_~HfD6jv^Sy61Ot7<8&WV*c-q`kaXphL$74(XUTe0q1 zmhkPc@f@fvdfSx1RbCs=GvzTQ%lYR|dtpOs;mfs}J*cq(-JhNi*ZLUleN*`zn%r0J zdXb|q--^0lKYy@X*K?IS%y75#dWq4K;t+ z)|>3iy1%Afapl472*j60xWN3HK_f$7)gdZp_(auK!7w|b=Z|<^`@Q9kiIHduHCb`7PF4+Z7fN^``v6x!UeKE#$HXSCeT4nx?ZholDPMn z{=>AdfGYM1;Z?CQQ@?zZ8Y;Ze^i1(6to~%@O?T9v z!tArm6KITyIhkHRQ^S)vU+hP{pt^0l#cQ(v>)VK zB~Ly(gixKMT?b-{54TD9qC^sad@W13kURI@JTJc_6&(vBG{SH zEG|4qY7_Ex_+DsG9eEk1Xm$LQG9=|fzkv6u&dHHH)Ebbj@AgF~c8XK=irt^_B-0^) zDS1H)yLLrlpU8JZNb67Xm6Np(wV|={9?|z8(L64U<(8IRva1V4@$tz3r^vs(-GA>j ztg+84x%|;Usonr`q@_RVPna7n^7s^}&Ey?DI0eYJryxFH7&qtx=o2L&*y(aXtY>TJ z-H<>^0&%cJNCV*lVeMMo35CQ?z`B**0gzqC?SYHeit>Un*vXNM zlYMwm^;&;@W`iUcgvI-1Et3mjVHej^zDtV;0C&E3I{br2#g$Js$RZ%Siah3g;rivc zM7n_duphk}&GL@`AdK)%h5^W1v=es|K5U*<2QG51JUg+n4Ti>Tf%T(tu2IZPJJ-qJ zlbo-LwGCakfbo1QKWpR|qndS^Pll%~*|<3f`X_K)4hU`;vi9e_^xYTRSWa3>TPwig zIdr>qhC28-{2L0GU2+4W1Yp3+6n5FDQ4YK?fMyp3pezQG%8@(cC4llpSs~zak7NL~ zyL0-TZ-cma@NX*>1Od<(?g;(u@yb^?*Z;laJki@>$oFDKE0>?6qE zelg8OVxT&jto?RJEx7WeXL@a_m=BbDSNIm6aUs-l+qJR=Emc1$)(NRo;q)ukt*T z4rO(ydS1KoXQraiW$E>#Hr$tPy#+{Deq&n?2#ebj#=ozBdW-t(Sp_!tS?uDt0_9RsRaB3bCOPJ28THM;OFGud+~CoB2j76xadZIN`6@V>s} zqIz)c^d~mY^ZhA{@ZYccU+}<8o-ig(rgrkUWN?KFsci|p*Ra36P+ydH_1Yu_Vt1ka zbqL0DaxrU+EiSXhVZr`)^jhqj&QR_U6Q|w8fl3Def5|>3G2iADT9S(;I2{V}ZK)dM zIbLC7=iW?x1oCur-1@{;*l``^lqtbXXfAO1VBnc+(R<10+->3a>zaT4xYj-)UIXJ(0+M9mnlf(zXqjd0;hsuaLV z+A-21l|qj%QQUcX6rEc@t^LgR#T(Uig-!}+;6`oJcd6TwaK(b+z3wovMe+36gz5w9opT7gj%ssq9vYOT z=Xuv57oy>ph!z$LwzoY!e6Y{c9t$P?)GjwxG2OTR{Psc_@wo&qz0WLp=X{%o?7oam zj9c2;me2B#B3<|RsT0NzKIDw{PS&$UQb=Bm?EZKNph!5~{|>tZducnQ5xUK$$SmHNeV%JOveSqs%{)glesVz4f4Sprm*zT_3O$ zxLfjll2Ep~!%>a`$|+;1x3T`U)fF2g`+5ytO(3@c=#c>;#h7*-7WfFO^@)Tpfsd<` zWI_F9Mg-GE=O=Ich%d@Nz1wT8b~C*&h;U(c`JS@SB5ODacxJhO3iE20Y0$$V^GPz$ zMb1T`vG|lLinMfm_HUhKH6iY#|}<}3rDAh1ycS%F3H7ioaU$Nlb`r0w%2lUWOKTYQOEkq zJtJd+vV-X-QxKIDlWd%IT^+d|Mj<)=<*~LHYS6J^(LC_k0s`C*rBF1yj?G`sgU7Qt7O5K`E?-Zc^CpFHiz2p9g0Mk7AcZmuhPMfx^ z9J1x34tXA2m~*T5=x!Rgeodr+IL_H}_6nD$Ma54WNn>3?ytPI{vkk=XW&~2tdUK(F zj>kNrr^ddz$1(-z@M7!*BB!C$noMXX62{CqN& zGM8EC25o0^UCtl$smm^sA~|k69c<81F1ik(CFFV?nl|nJspL1~`DfQ*S3&_2F~R3Y zkYupL8#-iL%k0Sh@W`hZAL4uCGtdU!SfINljb4Lr;nEpUj=0JJDU26C+4%r{<$aKs z8Qb-U>opLsd;Esrs*9JqJNXphAmMdQA#(01$%n1BMDz?KFf@7|-TPytQ_9HDlC!wn z5N8*onyJ9d6`!^FUvL}sM^;P_{$ZDorowrHsD!#~HKK(l8SN?uoLqkgMdVUzgY;b1 zO1tAH%qA^kWddz%^BbjKXEkLGHc+IEW1NDYgW1_iGWlZ;Z~b__LUWhzMDsgH>W*YP z;JXd`LE5-2#h72%!Fg#O%Fj%Mb8C~PM(WrOSJMa!Q&d~ox~pkIW`mHGZ!7}7Yh5Zd zZrOPs@awxbD(bBVSFOZ5k#&-3a;ouFwgfpKZN2@Lb=m44o^B^mcROb|%XDmk_h$^h0XE$O`bfL=>@cfW#Az4fNcsTsq7EX*^@c6kQGL1#9b1pMn z_pK}So}z358z~?0y&1KOrXI}xtcC6cDv~P|_njw;Aq4DCKw?Fct;M#&D*8D%n~9|R zV2$mM>sJZr7B(5V)sz9ueKE+)VO2|a*F2f!EKB2Om3AqGs7dhKm#vQH)|Y7&5IEP= z=QD++f}4ILV|NFN+5?hLayi{Zcfku^vrQ8G^LA4X4VQWLG@?b692 zqa#186fg456|ZT0HQCtQVFk?(3ri44&P89rJ^OoCr8PlW$Aw-_fR?ICnAasuWUdoE zbGM&$MI}Nm?N&gn2gakWf9N^^>614n1kUb+MUCHnWN&}s^4Y>r$uL0_J`{Ay(|Z$? z2ZZ{ty%_Q;dXYTqKK(3fX(F@kFg!PGtw<|$vNT1;0hA<+{e#+WOH&j4yX!d;*z)sw zGGUg86p*YT3*o}W^*E^ZP%J7wH(%8l=U;ss{V>gG;Z4ssV%#zBZ0Xtd?a&`1uh7hx zHYun>!04!}FcYX&(jlEKU+GE3y*rZB2leEe`Mp$&CjSafU_yrHU+o^=EgjwK^MA6Zx&|7x|@ z9W#bZ6b?q+6HGyR1Q41>aogEen(<)h$t1a)g#**}?r}v{f2g+EseiQYIkK`GwLJz% z0IP=CJ?3;CR$~Pw7e(>DD^EgN!#PEhyZ*`^$k&Iv7YG;jb6h-K8#Q?zS0g?QV99YY zQFNg{rg?BcUt%GcxtP{A2c^{>+^J#TlF*~B7eyhsG&gGMRDl>nS=&}jamQHbJ0Soh zJO&jxtRv`0P_`&>*l_LZW!N0IRFZ(sqVLr&YR*ZuUiF9OcJkoEqr>Ioh~Cw{H$o!Y zN3)gs=F2FpzRHa8b~B1QigVj|_hvAcMRK^(t&6oBrr8S8x-^%zxOkLtI;e`Sg;(=)>fhJaa_^DN{vhq`^I+o}d0_HoRPaGD)F;#RrMNm3eu`aF zOm4R7TwkC`uVS8!y|p?yMa_WngD=kQ?d9#t!4TR^wbc_^A6;Jovp^P& zM_dw?^j$<0I<1AxxZ>E)O68Ce{7RL8B1yyJte6Y2Y#o^#yJ0Wm#OpgWIoDgjJk7+WUg`B(8B@uuHfZ1I_E~s%wi0>JYD}@u#j;FCWr6n5 z!F3aUobg#DIi(H;D4^|kCeZ<}6P#}+T&hx8hwSbayaE+`P~w!?)wc*I-bCcgIRm*G zUP4_!ZDPsfEWQE9Q}**4giM}Wb>6FpA7B%VPw1t%HGiSMpjUpcBvjpX$=QI@zEW)5 zy05~xJ=^K=E>FKZFL5@-_9-gz8zx)DP1aPayCy;Eu00-9bhv~Qv z(VKUPToNsx%KB}iv0d%qJxJ+z<#~_gV5*88eUn)e=!p{bE{1Z?Ex5(~cA1w;P$1f8Ue?uzJT;m^OSuowG-mWynkur}o1_%^asO%=U^v9Pvf z=Ip;jbYN0GjE<`A+nss^OxFoe5IGiG!7kkio5Ir@qN#A!e(nQns{g7*8mAt6gjH;35=CcjdWY$6*H}d{T9WfN$gSmOW{VKNZ{rJm4w3=w2xylK0 zwCl*Qr}o%V6x?mQ_pRaDi$*9eAz#eX!`Q=i7g7+# z7&tT{W|8D;P+s^GHBHM$n$g2R%Z%<--YN zQ0$~2k0ukPUu4%HE1%XRt|iy`4F66=fCDv^7n`2nROUA55-CcI?deV-R;bu>`FQxX zyU^}SjY{oz$gC9)6QGGm@pzj?4;tR?((4Eu5VWiAP-=6G`&tftUDa`ju>?xb~J*MNMK zC2IQ(&M|!x#<}j2a|C6Pi$JXJXq8){j0^R(9ua8=2(o_rD05qS4iPwek*2!Zo?CL$ zD9N2d!le7U?&slm%0geY`E*pUszNQ{GS`{9##Fth8-5PSRVXGlcs0J`{QbQzrjlhi zOn28Fq-UEbR^+?39g()t5ZD&MJSv{tNAv1#cJ|4ySSN+_S-9+UjRhH}>V`+Q4DkAi z@cP)CWtH7J?m>}H+;AsnZy8!-NCnzNZfZ~3AaU?#-E`Vw{q=OkCXjH$rFY9yt1C8q zE|(?|A(!!<>m2?*I?L9=0PcS1%hLNvm*$+`fgawR%HEJ}WmKlzi+km#tW5BFsmc;z zEsx;=PzGoD>43<(<(x5r)FU>TVuayw=LLbe9ny(3S{|6uyX{Zv&)R#veH>>e_v$uh zbKGI6$NqYAJ5aBNoZVxWA!WGln!Bp^f=71lG={|sOqsGx93p`}h!iapk+D6Lu6go6 zOd-sNPCCkr>)t`Jqo!wr3qArHNvnVCuz3}CLeT;vj9|JzJm?9{MxQ2aU z#vi{ZwVo({?#-l#ni}NR`B(g^%E2ELoV0^J7HIrZzM(qm@p03k-X|5ts!jWddFe$M zD_6G6MqBk4?-++8+o=Uii3d^zF&?44kiO(pXtp6dyTcG8Mk7fPQrd-4RP}@_(t52~ z2DW&^0fqBhPBZcAI68JJGRr=x+vcdU)n)?m&2-j%5JZb>A1q<2en=sfRknabLPrT4 z*Db3!>mDY?mR4YA%fli`U=+eh%>zXD;BO9SFgI1iC|K`Gx{Z5@3~>E!Eu>akTgQ(I z%mavGry(+O97w40;}WTMWG|f}AJ;~%M|2&=uSs0qo4$pC_6V5il$2XEVq_OUCL?u) zs>}?TV}k_phFxIruUnnx>^((2A363nuioN;sWF?1T1p31nQ3-iRJ2SqePH>hb9rcD zIb;Yt2vBL0RGpl3^e;w~lY!yC0AC6Sff+(xJ(KT>^@l4q1h_6VumL{wm9DRvGO6^l zC&soX@3vX1j`t>lmUnEFBkz^Qv2)!}>s z1PEI20Rk>H)NT9=Y-<9T0T43Ff|BIp=1P5dV1e@9mo*oiaDGc*1Ya!Y|IUM19$macbFig(2zYjPdtBk8=u$%0UsX^ z0B(Dh4B*njmD-NirCQqyW|D_2YL!6sK(1WaR9-&sbHnxi>zSk{dcO4ELdWyguk$u# zEH;j-i^KZOwmBaIvw0xg7uE7TR9C~8CiATiOa3;@`k4f z$>g5EdN5@Kwv#S5njCV43I;s*s7iwv%+_U(F*ko7JN=p2BbzGf$Ed~rb zLJF-5<@5LbSmyt}J#{Fov4XajrG0?i;7u$2SP1?ld`NMhb(2AL$4TjUA72$?XTW!5dU^g}wOkOo8rJ=XLG5Y$8^=%kZ*6rEWg z3tAVAnwg)9fqlYe4=Jr;)LkZKbH2s!?g?Lnv#oo4LuQ4cNpX7fZ3nM6T0q#SjP4s^ zbxTf2)*dp?Pf)1LIgKAfZ{*V@JIj!@CUriNZ4F>@SH^_&u7>u;BpDxSK03es-tR}1 zH+?4i3M)cUc*^-OQ&_2)lL~ACKDlz&rNG)Xk>?M}p)H)idD8o4%CpD?i5w08>BA@| zF%`<1a9T|@5BQt(AAMUqOQ)Ps6VIJuP)43HN1OnrPMp6e9R@UIi-!`DN7|&@4V@>H4PXok}MWsQsv@ z!`ySds6#f>lTjTg89CL;yQFS4r2baRJaE&<-@`0^jbI&B9jIkldiVmF_59>j&M|gF zX?Y;US8U>t#`%?4;G%IU*E{qhQP`*)WUlEw$!`$t4Qbi zhdqA=u@9)0i{lD%HnU9`3HijrpPzVa^$8S-+a(sOdQvxV$!r|#tV!fR56Nnhb0=v9 zHd(`^1tFZ?N!!q8c~3!i>uiOP)yQqS8m{|lhn-hpR|=gLN@m5cH?`3h{qu-_fVAiO zmV4Z8y)rHEkgoatgX8b#T~gweK8#O{ zTU*;MlVR%p3MbKuI(=5_$h>nxJ0BLFO9YAxxZfIeusso4lhf!r* zf@LA)<$5#NPLU;C2~t9yU&T;|e?Zr~lE0nDM*h)6T*#26$`N}>ZKVk_Jes(q{o`eD z8k z2zEv~gItCdySi|>FnX{Dvb*)1%Sm_P`F%&$k8-PqIggyX=KCgB#-g6+y~`zV)~F9= z7<7D=GGYyFg)!`=EgKSax%c>{)l@ckchK zBCT{0K(hQB-ZtOHp^M-#%KlIBGl_8$3Hc-!`a8b}N7)r}4~TA>F55dl8C3bqgM3{W z>pL1e)}3L?kD1+>w+5hI45{oSEP3WtIr1Xna(ONs<&BrkR| z7x1pKZ^{UEjt+u?Fxxp@4Kn;D(QrS?{>92S&x?(~-l2X#YlEx4Ys>=k9m%||s$y1; zZF`NYCwKdEo`VaR3Kc!a9DTg1pD00>Z0_X5%?k}dT}6V0c9sxhK%9*N7>w!#_J6C>qY%XG+MPmLZ`81=BC?^5q7!S+~Cr1+#Jh5b5~M z$Ln`{JAZ5Zp%u!ES3DH<=(AsveBrK*vQGWI@>*oxly&15`xpJicGVzlPQPlx zkQ`H<_@{+&Z}s(Q8pTCzv}VinSIRI~U}~FOj#bbWY}E8bk-^FN)vISTv1m%1S4g`8 z3)I2IA)!7Oc%!xK#~kTCAn?}!C>tP@{TH$tfJ53!x{{7{2mX48@_$0Q{}0gBe~N!$ zILlPJ(C;)9VMW!}Qj-Ie&MZ%%!I}S*90B?Y03A}`XdV`F`B05+;h7;a?g87ccXvY};DR;jxN;|N7VS{Z5u6PCN5gF^um+ zT!w-!AaKT{I7x8ov`?Wy24L8RbNMfs)U+>TT38 zKsKr7L%{F|$LRl9Av?fc*q(JR6Rs~D&=k09SD=eaOMsbHr~BK$$8!M0y=>fk1%^f{ z@{Y*$))qv`;zafDk^^vid1W$Fhg?1+zP8Q1AQ)B%{$GBo2Bcjd(Cl;hcYKb;-@i9F zwM-MxYShtsuluj%84scB6whR@jh8WQqhNtI%#1~G ziOI4y1=_~ht<|L`XJkkmVKX}hP^D&$)}a4*|NULyc!EjIPVf;p(0~P0 zz|UaMV5k3nN_zGw1#{mq`csKn_jGqAxdzJObmgCcBJ^vn=1Va2d=^qs0Z_&v49@h% za8>J;%&r$7v=c=Rp==k=Y9f+kxSf#P!P#Cytfl}9G{pJTO%;RDeNHN*I4khALMSH+ z9zvz^ihnNsG=UBa91Rj91Slp7eY{J{f0iTEYjda$qndUnDzq_yLlzwTcNZi}|VXM38m3Vk38@x5f*EX++s3 z&occI8BHhXpoB%uNI^9kKAsYji~L(AiNZ%1N1cC=fwEn@C(>2_pF&^-zXa-CG70VBAL;*U-n{{ZBt~ z@x()bPK{TCG+?qRpAqJoIVN=8T)DIWIh2Ui8(!wq9m$_DC1pdG3n;HIFBAY|sQiCF z6K65=StGnmBk;UAfK$}gGMw00ZposMh*dNK#*NgmG2E2Avd-97QV`ekRj<_ku;V*H znMc<4@HFk7dWd<7W&P&>x6`^Gge(xJ(geV`MDDDg{aRh9o%9J|!0vNbN;_WTR9B_! zXt7hdq-gkIwq4u0Z77k+KyjSoOJ6Ju&e+|w+joP|+#ya?s%Th{>%i}cQbF=*$0sOX z@=Q6owD2p7MA!%<99KTT!w8_yc=Qfmgv7IlW%@UHw()>DAlbi&%Krz;`==4fj_j$N z8g~%c?80>N-C(p{Syg-C%dMrk`-0g^`kCDy{3`|pOP;Bjx}91g+j*QN65*3srBe8`-STxU{Xr88G=nX@7xIOGFI@4R7FUT(&_JXMU%gdp-sf?bWF zf1e!IXSL>A1QAO+Px9C z?fAsr@JJ=tix|Ggz335q5ZQK|y|ok&5}Rf_H{FtY1$ZZidYFs~ZJg#(wd2;Q$2$vX zzk`HXb(ouHz_-*WQ79*1$-#%jMEB`*zUFcW3<4*fCBP!mG!Pcs1jYLZt^q`hnwOJEsTk1 zT2!qNd_e5ZnV?-Krx?oV(Imp^ZOczS4kpwSgRGTN`8nfBrVz$|9-{>SaVBk&z<53p znh#j*ZMg4%eJWYQMxMCB1+>!(6`u&}rXL}AnC}2DzJYFXJX2Ho;o|Q{;3dp~k?t@{ zGqE~%=JWXe1~uHn)2Pvt+rT`QqcjU}$v_F$)T6cs5Z9s~vD&C_3>)Ghv;@xZOM-&Q zav5DoS;GTd%X}Ha4&KfZFE-th>+&KCkbL}Z;!9W8vpv@XbJ!^*-4M%K!$kB~)_yNC zpOtE5Kb<*zoNFKIxC#qdGt4TuCAIfK-{M9ik?{W56g@DUh>UM;BwKq5=(;%&>xk2f zehg9XK44c4)HwhNJG7W5R+LzSCZgII;#t<=@n^pco5#nMxSBoQtEavM*(`Jx%wKKv zzVNXLRHLeDIg5yy@iWK*{$cX9(hY)(?P+^kweod-&2*Bcd&8Koh^_lx`9}!ZBpC0P z--|L9i!rIeus>6(izgy~Sw)tAIkNZ-NY~Y9_$DM?zA?VqYRg++&4y8Wq}K=%{w#6%^oPDF%A*f~jL z=_-f#p1bOGn8(Fa9>S@#2mr(}hmRG!EuRmC7b&iS0n#tdkqntv4$Jb(Ic!36cQVUs zkdl?UCcqnvZ>6UxHvar6pkhbVpNpYqhN#~!v0Cx&Oa?lY^+x-hb{!@?s@)W29g0dn zc4TfFKT@g4zCX9;Gz+sdTISKqs6u*H85Syn>m9UsRjb7DLG5+Fv>pX+-SCJ}c z#Mw3QtpzXdM-Xrc{ytn_jJP(gEGp#byu_dUX1O9kemfQa{tVC~$NvOjcq@YOJ0JBN z;Q@G1H1R)faOTs1LY)H_K>pX4oqdWAjDb%%aen!qp$7>A5X3^k5<&y9i3-EO-5qCs z$BHRy{z%>%NCq9&R(wx=B)+G6$>ckZN<7ihQuAux+L-V!71-PLANTrJ!@j)wsV0)_3X}O~Mzp5t4Xg0f)4NgP0@T4aT<;2LCEc_wx5O7ul@WNoCvdSb z0#R9IG%M~VIdwsoVOgplfLANF66{2`c4w|!5|HCq8&$dw;eX>mf2}XkPMB+rg-p`y z_WAx)-N_HECU2w6ZcviIg3ZX8XA!`om>l@m+kYwpuMvm{#XQ}o z?6;hBeyFRERFuDat#>;o?E$ma-X)k>6@x^)iMjEiQ1NBGRx8@p3IhV(|At5O9z~xy0wB>z zGZcniO;UTXN^Q?tb{ZCjxXRWWH{YgU$d$44%=fzL+^r^+kjn8y_zotRZsnj=;YOi0 z7tk&8qv2z7|Ne?cW>w!6qJ{4cF7;QEdaYmx%gp-VpfDQMmt~j%3fg(@`;Nn}b~)&9 z=W}&!`r~)ZV}L{EbV5t-?VFY;Tyz#LK^_A`Zil-e1UunTI7zfPcBdmM9t>?9;60Py z>4?}zz}VL_o)`Yk`2PLBo~iBX|IeOjM6n2Ju1Ol9gT~4uf=`9>uMEoomuuJ>8cw9Y z<>v;%cGOXw)=%M4b2+(Q#tL=z|0{aKIfv|zLU;emSjBJR)HP}*;kKp)jM)I!2Lnk) zW`i<5Z2YI={LBkUj@CBmo!ycAkMaG@x9}k~z}B?+efKN})x_&D`wLJ(L!Q0-X|ev_ zy=te>1&}_$d&kfJC#yU~*9|}gnfzID`2yA3N5jH8L;oNH{%;<-QwEo(ocV3wRk45R zOaIq*0ea9s8`JQojt7r%(ac%98gAGJzNCl~VUX^X)p^xCBaJV`tc8kMd0h zUajPS+ev+g0kZhtFv6!u_?z2>lysSCjwg{DF5mg#&AEQu8iZ84??~r7tGqTI^;=Vk zfDLIz$>__;??oXmpKmLb5MR)28tRhjt$+W+=bQ7(K+fgOogW`_wdJi)g#GhZ29;r$4li&i(Ph}>X=wEVHW{nQ@)Lp ztOk{y#ndTL2-H`mksxPaHrD-HSKCY`@gYaq41{Yaj5cU&7$6okhltp%Vu(h5xf82e zLVfT4n9->5LX_#2<_IlMUb`u1JAm0dWbp>qL=0nc#uNiK(UV+QT@QGiu!@^y%I140 z-3vW4k=T|$PDQ?Sdq{S-@q{$!wWwcS@X4o@r3U1rs~9bci&!-%bb9%Q=oENZ)!{^a zwxhQ1_aWmETApfQ`OD$qbC#<09CX$OnjU>TA4Z2$;x-DLed6e?NJRiALq@>bT`!c8 zU4prQh9|7jQnTL?e@Lat%Gmi}d^A?x27C!(3C{wx+n=*cBOTpfvd0 z2UAt0E19iK*)n<3Vi+oZv_N(Mq)$e7a@r7sEbKoMOzH0HP z!-3WAFo|`a3LU0|fq-dH6PKrlpGR&eLI`J^dbJDoy;9+&6q9GVPE&v%6ml@*OCA~v%wtgmStJ&&8q zhSzPL0V$fQo=3KLzPIxW~3EX!)<>v(m8Ol;JQqO;&phJ?{Tx|U0x=Q z1~WVDNf%DHMqTf<^@#92J-%S|XEF4^OW8aXaK~h@g1_K_M)k(GMhaY9a9jnll~RjF zbPhogyakg+fV6w<)#Qa_ki|@G|NL(|CUExpCy!? zQNK8Ixz}`~B<(4?YsJ+Lb3~6-y|^Slx8I9egL%w!3Kgb++w-+O*NtwbEK7XHt}ZQB z*3hZN{dpXeA)+erVRz&r6W#$C0pqFx?CMHCE5wIQ?G~a$52YvqL|4G~DDYA(Q4eI6r9@Oal&WrQqF~Hy(LRqdE5l>v zGWh+2yElOJ)`t-3E4`=TawpW52(9Dp(TqihO1ORZSPgbIl~wRn=+Z@hzdSEy2k6Qc zr|K_Hsk{_frTJr~Hav(u1H73Nzg8culywMaY_T~J7qw4LtMQlg-I90OV0$LN(FjXB zHVR?zNsf>1J(G5r>$hzhB7!`DWKp$ov|eD{W`g)cH;r+g$6cEy2hs$Zp)fBBry}Io zSaA-2(6UN7GuZ5dnKETc4z!EMg*qzFyfEmFL{XC9mz^l9r+1OMu*(;6!7_eygN(S9Y;KW zv*7e|H?77oNLh6hg<7Lg_khw$`rIq;9}&`c=ADsXx$f8aFMn3Q9rEn^(aeP+#TqF1 zS#P~K*0+>hm;k-!L>6d_5Htq8ZB?E94LTlVY~#!gv7m^LaQWF5<7XYBi~l4KwIP6%Te%D(r0X6SzI z`+0uvalC)Lf8NKP?|i?X^}NpOysq=XtgPIx8q*Ew?X{BQ9xAvFm76z!h75OW@^L>i ze7*#~nL9L}xzxbiSpNf0AP89fI}F3U1kh9d(bbsYNKMPx@6&C3#$%R-s+iI%Av+Fh zIg8~8!eyCG;u>eRQam?v`M;~TN4wOqaWv+d5IxiX&{*-z0o;)w~P5iQS&mSs)g&*!LMm^

zSenzt~fwIc`Z6HJoo_8`TZ4|s&GI5=ZAE7#cm{q8o zxmm*id)DV%)34Y22Ei-W`_`VuB^VW5%|uYTDAVGQw`W&wy!K)3$C{CSW`8?#`lMDZ zoAzp$Mf981h$>mvZ?=@9S}gGBjtMHRP5usAlNF15w|{T37=Q?gwq|e&KM7C;{M7tY z)cSXX#RWR&PX`8H;+L|gRbQ#K3GNK_5OorL4Tx@was~bcVuI4njPcMa zcYC|u-hAWNpUp(7j0{qsrK4qJ=Ja;_PCiN2EU1vI6|>OXF#R?A7Qw^l47X7&Y1P3@ zx-754A3L)@g2PaV)A?Z%`Pq)u)KoP4F$Rw?437c6$K%wy&L@FPxwXIK&a+UEy21la zFuc(L!X0X&#;+Gp{iiT(?RvA%?L$j0>bebUi%?1?jq{k+CnPK|2yIG67!12>@hSID zr-@RX_btZyCRw}EvX1*@X}nsFamA`#N1O)Yt<^N}5TJfkni==rG4wGrzc_>5~M z+$14X&2}u8;u4|hFktCfF86qr(==6paw?a!Z@%z4Q{{mOzq|pXhzakma)YwOZMK?W z`RsRMD^d~%eLJc0L#CBKcKQ``ElYhX2{Ar3nH|;GjIkrPQxCQ&9(g}*FHXMshus=G zIZ6JoTTQoem>VKuz^r2GLC zrT%}4dIU~ks&*GPBdLKdT<=hZvePBX$MS*e(i3}!lfd8)Ylc7a|CQ!a2bxH8!_K(= zUGEyS#s36~;NRkc4R&~vfxgLM{LPan=wHz*zWydfP=coJndO7=zS@Q|*Z+CWTae}y z2>~LJ|7hq!D-8IK$LpWL8t56`)zOhC?X7bukOr!yUbQXTwitht{U(02@aqh6OcMf& zh%|OGke&eT-+`M+8=e~dR}kwD!2*V`e*V*fN6269xNL0fUAa}4yt_E|=g+^yrx*Pp z(YeajdHH_1)C|-&7_rm16o%!@$b_-%&aEAD;IAFYkeSdNlsF{}2~3&WWYFFe_J7#h>ird?m1d@~TPn0lB(Dv zeMCo7&o#;88xV)FabpKKC~fWaL^syC1rKUnw}nQjhS+KtIu+WffNB@3zlA!HnB9{4E zR%HSbs)`47^AQ%k*o|7}<7GsEyVQ0F+#7KAlIMYFA>poAVt%ga7{k0`>V3teSr@J| zNQAkf^ajMIsAlLb1#jYZf@yB?xb0lAe^4M}ndUR6Bt%?B!r54TvI3S8`TW}Gr)Q)R z>}f-V47*NlD|5C2dNN}9hD6lb@e!)V@M-7+D+1~-t% zjkg--ippZw9T!*9gjGGN3vYSWOEXm{ewAN{!u|Ym$>W5oQ-dX`&TmXBV8Jmi_z!^} z;V|Gd3Az)Mzyr2|dTCxa$}dV525pPyo$wVlVmZ{jt(d_5m$=)knO2omVtG=E`P_*HzzPTSz_aB8h?@ThkM=+n-_Syq z5T_iWF@J1~NSFYeqCaz%7~MqWif?~VGL5`Rh?#yg*Gi5gmrrGK;vxMUP3s&DS{s;83y-ciT^!GkX(led$A32e z0_l7iuk5qThq$RM8ILx@x>iKi^B+H%?PLfvcX1rQ1}htBVt+f9-d>u^Nl$|$oo1!-l-SkdpJT0 z!K%W8b5Ol6ML1${sd({kZj9y+vlT$>PT+Pd7K9vAclhel#T&NUb{FOyk8|V@gFiVC zoB(I|)kM`)K>n4vw%?CG2;m0+ijPzQ$>atKgg7{^MD{N1EwqU2HC+z*Z@JN7>!6bu zeFzNITefsOcy~^2y#HWvP;7augsn9mP}%rl0HhCi)nd^TA>39B6F&?kg)TQC2eEjU&C(jOT9cZ}+VSL$ne(!VlBU9HgYGc2=Hq;nsWHFC*fGWM*h&C@3^dmmhwq_8A@kK<@p8A2lP0OeRc5$z|e>#jT-ZZIHb< zq2&2fxW)xAm__}Iqu?h&D@UB@>dcybrtC&j;MWk{Igf#xOWBIB^$Q~f}pOw+WwMzNt7SrI1O}CH-e{F z@$G|Z-wPofkfY8b-n7S=D|mq`gjeeQBW&5Af0ZU)I{DO^MUHCibUbrN5=h`}*+6Lp z8jhP-ff6um?iHwKLmiKcit?<5~j(%mvH67=NR;c_R0*_-yRuF-U#tMIRD zs)x6&0<04L&mDr3alO`v3O?BT2J7f?`@6@6?kr?4?(OjKuvqOBxhLBVIXRb@#ix)f zZQPsG*W_-jJ-0NB0Ac*!z0wpV|CW5cAF1u1Jn1eZKfla(9 z=tR0{!pBc{7B}FF9G{Pd5(4TE&JS~Gi~vZdInWL=8@X}uUMAczxM~<6$sU$02~m8k z>M8(VDHr1yMM+n)Ey?UJtT>6P9II09Qh^BqO{KggUHkP7>tr9GgzZYaR?Qn`*CN8t zLoL12{aKd7I+iT>w&pG{MXzg%3^>f;~H1Zw{jNS#v$fo1vR2urFm-!Gj8nvRZ61EnC_MOr7YPB)q&l&ap|Bm$j_-i` zQ`GNL4-C|38a^fw&e6@b-RZat)CWIl7@7_-F}IZ_)sI!~U+;op24u7(g?28N^aTI!8o^`!xEROB%f88= z6$Oy%p+FIB*Dip#KL(YKn=*h#EFc-uf$2Zrfl;925)YlEy~Y;5GbS@;Khg?o3qUFQ z%RR!V6XUPFk2@y3z`!Rnfw<5#ng>V$@b?3#GC5vLkM}@}UV%p@e(fC{EfSEn^aCwi z7rm2}c^n8q>RSnf;o2qHB}!bnyQ{?~E9khiA6{S#acUHRsG$OZE(NzS|EV6mObGtV zAe2=KFdM#S$w}t=N--Jo@)wuJ4Jx=kv z{uDCfAISLf+UEQhynZJw$ku?|?<+JNGQ#bTuJ!04OJz>L9|h$ddz|b5>kkS%Jk0p3ro$@OJ; zp2qXuo6h{Z*!A)u9|`l4&uO#jF7~zN3X<*SW(4jfak1yk9uL6c*MWxcy73)X7}TZG z_LnsET6|h%1H_o5HAW$*rhSQ{JAmEUpy0dmK$c(TtxP0S#NRKHZVci5O(anG4 z&GnmCJ7RTMS<72f6O^>!w}Nx-cRDoJQva|cOR0uZwa+2pn)bCz15&f4V3MNqU1lBI zqZWA0!o4b^*e^r9s)IYerbrx`1MvE+Yc%|AQV2Maa+mjM7#5^QJVsH!-64tRitz#S z<@8p9(vC*R+pq6H#12}Ry{?c3$Fu-nfMzE(1$(6$4YZ>F^lT1^>PGaL-bkDBl$V6I z9t3^C;#MCFA$g1vSr!ik%POakdD^!K+^;IFaKkm{zjYV+Y$aE);`bfSv$L-a@B!bw z&aF{kZf4nvewr)IRvu)pBzo<7$A4el%28p{$F#0t3f;ODo!cTc@+OiN8eHyArIZaB zxnox#hj{#+z}>#=HzfX8f(%cWhm4OtB_#1&osfBcC6eBKA6qd>FFHp|2^#v)hk!DO zxIG|K()JVhkdLqP6Ey(6A~jKQXJBSJOA6)V%Q5H6Qwx}uNlPw9kIM32*a~yFVOuvQ zj}$Ab=%}w#Q1db?UM&JxnSYV?QLHz*DwJVkA}K*N;rVcWzA$waBh7iPh86{n0@i=AnP-{!x@QZ4l<3@o#6a!&3p+|c+ zEiTT+mv-u$EgrA0%$l~PnY3rC=M)%|?QVF`OvN~f+4_du+)8^4WfvRtSjg^!H9QM# z)=~>p8|9LTUyIN;pcko?XamH-AuxCQ&F>fU6=UpOxbQCH?0tjPR=?5R4hHq7}Rase6y_TJf9? z{jvDxlY)n4MW1+&{8EIBH@3I8 zgKM)3xbcc^QbF7JltLMb1WP^EH! z8FV1L_{-0<=-y$h?t&A;Uv0*{#Ff$6DuMZuk)k2RPaRn(1|md;v7FW0k5e91j4o7v zBHDhtB>(GS*UWC(qjIO>Aol>D%GodhpF{%|=S%Fdo`dOL5I-x>%JeNb$=^PH?(3qc zH+_8%GabljCQi}WhGGYp+IA;hP@aEdRo6v`N$wW(52FPnRfKnk`#PvKW=xdbZIQDJv;Tx zzdd2I05)@GGR7B>A5Td3x3Y2P_@VM%`*JBKIk@O+M@jb(7F#=nGAinxNde2n3;Q?r zrMyiX>)ai+Sg$oSK>F`$XVPr;SsvKeeWp_6zYG;aygu9gGF7BEA#9|Kwfk8FILRBq zQ4sfT@OslB5nA$2J&)v=wYl8uBcVqnK152zidZQcNg}rZ2lo>ETA#@W0=F57#ez%~ zHp|(fbI4?1=-jK~6|j?1w)KVHfxmjr*+k|#@OpKcNpU!M`ObmwO*xGyz1P}LGa8)H z@NMTv=JNr$hvA^lwkbfF(Itu@|IfMGcYe`3L+CKcv9}0E``Z#Ouu*zDK*{%v+O=s z11_KE-`72_r`oVyhH`N4`x7ZihIx)}Ey^)MIi5S>zedsS9UX3-I)@*l^WO_a6KpJi zp4Z;MdbRtmZ%e;dTv<(iXmsEzFiH{Ss~yQtYY}j*$m7AePc22JeRW>{=CnpQjf|o98(3g-Ves$?2f(h>}sJ$%v?3L+I%c8Pe>?@dD;HUoO|Pv+nH4 z`n+{-)U{OXw-Y+NTMF`BP`_SywvVEM9#`1USolvtjLA*wUddkqg0qqE=X*r7y211m zBUjiiLP=bIfcwQglz|at^V?erpZOQ?0o68?ou=nI-pV!ywv&h{>g%M^S3~xIZEt6{ zl+9>g8#pksbu%?3z08ZtfxD~}*7$Q`jH&IsLP6b6``%mWUuZ{0%3@6YJW3x=_4}0A zJya>RC`EKe&n7S4gp})YD`Ar3NMGLJQ8KKQ#ud zDmb#SQ*betuB?er_A-Zpnc1J7YFlWV05uN4G&miygo4JnA9~CKM1TE7i0QNcO(1;I zeo4*dl@R#$Sjg@@y@DQC$szL2?^1$N)#SR{lKmOxJp>#5v~w>ieV(^GnR#bS)C61T zRMUew-kL)3o6>LIzmn#u8(!3zm7fYui`YFgQ6iYo0_I8iyFc|gU{QkfY^RgB*i0Y!?@G3xJ?zH%mHWwhG zipGmPEPN~{{czOiC09rs~AwJ5FvvM?CextO=Kjs`WV_=RoX$_T5^W`- z=(9R~hZrlg%L>r|DlWv#%T<0+=Q#3R5V>nMUYut_J2{Ah~3@oa#luQZ3S?0y}>EmsUo6vmEI!m)-;^N|PVe0JIqhD1aZv6F) z`Ay|@MZdl}c^VZgD4ORjW43}()HT`l{l`NN$s8Jzfb@z;c9!uqO57NU& z-;=&tf8+Fl{4&&LlE5h~l;z)6m`?$R#f9q*HCt`H)Loz+?-(MAT-VSvdRj)>^o9ogC^_lM==%J$-J&`;d&wl&`H>gp>)~QR52(D-j z(!9MU_$rE>2AXMJGX?IdNVCul8fd^qBSLmPTWcgLEv`$W&7kH2=cGUA)3&=A-Vr}r zO|(St*5H7GeAR>D5ES(M&cWY>0@Csa7d~S~Pj^+_n^BZW9RBTpbCi!1slQ$;y7}M~ zX69^Axs$6e~0r*933ykdnNi(qs&?|x)fWs07YkmYb?saZ$f$R z$HBJ$MzSoPMHG1Q{4^T%8Jv!wFx10Q55{k6D&PEMs^qR-yPtt!B?I_d`ve$aAM+8w+Y@U#*a+-dAdq+6W|eWQwwS`H!|vt z3wIQ{_C&6DD!MJIo!L*BQ=&}8s{|oAtZ+Oe!Z|iu?@W6|+=IFze!zyK9*I82>1|=R z>H-x&6jA zS-RhM7a5^SdTPfqfRB$)er%}7QVRzmsi24(a&;d5=KNiNAp`Y?zJ1$<$Fc6*Ia|i6 zui7YcjUUb9oNErMdU@dCien$$>)_R-b;79T`n>^6cto7ANkJhpZ9Gn_nnf53j9Eh1l?r z=Y}gl+@3x?tugu$`h2SHJQ4f{Jw|uLho;$9A5}-2Ppw*AG^JmWRjW zO8w#5VS;3gKF%{zx4)lvld?-%<}|@Z%2hZ8uZ^Tbi}Afex7;T$6l7%=jogY8SdscZ zCd949QPBkX4^(qLps42u2!~Y}a`n{~_hqU#U6GF(k~6;*v9_%}mL9ty9-XmhW4qF@ z;#_D!k`|+n<1QAa7lTO9v|2GGRt&QCLes2GwRF2W?AZPKTuy1pW>$ktznPgeiR{0d+&xPPnP@+(EGltJBBC0~L`3F%%jEXtW zVG3{gYhrZsKqG6S_|$3#^Kr~S6C(H||ja1Pm9dgE$!Q3Wh^o!)cl zL4C??MJRKX*TbO~wd|Zs{NVgq`*q;noao7g9h`=V5Gj@2W*j|h_RQOF9@B)!EtGQavWPod8LX5OurBlNGsElC#FazE(z?<*tj!&+@d$7~Pf9s_!F zkU6d8qr2~2971f&M0J;dVk6W`ORY~+^W6$1jU(HH82fj}jG-_Ulydts89(rHeJ#Px zk9JM#dT@(iBd&AggYq5&53_f6X8W3$(F7IRXQMFQPCmU<6JqwG>*+Z|n$y%6V_B5F zBvDy(PTy4cc+n5T7%%;RTO>Kbw&E7*-o@Q}0Y5*3ol_bAO5Pw4K)j}PpJ&0H-@kdA zq`WwVfrd&OK(O=QcB=x*nWgYq6Zis9SSu+th9S!Dg1cRG5 zkH&h%-X7K6Q>I?2?(Ehdv3JB(CdcseYiN6$lgpTVvsQ3oq<$CuQq$NgP~n2&0E7aR zo(t=tM6D$R-x6)6MA98svd1!>vb|ToEC-h@0)-#jP8EF2F2|obM}-b$fv;H4nlh-y z)wUWZEe^0^pwOi+A9*j_AGeD4z-m!a?As1=HHXgBh|8sGXFvPcCG{p*Dfg=k9*{@oR{Svyz}8866p&6r3At zlRGK?_s~(4-l0)pRo|MGWE`Sl&u7WtpJ&Fg&}C8X7?Hwq~fKj{O|d{;GOQ3rhFeP79A6UT+dxv^2kssO&-@w8a~-wFJiDOh zBpws`sX>|~(VoNZ&)t*~q2BI`4aw&YDe(yvNU4Y`#Bcp7FiPR}B)_Y^rdi{+zs0K| z>SF8b>pPflR+D4a$*T(CWoF*Yl~9RxJuNJ--6|oRups{R!;H<5F8*q}2Mnm68iB}4 z2D`VpWLRKcm*V$(e==Y`(d*J*@O<3ry?K5%hQM=pW z;@|5G3AWn>*F0|Py*;Uogo9%ESR%#aq8i9Oq7Vis;=5zC^H}At5SJ&7;7_udpg=#o z7Oun;rwFMVmnegdQ& zvRLFSIncO#ym}xIP%AG$<_xK;s@kQ(Hjc8WBMNaP>2=L@aT)FV?e z!~LrD>EoOu#Pl>eHl%q~W5sVQzktQ-^hU1#3ju-l-7h{VjK*?B1yfzc$BQ@P`7Hjc zA$K*vGB>nY87Xt%uir@-|9Eq6ZeG@QT5YgdYq+jM)34U4V^(+_vZro?z2hE1K6f$+ zAJyN2VJ~!y&Nr{yn-1n5A#Z=F%d924Q{^%g;^_`?8Z_*7B*aYVO1pSbuq`#$ehxoA zK+pdD$(JSI{x)-b7WD^Z1%4gQ_xPlJwAP-In3vM8*6v_rn9NudIq5S=j(SOG#j-LDnUX;_UF?u znL@i6n>r=k8pCdCE$Ls&C0)+*<*pW;iEUUZcv82HR@`hs?dMI`;|JvCf(4HV>Of1a z)~7!5O6-dkJOvXRoeBkhGns-r{kmz`Z{!)Bp{hJu0+~^JU+iPp+W<_#hti z83ubaqdA$Q<-=wtoy+Rd=yuD*CUyVkZd&}#cWwRWfD4cbkt4MjMkfZAp62eVD+TrL zQzDnZHi(K|n!S6rrfx+s-u*&J8oiN=p{lQu`SitsR1MPK(TcZv8H4P48@FsVt?!&A~?+&`tdBjRyp(@u{k%HP>H#95WT&|j& zTv#Bdxnv_%?TB7=%246xZ7I^NM-3!ek~~l38CLGq9LY=T-_00SJIOXZ1FQVVMrb=T zU@voYbkvjZt~$v@D6@$diImG^MY-o>xn?>YLCEX1Q9YI~SXR%(G zuBKSct~C8;GGy@GDxTFM9{v+S8>ZX&9tt zBU4iKVY?jk((+@zRCmm{$ALT>?0z{xso2(V^y3^FMe`pii$*2F^gPY>+Nn_;NEkmn zU*ppU`)W5Y%!2sXM?IopVCh^UhoCvx%!T5(r4wrn@p~Yn(r4P`?_Do&>I(JXs`KXF zE)*t%Qao%Xwv@Y-b;Gu%K2kr@T9+3XZ~XZv3IHQ6!9Z6jW+wN3MM*7ocyw@%O^0js zJ&9;nVxsH?P%alINs{6W0@N{Xo6RNdj_g=A*;Y`W{Bav)ia+o>O? zfdC0Mb=CjH!)i4d>*$969An_7h2011zADRSp#98ALbvk27QH(mhQH2Z(xv{;yQYXT zk{r1z?TZ~lR4fwSF+PX8%{wmVaYCRxnm0aY~2I(d;Ul^V}RLIpxStw+^qs_|+nW zmu4G?@Ft@|H}W9W%E=wBdp)$vp+)MfaQ48jJRLXS6DthHYH#J25`{YREtkqojZn*1 zyu)#$)#F&m@bO_IAtoV51;RMEn&ICw!u%v%YDe$J&YSUaCRGx-I1C%3wD1D~sX^d- z{}bwOM_K8&yq%HQh64f64!u*h{C?51U$IM`lXo*{p$KbDOU$_Q$dt)+M64aeZ+d8} z+tao49*0-rHx$e(24k;WaLA#|j9onhY zS#Y_;1QmbEys^2>;Xtrq==0oVDayr*&=;Y>0pDV35|nvQA#0tqTK{GoHSB{W#vKwL z-LYcuqdJs{$!bC&eSC~;z*;}^gv}n$GlC63X|Cjl->Q-7R7(y{_d7Q}+1jHSfngg@ z*l2+9y3QLAxbwI9rbzW-u_KI)R1dq*1R9?nWt7Z?x+hsdcxm;!;v=n$l%ObxSU^Rk z{Fy{o&iu?Tc8k|#*oivDIwnM2ZZDu>nQs(U@s&nbw} zFU>`jZ`8t5FNv$79?*}!qxp@rEZepqfxo| zidD^7ZK>3p=v5ilkxRshk-hrd-=Z$X7?kQRXk{IK*lup%i!_jmnJZtdKg;D4LgjWf zi+r)Q5&2v9_CVfgv`!N2IS*sn!pDOKqVdv8Y}@_j!*)qxo2CmHKcJjEqQOlvwG;lP zo2m^Fs?y4c$Nt5EnsijZutJ&nMu~|IlBDUy3J%r59AYRx$#Gt ziK_eCdsq~wcn;g*kmipIi?zWK9kn98B)#%R{H}|(`=9oyYxvBD%UM0R2uin^9txA7 zJA8RJum6z$u3_%jc|FYF7qifwnF$|_`hD;B(yn_qBS>=FV>ScGIIEXs-Y6Cx{v{GW z>TTW+vnTPHxA#(^$^CphaD;;Xki$F_u&`%7dQ2rOcQ~HPFi&K>=SgqXx9?VE}QGBw~H^7mp@sc;uMx^|e4Rl(ja?xO4ERV%mL_S8?w zqZD*{U`j#-^=(XUv)=z=)}Jl$)d+XCyG7)FK4Z%^$=7!HwCPaV&R`)wn?z0;kPbnDX?sY{GwHH`@gT2esfiRAlHV!{FYsUh8mJKpZy z%Y|Eqkm@Tt<&SEG#9ix6E1C^PIlD&D{+OfE+I%kWkE~r@@?XY0cid(rI5WOKWV+s{ zr>j!*8lhXJtidTLQM_GSWtm=QrkZy6SHL&7@1MDuIdF?mzAZGC#-6whF+F3oo-X0XelbBJ+x|~rAL)y!#h#Ax*>`kQTOp$&$@=&y@m#pgmH=Rd`C*d z*3KB2Pn9qboGj!r+V8}DqPDl)5G;6@mY#H@Z8D0Ez|0Z#yR7wWOcaaQ0IEsANMsg!KFB3IN%SJZT~XCx)EEO=hOeQ!qd2CDST-6euYZ@rMotn>3%M*NpQ zZncVzhkvV1Y<=JFg1WKX&a8E1ZyGtPhB=jKBysFeHy`M$V{x8$AP zP_KU0>uhUcJGJCzk(Suz(#r&SvKsO6_)V)aGI)`}x1zwOs>G<+a8l^ghLQTd(iu5F z|J9z53_CH^B8YKQ&8%0|1WZKr5*Jk`B@ee0%@48<Iv!dzuPo5!vm5#-qnq{yY9 ztbqu3)}@t=^&>;Ai)TRVGJwj$A5GfYl!M0Z%Wg#=@W_aT`3w$j<{0Lnl4|}qeohy2=NmB~$d8Htt)j448e&3Y*-eF>X z{Hw;TH1QhAR>l7Qg_~<0r!a>A*5-YlE!!`5m<1g%VZJ5>j*}I?ImPi%+u;6bce$+@ zf3DH8C7smA+ka)mALPBykPFfgD0_?C2exFTHm}ALt|cV>f*BKu%BD`(Y@|`|Glg#o=S*F3~OB70+&R zY(N-#x>iQBX`|_r5nySGxp7{TGbI1k4J9f_J%0fx3BE)Zg*kOL6);d^Gd!Opo zx}OHgr>C6aYXxrIkm}gETY0pR{HSpSh|`tQb=B?tsH=CAD=_T_N31V4)X|2hohmO1B z&9<6Iv-ax()wk)TJbmtUU2m^Il}5r@HXDcv&1t(tvPmiNC+-jPMQ=%br9{3wB5!0>(kDsF zeh|}<$ch~#w0$(TVDoF{sfN3{X@QYl^MX$9;rGL{7KWCPyyW>-f!{$oG%_{8hqPIKsIVAshrOZJLaS&#^HF;TWoq418 zlQTx?40f=}7Gid!dLI^nS^G;yV3=W6)EPymGyERKHqI0AYvkI~OF0}|^ql)JsRFL^ z(ABn^2WO_-V|-e90wU8H31sx6`i5>^E{%7uzH)cOx|h8D&TP0wo?Ne`zrCA>jg-sx zqsCV`{t}bYxGkYRV0Fs2$ZLP`eUFXcFco_J3%NI?dH`xi`^!_NR~iG--fgtdHiiC@ zx$8y)ROpWn*5)d+4)~^Bm%J=hmKN>5&3YWPci>1@0Y+*-s=k5v%<2pdYk%(uh7Q02C6h2-Y!aZ<~3`fb7oI_Sf1r1!7LHo?BTd!^v zZ9bVC*(-AL8hjOOs&~xmK?3QSp!0efr!bZNVw==MN8h=}KV?RIGF;v|GbagOp=449 zxHoH-pd#!SGd*tF;BkN+h275}*m!L@I_l%MUtf0JNG^P}7$F)lF_91JW##)rc^ilP z#gk@Ttk2t3-G43U1kt)FL-p(|*zC*4evULhJWL{`rmnLxGx_Vru)A~qKOk*j^8Y|u zelC~bKadu%iCaunCm?pF{>>nBjx?f-9v|wGSpIq>s-$JO6yzW+0ynldi`s4N}8jek#4Tl_C!@Z(>-rIxem{k$!#3%3|jZt z&-aXNjf-h0xTHaWX`%4HtL2HCmqP z+;zMZ?EARxuyT>ckB$ByZ98^g^(EI-BOcJd6+Cq%_9Y=HGAz4&I23Mhf5V3(`I#j) zKa`G5W(7~t2%GX-keY|;T77b^3iV4=E!HYexRQe$)zG*%*4E=(AO##?37so^@(xcC zxW``UUM6;z3={RT14=`tw^wM_Y1nRj-u_nS9q2IK_v@4`<6q2OT3%yznLS5!{`>Z% zq4uvO%c-kgNUo+>3AuD^eA>7(5S$=6;{WsLOTL<6IaWDQ6~gGFbeZ)udXc6ps2Wi_ zRQgxgonOqn|ACLjdr!kjvT^Wn{jcgd8CL)wvs}EmUzd!ilT4PIC}N$nPRZhf@%GEO zr4KNhVW1pBK+>pY=|yW|R|1AXHKIoIeU#F1Xr6HGZvD=|WeyyGBS7N-oL%AH0B-f& zRb`QQZjPfnr?8f1ErV&-$yU)_7QP1>KcK2?qTdDR%Cysye__AQUI_KA9>nE;agv2NyxV8k55M{eMKm3 zoQrur>DZ8(OHH3FHB>4@B@evhX6>v&q2D>dLr!r9t~d{+{lFW&9|#_)e}~$Xh!3K- zw&hM4Gjsso(jaQRz~e4=O1utu>T@-e>Je@jPAqO-v%F&2)s3|WPVd#&|ykQ zHA-TunJ|=Nvh{z8eqUquh|sno`&RB@R#4BU%yxo9+!-vtV#&R@eJkqc6z)vF_Z3eO z-G}7O`*>E$f2ff1ikrNu7kM)#=xxb2a23Jq#KEFgx3^3;5>zgVU(K>IW^2@0B$BS4 zu=z@cRNH;cilqfz1+?vxPAAf|M(EEpIKxuqjcQHA!1Ys^TC81mk(y;*Z^Xe<;=^K7 zuOw}~*TWsmcT=Yqu5X!vew98@DKv*dS+|J~A*D1@K!TpG?CqLvCPZLjE6q!KLVMHi z;=;oE{I3vWx!+L%7k=K!NwM)N`ru@mB*n2NfU(JVe7(;tBD-!;f>Z8HE60}?gNpJH zUfxS#DTv!7qhU*wOy3Gt2!1nK)KGnXl6Lal8MyBjf5m()0e@b(6qm9hGjrl^#GH9; z5UFWMs8-`x{gf_8x;T5&#;0BDnE}k&LS#j*FKaPoy&1>Md~{R?tVWN?_Tk(ppkem~ zR&yM1|G~x>>uZ5uvjA!J9a6b&oO|b3G#ks>ONtEM80N|3_xrSRx$XTa43LEl zmkp>xG|q)+gOeFIub@}0EeN4wWZPV4wmfQFcyvjTtM&UU+CsKsaM8Bq2RI7J2pym? z)^@3Ep1Kk6Zt_{lmmHJs8fm58R}%J>yCr@}MAqj57XPsG6_b#??R;maI=9cxw&r^W zErtP)lL3G=hsf9yW7E5uZm6CcUv<9TGKcYARwi(7Ypoeqm+V!E2zfYrq#506UYCYQ zJ#^G|5o+;VUW_H!IAya^Z$yk9O_X58)lLL7T5E^Li!Kc}}f4nTWtR z)I@t8x%P9W6>+gn=w!RP2x)ApzLc~a880(AO#IG&MM2{#+??faE_`%5kF90zHF(to z5MGi-g%uuW{jg_jH&e}DmL7N)*n7_%D#iPYMfIfIG29Xjsu$X;jfF0Zm>6Uw%Z&YgP+&~1_bw_V*Cx<#)hfGG;z*wd4ggPoDScUZ zXY;_|iljCtoy{@Jx=4lIYB6||FvvUjC{MQ~txA(zAC1jmU%^`2RSY^&AnQte?6GA0 za3uT9weT&R*CsWI=dM%bT!ef(RsRMXFIfo3nw%fn$( zhYya(jaq7c3}Ls+t95i|`(O#hUR%n)QNUpGvnEVYcwTLQex2)5BdAWDn(7)&Jk+ee ze+kFkC**!PA+L_H59dG0tE?`EA}(}&MvzV0Bf9~oUtvUV_~O_%GKNdu*1q>f8}>em zrI-EyDr!CjX&K^mzlLPZNzWR)QiEYZWve9U{;T+^Sh&j2YS{S!A`TB1ZzH9Y*} zS{2ou*9hvKtc8pWH8jL*3`1Xndfjf5I%~l_Ogw?FfKy0+3(>IQquPnK)y{x z|MdYP`HnS=2D&fd>JQkJW(s_?de3!>9!e(mJPA;^85f~ppKf>IPOy~@lkzMXn>Et( zbMFavb4k6|9}k8`d7iR$Q#N?}VFEk5?s4&&>jdncq2_k8=Ccp%d*3(^eBZ8_c&BA> zS3Y(1-n#Q!f$DA$cUBu`#t~*)zvZrB(N!35ameuZKcv*m)q?Gm@VIIN^?^|o6$o-7(!3T01%L9kAt z%uu1@`$oW<`V8`A3sP=(By6m9+?DG`ce@J2Z{aI8x(U44Q-T^-JEs(Q?qpQqc(s0)zEkw)~@&DGEz#~pCoEsx9kQZ5ZVZ$h^}<+VkX8rrMrq|6WE`)ytO`ep7u`{le3)Gi+f`54Dh9 zZBJTe>-^+sNE`pO=Wj?xvQfAdcWjCw;1_uo*))Mg>8d6-9lV7nF_($^W>9P`2it<` z!M&-QhJqJ;YTW(;p<&CVKbsnq9gWmK(z@8;cbxy&SyBY9PWrp9rF!LES`8a$&Z zho!tsy7`KC_~iTu0c@VG0>rGhZCUFS)2rt)`?nlj9tz|NYlZ;*h54bQZspJ%cA0})n-x6LOc-sg^2pcX}eg@Snv%s$}(79pS(tUA?&X%;=D=v zw3e&gY6E32S-`QI{!U|fF{2!2twLfs-n(u;v?ftQCAxpyzymK6gH6FRzKi!7sST{% zM03GA}6lFlzq>hbyRm0AVm;v!xrz1zb!Z>H%S%K3Dp{?z zDZtuj_KFbenRsK)iHSMam}egq9TogC<0QCDo6lgw>LbI?FH(mu^91&x*d(PcjqrBl zze91h4SVIRzD~ZS)3U)K=}3j@MtqC+f9hS1Cp7nP`n>K$(oGlTf8~D zvR5YKAVXO=U!PxyS-9VyduH3BB{%(W6|(13LyZ6mQ`JTW_tFhUa^=9=3|6>a>d>Y2 z0ys&r55P&R|INl|Q@Hw(7@tEP5|&u|C#_4SB$+AnO=q)icFbU2%Usb9dGMCaHk zJj5oNWQe{?-geFoL?q=Ev4_daV(-3y@ZesnI46L}Y0b-qp4_zY)Zlq``7T?5Y{hI-V&U!vEfn!LJ1_hm!(2Vc#qS+be1JKi?M4qi5z~rJ|sPAgyi&kHam*|N&a@H&Pwe|_ezbwBA0{X=&G!pIb-bv>y zcN>V~kZNfWZtk+A7YfwiXFOWS-Ft&@Dng)q9sR^0FRP@kM?A3h{%E}HpbAlbd0vz} z>sLh{=n_#Py9V`U*lbpL*j(Xuz#6J!ILtpT6@qOq?QgH~ZgGMXf{M2;SF&;Y%~^AH zSu*(fnuSktLC_Y`x|=m_wlyI#mzZ_zPEK{}r1r(a)0VvJ52cKkMZl_Yr2)w&-WOsk zn>QmUGOG-YZidW9gBK8`-R<X11|v5|_Ms4!uA=?&-jP=GJM1klB$Dp-3BPalmCJ^Jzb((;q8fNd*tC}9qn+KCrU2#@xipQjZe{(LL>0?51<{|@jM%S?gp!GKNL1NFD>xZBAYZ3@l!|L zVjQzHHM8GZe!4B&qMpsdi&?@fZd%I93~KXIinTn*zD#(=Ek+k4^=1sIdez|G)S{+A z!`KJO>*X0-bINBq-W^J(@?yCy`g{5PS?NOS)Ag%XjYC-fVau&cyY=@NKu!Evf8UiA z-97Oy6Q!<978)aQUmg4Uqu_Z1a4|juFrc_gU2iyAygiiAo8TLRJvbZCFqGS z`cR8aea~!cce^w^FTWwi4TDVS>CsE4Y8k1#RLm)#UzSbPAFBkBbr_U$aR9I#;AzF2 zS>Rb+ZBPF+-EIvG^n|tKMu}BoQq{#bo^~lrv~9J?GH=QN)fTn4oi-$%%pPmev~4)2 zUJy>hZpf>rNb3k|K>I!tWj^E6R8@Y{qGWCasBQ|K?Cf=8+;93v8%Y%h38t2^-YKQq zrG>`T{)^nG>jEk$UoW0>@a&iL#a`pFrjV=X)6p$gm7^h51!ET|;j-6dztSYV?Q9Y6 zIWkR5i+HgGmDU<<7VM+ElhyMB>16J2Sf?z{$B`#Iyt=>5-t!3DQ0T*Kzs5-P8L#9w zy+1*HfrW!M5(7Gs_iCpACcr90#e1V0E}+HG%xC31Y7?jQCiER*Y%-;lR{vf1ng;h5<87bZmy&HFvt|(ES<6RfN*Au)mN9ORa@l;{_9Wu?n$@IA{Ixpa zOJBPlC)cmwC_1Z>xfCgbrKh|ZDsUOz+e_LUWg>ZYTccb#j_LkBdYj|B)84)gdPTn@ zjVDDelo!m??2Zp%DNwY-+OrOi8W#ome)79B&o$#)gW5H-Ylz9zHS*an=gh4SP>a;L zRrI~sS)707Es;laV4sb3&B}*FtoRJ=xj?yclkh;#{aZyVnn$fY;kSAr(Z8lN$t;+EvarU@;;+=Nq zfkM!O=@>Ja|Kw=>9isKZ{c581LgQLDyVp=I+%ztNQ zWHNt;N<5{%7<+JKJ&1Zdj`(f5i}{E@By%sjx5#^GN2bcgQ-3=EVobB^?GX3JA&Slq z7M-bnC`G4HClQ9#FVklNYu&$lhMKZC90>{1xs&3%=-%tRG}siL?6JYLqkU zF6Fw$h(IBOnxh4>5H6!PT8le+h43tEG#k5e6=AQK*PHY0Tu0IB1eSY1)c}()cH*Vh zC9d4W^CQfhH8y+P3)gOIBOBNsKW@%YaHT0uP}XjhV@>muc(3xVTGtp-$HthWvzgOm zvLIFY2c#5fZ`*d02p8)VOv&|;YvtDt?eBcR<_#nR9g%BpG>%ZulcYH2g-ATaa1mK6 zm4!BF?Kn8=Jx!ZtqFF!bFHA%L8s#V%!C;3mZC1sXoY&j$G@V~zY?rFt=Xg8}kS;tL z?mb^z&$&6L!F{w*0MJ=p!~5CQWZED@!)(w%tKEIXZ1pUoyaofAJ3m zKUN#CW|o)Ma@3ARiIm?%NO7X|NmQsNzGs0;jRAjjTGaX&+i8!6l1K)$G-+yywtZz# z*>f{aI1tI;^{dX^9|?IvICzKqZj4>-qEH!4n$Z8QkcgA}$*{aPs6+jDRL-S8K~NeV z@CqRIp}!;czh#Zrn?dc}1JvFDOZ#yMLdT(iq1#6rn=#;-hg%Vu{eKj^a8>*YUP!_I zr-&o!UcVTIS~@B(1V7aFX8u(Vfpax+BR$q3614lz^Ffki;-BS%;678yFG&5Or|&01 zk3{jpzudAfkwz9|b?=-7-}d!IIL0E{lk}m-`9LN}qGh`NM$04-RQ0JzNRw#bs9b4{ zqb}f4HW|)5;~iUKQ|?>pu3U?6H#GSBrsadX>D^b>p!+{0fz9+P-W38~h~t^h5n!Id*etwXN;wI+O)>TA?eC*+xY22VCEVvqdS5;hyobcXvkcIUAIF=Kc@ z(MeQU$XJeg_MxWJ!122Jn@NnPFLD|( zB%KfB@WD|r+Kfw>Pqtnb!7+<%V7rU z%*4F#coHcuoDE13&3EtDj$|?Da7d_Gt>EnhK+M;VZ!BR69*LAt`-WUKJz^14`M<~z z$IZYZH3L>AbnR<_0h)7bj_Y;09*kBmF3jXN+_NPiuY(#8NAz}0Q3{vO4Ourkf2Xp$ z5_)ZDavRiyw`~1&>wA;cg1hEC9Q=WppXlpB;!}7(`LFOP=`_i-bvn8t&hCX)vKmf4 zD$jk&5?be981qo{4WBO)itrEp90a0?iRTsu{8jYPsYC7pExICsDfr`Tw9%NVjHfxw z^1g|H2)IWmQ5`+LOVQ>r@vji5QK_>C{VWC`F$71g0F|()f><}iXS=oB7z7uI7kp51 zH7z)o55l_@0-Y0N$OzoQ^l;C%s-An_RgDU7JaGHC&!*doBuQ(o4COqvI9;cBj#XXg zbduJBc>cQDEjKEaQ~yOC3HmL}l^UpiyEa9?ju z2O1~wXSyx%^b5hQ;dZ};)}bAl&N0e=B(Gk4q16Nrd71pK|LeM(2yi0Q9x0?ZZ;0>v z$h&SuIGBsRG1Ip6RPSt{ZiSW_;#5bjfI*5JE7IY4w&#jd!$C6iczAPi@7I;@R?(OQ zV|9JM6?psOWp*|>sY`mS>1<$RuMmR9BQx^IziPj2nQo87ERex3Eup*df$0~VA4LD0 zYhuxmA(d3iN|)^_qy+JfyvF=DUNgg40aHBO93)=3kN1Nt&Sb#h+0~nFH?{7m?x_I* zH(bl|RZZ2cF|QYPl$3uj>`jv^pF+4V*+4e*hNr?(F*~ zSzfDpj6D zP(XTFw#_$a5yLbLKc#Si459%L|L+(v2`5aNyYHe`)MN6@vv^sTF%>1(vR;#@xADcf&v}Met4dhU zHL?mY;M}Db?VNH@b68n=nq}oXlHZ1=zdbOgO1Ce77qG&^W|*tyqMhE{rO{09pTNwFXwV4|*m@qxH z+cF+X8L}uYL5`T6Ixf%j@r4c{7|s=&OFj~l(;b#Lta+f5bPMiOQ~JIV$8EJ5>H(oL z9ojyc?j@~F;+bvt9kX}D4R}^Av|^ra_w`4u(_e4e+|8jy4z!S|j_zU4jq8ZqR5*sx zbdFXon!5IGgJv7U2hzeCXk^q@hHbqR|i?8 zJ}GMpe}o(8pklnRDiN;=G5(oj_uhDa#qg|7@2UU{DM)Y6@VKg^!a&CT&fB#i{V&J2 zbFSZxp+4r-)VvC}?|gbEjcEXoBi4PYSQ1xa~drhS@+Me z`tfPOU>8rw&(O8K&Zd|5jhKi7(w5YV9bTplOTA__o{xxYiJ2%U6+KS8tik2a2>1w% zX0K+XJ4~cErUi|9M%6tSLr+ryXp3IX-SG+Yxvs5ZUhOs4FJt17HgY+CBq(2@%$-tV zWfeHSZt1nIc+gQ^CNo(k(5V3QoeRy434Ji`taK%1{u|ZP z+MY|yrQ=Ec6k`o8ujeeI-x*j)37lVgMU&=R)j85w%O?=NstGlM@}QWyFnb-luqdWN?RyVSFw>6qYAPC}KcA?kL*aP9Wl{LV+o8|~dY+<$+fA)iSQ@D*GN6p6;5kURm`-e>2spOs|hR9z&kWUx&1gvh3Jt`iE*g7z;^dWDu-6Wpiq4q%vfDA4zJHg|;|U;f4$oRPRc z+HwCe_IP`)uxcclLHl8W^^FS>eH6_B@ErcQ?M2kZbt*o22L}0t_vjyUH=S6i_5aZm z;oI=%6<|-W<%;Ama?Fu&zPg>h-Mw0sHz~{Dy+#4#X)I^i#uD>ocp8qgwLh|mv*Y6x zcX7*O%;n{tzPz3y;Z*>yPQHo2DuvA?4=*R1ALwkd z!V5)B%_}(Hp{5ksA1p%Y4kU*@GW93*%K_fePSN(^OzW1N@NL8JuEZ-TS3l!; z1Hx_(&29jE9_H>I68tWDD)93aR?xcEGz(S0?h_R@M+al16s1ISI*?S?NyilABO0k5 zB2^7y^Y=-7{t9Aa4Za2Okk6TY&xy|^UF)9N6McwaE1cD;yCC)~5k%oGU9uUwX(c6k zGW-zRtOFwumEiG;@}XSVBer?eBj%`98w&SHq(~XCP!;0f(HiYtkP3?7=}da>J9<`T z-w@*Kd$vx$&%|jvz_gdhI;Ren^8=*$I8VSFu_E#Gah3vifiMYYfkTF5i}|J%4>tB6 zkx%^5exOatg%(dNMu?N^p@P2dLM+GuDy2t*`R7F|3)v-PfjxzoNqe*mkz?uQc4FlyGGll-` z*861c^^(hJV+(nghF%Uofy+bKE%(*BHknUc1Y}uHJGnoqU3J5@s^Y^ATCO;)@5b2* zy_%{|lC(%LdEUPZlZSHBIlMBT@M%+)R*$`lqFmP4tYRUz!3E^*@FW4n!g-=%VGSVg zwt`tSO>Q&SIF8{27$QP^0xp*6$wH?nmA5A2ehk@wj;0M0M?GH5nr*w_>dCis`hz_B zot|IdRP*~ne8x7wt2XZOqlAa%KD$*X0M(@ADK{_LFfGl(CEKcM0zxlQtK7)Re~@J? zj(kt8!!g){6_K4zJ*sWx5!*R z(r<}B07@ZfHu$#;oHWhsej)HYy5w@y;QFcaDd(-5F8TFX zeNqhZ7Fo?weKmH1ZEp5M`?t-2eKyGU$c#rHlUJ)lhVfn1{$6|$L(Dp5e#?>F<(+cZ z`F}hoJ&&`X2OqfBLunPgx7h$38(oL5VqtDOID6|gx~*wc`>v4%!n-ou<#+b|v0e84 zQxFZ{Wl$a`i^ZOhbAhf8mEV=FUDifd=O6pC0N?xH;O`d-KU^jG0>!;kG|GrG5OQq(-jOVXQnEv-2F`vsxFKY(U{f>X{ojA)Q- zEXd}2m=+pwK7qZjmQ!AD&qMO;oe(l~>}b_8eQ~W(%xIQr$@T9E09rPtGmUu+>H$7G zD~+i>^SNVAXO=&UShuU52`pFfptT_pM2BElf!+B^6xtDYH(SZ0r5BW(YxfG^&!fgK zzk!Y3egh37L)*+4tN*)2@V|=8c?0D<=3g4yw^4Kw(cv4*qKQ({pQ+PK36B8V#R8{a zD;fW`!-`baB+~cGo16@a4p*;qoQ-ME^*?*ilZBOo!gofHV!IpE68YH2t6OaUIg3l{ zP+ASzAcnP~c-Y@OUK2uePK!vm4Ayl6-P1v%sO;qE*8H>9q-pAi&;*2!=_!3g$I{To zZfWl@SK4-a1YV~(c~cKm%!RWJIVhOU9+OAlZZ*_%o^p^YUh?@NqjL5?uiOBh9AUJ# zu+U3=fTm3)6}mM~w=Ck%*iDtD*aoh-Qs3}XCvHh0%DtLa>7spf&mCkf~EPqbX6K75_O1d z_d6^9Cfi+On>^@)j4S`j-mJDaC37bXxk24l8s%2z5vlkh+yUt@prRBDWJHPB2zg;* zK-z@3w^d%q(%T|s>(%en+C!{`e9!!7(Y!6*eY8`=fTT!>@uWa&_i9?%!@ z`n?)g@8ajuyGdKgw~<>sH#w4JZnX=DkQNj;C^Yvwy5O@Sw{3qFiQMq8Fw$k(5UV$z zQT9$Fi-Lps%gNeUfoZ?P;nExkR|3Cp`R98zpjG;JBwjb>HHAUphIqHk8cmNtFH76 zFpaopg*tc1w{4<=H|gGB?roYho#)9;>-o%H#HvE6o0~~y9 zfG-qD1^7R(5aQ&C9V~E7{Q;BHD2&#r2s8D4vnmo!75J?B12wr3flHH6j<|gMkF=Z7 z9!jrskxnby!Sfr3bTr(*d&g#$U2H$k=k>KUF<lEyTeC~2B6)6Z!Is{)*#pM7*q$e^Dw zL{&VQKlT?#Pt8kozM7@nuifd7Hn~7j-eZP6OD`W4<*Mc_OE1KSr+x{+7Cy{Hnjfln0px|*XT&aRx{mQFcf{$ zO4EwV1OMG9k*gW^)M>EJKd7%Pa0npfP4Q_dqJPhn7KQo3DHVThWNjxalMnI}fxsXE288!&TcypPzECoaA4C<j?2*sTT1qiM>QwYHVzCKzZ1u+Zybo>i))WB_7?TTzXgAMQlQ+39cNJtWShpN{b359ffy&R2AhrEdGSS ziC~J-WB+a^&KFt#2!)TjkY48h4GRB1r$+CA+Hx*qhIfGn+b{;>lwY&JllOQJ?rcBt zH<1-+c13astLSiWPK-8RP%7yIbZXh|u5mOT^fCp?_pB+OEzMcW`Emo>gmrBCYJq)d z;V)5C>2#`xmPZm_iETMxRc?#SZd{bi;cZ8VeD;pjt&_O%;_|E50(+|KXA|26BY&;3 zTghxq-eL}0NyvkVKO4=U)&7ORF3}cZe4fwAbC>hoF3f8|fqpV_a=@b6@`yuA)M`Yezm zQh6+CQH{I&dN~kgocNtJeWsqontlP)7IPilCX}m&S33}Z!wD4B(_essT2D3|GDPhb z@X^VbsHUg8IBZWe|sJC+FUwapUfHJ^#(po z)P)#lc34UJ&rx|c>H|qis+31_QJK{~o4Yp8>^|?NY23hPj=tf?3=oW6Wfv1`1hxi5 z1&}}vb&Qal&=0}#7FQU=9jf7{Q)Qob1Bj@^+f25k&vdS}=NrA@cd8u3Khv{uv+XUt z)qzO*37y9}0BE1~Azf;bLWjbIz#_(Q+5N$(icD>^_G5Hu`Ip6s7YKQA;a}jL^}Djh zrpdW9_Adu*yl8D?w}K93fE_x>>>K~^Jgg9&^u!=8Q^NG8hNtPr$pPtN+xmi@?d}uu z5uT${qBw{l;=YN-y)I@pNoSRpHzDj_A{#+_QZ`8_P!O9_?i%(>{+q1VW7bWcJ0ILj z0SX!&Msx)de{?HSu5++=Sd`|#OGF5yO89A!1UL7bZe-E=wscKESBH<5y3*BR-R63V zPAM<-z6gdo+1Wh&rSUJjkrnVw4eg-vb1X56$=~O^Q(D<3S=IsGZ}X3j%$BRYsRAnJ zG`f$o<-sr6Y8wH#2SN@LxhpeftbE!O+wgR{u8a6@V_*T>4a}nXxJ-(z|-{UFYe8p6Y254qs3y z{c{m~#G>Vzw?}`ClnU>Eo*;tgyv}1iJ{9+oQ|RGzPMt4$(rAOJtCTnC23COabYQ?3 zy&gyPz3x6LRu*|GvB}CWo@ze)u;i}B{r=x{gG`h|46N%*7M7n28Kdur?eEMx zqa;zXq|U6hpM16&TB8~~WXFcqHEnD@8})^?peR;tztl8G$>i@uc@tHiOQP}P%KS5( z_p-mA2o6uxn_MHwsxREyKAd)4_EF0HUAbO@9F>F*ur_KFk?SAKeAmnmh=(`%qd)Zp zb?u*#m%UbHM9~@029)b_8(xz?;3uqs1Qzx7`u15Labfs`xPFn|zS;NON91Ekc=~Tf zz9+^4$Zf{0I*IcUD7=N^X1DeP4~${&pFUG@N?+spLrUvB<@uO;mvq-OrF@;9e7kHU zyPHj2F+V!QAaj8X?Nn^dx9o}Rxg;tQl;FrE*myUeHq#SNQ)+;IbGtZYXd%w`OO*Ma z4Ew(r=fofOws1QpZ(8MoXb_?ipVgTTEU&B9<5iVFSj9{lQrv#_?EIGp>PdwYNEwz< zl$9siJt6+8XOBs;&BANL!pU*xnv!!1dan&GC4JGCn(J}twy;JzHbY}~zccVuj|P>v z2D%`p>kU^z4d*Gq9^eG*0n#G>BvBP2h;cGa)&!Y7kN{jri3gg$B_ovrqWAC=(PL5H zU?GW@j@ke3E{l6~zFmVHmQeLkTMX{F#9Jc$2vcrw>uD*yXv?%Q&muP9myHid80|p9 z*jLI$$e)Veh&X^nYAYwhxYPJ_Bogs7fl?!5#jwNbII08wpFg}YWzk+wmMW>_BW%9! z{;R}W(L$@dD!0(U;OphJn(#}1qoYUO6$XHgDp(s^rc|vR4awldWLce*9qt?XEjy%o z_*-@uCiy0Bg1bdCllGRH7{`2Wij*^V&ZE`HA)}lB_toVq|6_I8X(CCZ{;j7C?o;jb z*mEMW+te0eQhU7my&iYDRGUYO$Fl|Pi@e1|r@*#@R%Ma9L_S(C@tiJu@29?_;+eJ0 zACM`R6pNK1g$kkw$&&VrHXV&~f#M&N#@-l_3}5zPztlYXcy>}uY2`i$1ztwXKVc7Ic$Ww4t`WGZial3}V* z;Xs>?$iEq-a5dcJBLPCyUJ2EWpVk-pr(`{^Zv$^GoL2&{I0vw8F`?db=H>;P zQP`d*IZ+iXb*((!HGWY_@(s~G&U?`axW|q3h{&_wwL(3wvaLv@Y&3xdHETF>%{qvY z&&~l8e$dfRI^li-8N5O=0MfQ==K6jz?06_(?VjjX1;M(=uxA4+nZK3q@XLR6bL0BM z)BWvfv5`yNy8N2ofb)Z)grODk`WIAE1;b2Fc2jd#w#`cnqxOHEVS;Ymr59QtK$9D* z9P;w2{X(O|3BeYOzo*^oWT%gfN%-CyqDI-ml&UmVAj%&krT54zS~dU1;8R~gAQsD@ z?416T9aU=AXWTx!@LV~A6NU;xcm@rCuE0a2SYYCM>;kI-YbvX7Jv(gQNKTSM}O`OYqA z7`XEDfKglEcE4)nI~l2%y~_262+8E~H_LWjMx#xL)E1qbwEN(&Uxk1blHJz&%Ox7ec#lHoE~_#4vbOiG(43 zB2!qYB%pN=QB0~X?aAFCkn^NZiUTtcwUQJhgnBvI^XdzU;WGRF$+okTvR0}W0!MY- zTt+-TIOSdNsd+(MJ@p!&MRAaL^Rc_N7YO7Y7^_@}A+62#r#vb58C6g#jhryMvL?6l z67@1at|r?HGRhAJ1&tSyG%Y6%nf@SqHb0k3oYl3+aKC^-F@fAMJZdb!e5wrAJ|$>T zI(g?V8Qjt4#HbJPmI5Px?B{%cBAfUvSW1+Gv-7Z+055*|g$*HT85&qlL-WyyB;&ns zto9rEiH|Su3Ny$j4ob;H$YEa_wMh$&O1|hOAmzQ;V3)HPf{Zpbz&8k`o~66;>N>j-bGLKc_QZ4W?Q{ZdOq7crl+M1g|35?}8UPu`k89Av5Fnhaf)oFb2ZAT@Jb8$vi1POl4Y{!$^Y+Tzr1^ubN zp2E08cJ&JvXZzr3wfP%#BMJH!e_q0{#K&N$fHRFzrcyGvfu*PScUyy>y;6H+_1B;p z(junMJ-mP@RAQfdphw?w&Ez&1-5)7#YbMVn9wXaH$BwdwRFLeq%m|bGXNb@6j`G{M z!)a4*@^wI4gg0;}3DMlODIr*;3BAhIpJ(vq`Z8SabcuNVv)Er}Nd1R1@QZ=Y2G5PV zGsDp*_32wK|2%p*?B;VRVC??L`P|;CfO}s2+^?SULJ%+U^@;g-o{q~SlhV=d?&YS? z7Vx|TQ{8e)36QF(pLaU+;;#qPLZ9_SdJgw|dKksDF$eZI|EV&+_BQDcwJ(C6?(alt z^kknUJ*VGBXb@6G(@ie$7Qm-|zQlJ_(I;n6?B~1i^3S)E!l+jNaXk z7xw-QIUBO8jt4(q04>$PU-AR-BGyH>D=*Fyow)<|kr5j>9Pzx)>am~rzo2V6_>1^w z@AJfe2Ik&KNlJP_{3r3xq&CE{bQUKJ8jtS&0s?VD0#n4jA5`~~&Pn{qjPGC?)4(9i zbD>yf?a_t|_R%D#4kK@*>maFK2R=dh1mbfG=QZPemher%wN=1(eJVH{rNnMTGA#ig zuqxsW#DAFK+{~?8X~LeKDpz12L$@a3Fm0SzUp;!~EpFIWx@i@k7)qG-R zDi!u^vN-h(tBxi|YuceS>*-<{S8nfb$>J@h{*xiHKQGpbpGBU~Gq#zzBa7S2HW0Qj zR&EX9bvY{E(dsMb#k=J^=2Tj};TF62=h?4kwIy#v_Goj89z-GFp1(=E`~s&1uYNC37Ty5|!QfzW;iTlM|9(vyL5`qo}W_|8VcxyqS{ zuRKKwp&Fysxd?MOdDz}k=gC3P-jCYTSEK?^w$uj zvYii!(9Mi71SXGVt-4=&3$<8TBea8ts^LGvu%i*R_%BOkdM33Fq%S)Z)!mezTWW81 zs)@W>mC!XcQt1ZOXLfyyDPbQWi7_GB^A>Ipf9#5ygnf($uP}f1r>O&-8c-bCz8IKU zeRvG3a{l^6l$$0Y#wg)N_Jtbw-Oqv&aZ^gBK66>cFxsXwEC`4){O}JkkvZ@VtL@&_uwCG(Lg3QO+5lx250s8r_bz94ZJw(QPg4 zOondrr%G|(J%O@V;wTxFQ;)>ZNCN5LT08TR2Q_V8!)4b?MLS57&FsOKiY^<7EZ@Fa zjMa$iBZCy}yg@Xr{=JOauIZR9ig#V@m}T5boj)O$b8C)%gUx@saM@V=acnJ2Y6Eydiu=0E_!w9}^57@GZm4ZlKlRt_U4Boz)6r?fl;LFY z?XsqXDQ8TJX-wVH-Fcx9OlmLbPE?=!u^JCS3~Qa2b^HmN#Q`&8xE2I#+H{4H_oZ>U zraCQMis*pr_zGdB54saUcq`DcGvRHdEn?E*tAXd-5Fabun5A3BE%7)gsTE8eXyd_s zf^E4$SNaL=)Go3Fd${-?aJSAX+4e$+h z_%vSO7Qz%$z=HG`!htT4$2GoQuMI(7x4jKpG-*#IR4Rhx?R;orY0J!y-P?5ew6{EI zagQm)iU)d%JmxpW846>(FPu1DS&HCg$V`w;^DrFunKjoKMjHr45YREmTVe_=zI9v9 zz_bT1w=&b){DKgUyv1N&dHJIfp?!uhYPvHpMzZ}QI;aa(d$%pUwgXQkyHpwl9>|BO z^ib`@6r>PrWk$ja^u2g^Ys|(if1LjPdVFK;Jgu8F>C|f*$sRU zav*1bC)$^i_MCOa<@ZY#8D+|*Mq512VlZDYztHUh?B=O(PU-tqP> zlJ#p3u*l^0yN=VPY<)CEEGS6;)(0;f!Jbj>hbwzwHyx%3I|BlE{!kOb9pdlb#;|@; z=fgV_)UiUiiI_uamRl<@*QwMRx85Z;I5bzgv|@NOHGJEpw8HN@Rr&O$r#NhMy+LSM zsW~e{|Z(i}8UZ3lW-O>kF7S_7P)=@8mYO^oopqr`9-C zL8B&J8}Tc>>337Lm)KA6S`#XR1Nzy-9=G(+NL?>M;^jW^RS*hxVf%-Z!?S?r#~`BT zIz30(=}c(!ihnXllT6icKG%xQCRX=d09XgIc)?A!nVLe)y`&W!4RDxm)4mvWoB^vm z6hG554b}wD3NG*(4k!^;%y|f3O}F(U<(Qb!1T?!*`oWChRPDUEZIsOkN~F_t7!sM> zCghZ-KRAAT$swUr(&ib&XQL%C(R6f$g_`khZWvY}(a81G>iJr!Rs>%^h;+x`dp8Y34}ZYv61}SRJ1f!Z#>)$|OUE)O0)vZFTyYttA80 zN^UJ#;Dt3dymcRlA%<_KTpkXqm-if0u8cIS#rLM(`ku^5&;yHzIr(hc#%nd+o%xOd zAqM z9YI*||AAWa^Ii*u64p!$&eW}c0383EHgAaFa7->DgQY9+dPq$ruLsPeS9iSJ%g|#& z{#4AsYiD>RH`D3m3I31O8+C8U&~-ohVe6^en?i~D7%0wHBVaSsT6K%Cm||YiQc$<; zjL(x@3{khLyCdN$b+S!QZljVeuRtfO(7Q&9Q{p=3&Ss-!n>G?!+4?Pidi239H&3^o z1RG12|I8q(lhEco{A!M((<$$(TwpmRT!sHx#n_uVSsNqw8{@@z9>O)gfjqmt6~G*Ye?UST0K0l3PO(fqJy&@7Unh>Ivu$*7tKF|S6U|EV+pIN+iDCFzGUui zt5;-=DoMjBRP^l{o94hZ?$4$>B@%ZfbuY;TdWN`v_QxfPajH z5eD3#=*T*P5Uvf=R6w7aaHTAY^HpDsg{R+J4ZaOpRY7ac^^1;=t|Q6?bGPavQZFhd zW8cvxIVStHEFKzz|Gsb0P<9euL)(7$iQCjL@mx5fqVs&9_*N-1Win4^_aWl4Gd1ER zhfZbWEv0$RMw*Q8{0zXB)?;rVvznSJmXT6JJ(T&iWT$To%WkA&KL)mD+IC>UT5VT z*2qdBnD}v4Nqm*8x$?`_U=9ejL_(nBy^(j2~UdDYSVKE&9R z6SPV=Hr+Yjvu}1;?nkHzmTlyv9VW0(c*S0*8lO*yfE}YvTf35b5-iq*MzyQK8o80} zc5g~KC{RMn!oFZyn-%!gOXqI(sR)g($Kp{?+zaU2;aGBJ^h~X-*Ha8nv>m4Je)Z+f zt3l9Mhi|vpU2Y4GFnd$DpL8r@ob#5OJU-8My`}z&KrwNM0zZ}NKbhJQ(7=JgDK3M?Zd5{pVM)hF*K91W zS7$~UUijA%#4%KX?WY{#?)3H3HM> z@`51*MY;gga`pW=XGajrVk#so*BbQ3Hv1Z&kcClAH5-p?XSy!GUv$Nsik%aGop)HLo^Ad0;L2B( zd6*6{Cyg3@PcfHe`q<0U0GsKHL1MBYngh^ir1&S7{8e+%P4)J?({wh2a`A z9~S0`IBN12tf2%A!lk%Uf-eE*9GBtleAXpC%kGiuO_wquIWsz~#+uidW0hQHyGjaTFqA%8~4uOX&5`>9FR z66&OPm9<;>geIu4VwvrrTKurU^lPwJj=Tsdtr^4a_!G*Iudx{wjt6jA_~QT$N`JN+ z1dv)T_J4?vHNkli+P@Rm8yKc;r>qW_H8zNA=hng}R|v6tPkIqXatK|}R9ti2TD(?G zF)@>f+zks3PA^*N$yyUov8Eyj#_PY$9(VZB&d>MB&;uaOdz9PkRkw<8CLlX<$Ik3X z5MBwCoKDoV@sb|OWN@k-u_9jh7Iscd=&qA~W{D(UpDX!B=2ib~>9Os^j;&~7i1RC3 z>${N($+*bnA?rET+CU2b^(7HCIkX6_Oq{hCY1gDbGp3-JNIsU;h=EUDN+nu3Dbq*4F>Uiv-L3;_dHAZ>ZvhNrI zPUm|6M`q{%F@Z$KTc^C8V}J8)H*Xy#fV}mIzorYRa0-dz+Y)D?O>1D1BghNy3>rTq zmMffWF{C`Yj@b7UWp7$a#_{G2v6FH0M+eS@{T2Vi<*>*{G}EL3bZ4&L1oYT?Oa*di zO51A*Q3upo1e0Zbb!(kfibpv@+0F&4aFt6o67TUdkN?(GY^wJ(v$uNSer~!SUxCCt zH5C&2YWzirG--t1YbPgZLU5q4(aeM-o_V5HiR;|pF=BwI2kJ|#rwf%XVVr>kT4DHq-yV0Yi5no&&tU3Oe$>a^?Wc9CN+M8x|pWTmu z@(6XZ)K$J4WizR;QI2c?5Rh&J0yzvQnK(Zp2J{uItqmXkRB?}Q<4cd)Busl z&-cM9{P|%`HNN4GqQGdKCq=e$+Bsk?(bIfMP}h04+yg1NBosK>U1VApus+|*KLoHi zoY$x~4~u-=j}KJV9Vz~(vi|epQG2J^H+$>X=<1&Yw4;W#4k!T(aZ~sK&3cv8$H3`< zz9VJip|jt2*1vwwyF(P6=5Bz-lJ(uFZnOT(w?}oGf<|}lPTH9gTl5Ooj=k&m6!uzn z?rDK;*89TDVNBo9x)rxakJQ*|{2UXv^gp`+dqDgJCsur4OpZ39f<||~&6vJr9pXQw`B6 z`Q(d1wY^*13hh6y=6WR=dU|?V5l&}M>?XXp&*p_^fBd~3r*mVS_0tk|ti2&q;mZCs zI=1Rg^`SzwHWC;$saq*3D+p6WQrD%L=oq)@_y$Oq#)@dq&PwSeD zqpN+6_F`C_c2`VDppF(rC%|9;q=LOA5ols+3Y$$2wmL4~BKeS`hDT}1yT|E-WmhPj zU)q2{;cJZFw+Bp?YSDV}t}5pjLA5jiIUpfvkhN~OfkPdY1mB!_%0KyvI$wVI)Mu4| zl`dboH08{a;jSB7p>(sFEpXFOqe8!1zRdT`bSynu zlVK+%YovjyNzrSyI_I|Dqe%n#GsB|l;qou9A z*chwnDWF*-?JP92qcjsUG;BYl-LE}(v}U)G27&0decCr$*N-E7Vl>LI+JQ(#NG1De z>1n6KL1SK>yWiGQ%cdr9%N4w3!oZL`x#k0=QgdnDj#`l1=IA>M2F6%ROVhhkA3n9@ zJ?=>9ISQA}X-n4eFg8hfH@+LZLm>KMYZv!7W_8KDnwVBx4AK>{o$?*q9;FhhHj|hh zmBHvb?QBE0JrH~A0fbv9u=ckVMdC~#CL4K=$tdG9P1+d8|Hs>V$0hl?>T&QTccOWnoi*FQ&(h1MGHDo zS=tJtZ>N*$@+(QjQ1@$xll`)CM{oV(9mG+#N769$U~(!Efd{W8n$)6UQ+*MmzW7IV z%!UIu{0x*n^L+NqM4|gTIyxTIpyRUmO)kS4H?jqm$O|O*thPHw3i)gC#G+i^d#-k> zb!x}4kq7F0CS1Ff_W_l?1zN@RJm0=AKPbuDRXWSuh8kakOu9Mfz+VA~+}Dajr2;zu zq89Md_lIVAMaD-qZB-zP`1nT?G5b#`!L%hhQzgTaf$Mb;`MS@4p%z9 zqw|LVzX|wmE<~|TqAg+5Fv<6p4aPtj%zV0jZKC1Sl+WYPSzl4)`K4OfvCt)OBSm3N zXnUwnwH)8O#Rs9bI&B~o;F}OFGux2pb>YL#mUgN)#?66tJf$@MxnUNTAjyqVa=qha z>?r+E;p4OZfw+fW`j;4nukspI#j6GMT4oNY~m83BO5F}&w{$xpC%f;_u z(Dp3aigZOPPQkodvl31$fyBXizxFJAP5-|08tLJN6$mN7cR8M$P8w(`#THz# zUJkll{$9pMACOA@4Gv4RtM|1(=>wuF4PM#d4SoU8F#(O>?~II5SDZ8?Ymof2zPEFk z5R{}rG_I+@Dp@jM;?0pml)qU&>6crt=Rg0RUx5vzr#9Z@Z0_g<+QsahxeSt$z8{QT zujBs~j^&~yU#V(Us+7?k*yJcZdl;bW{A5@+tb~@#J!n@JLfSm8U1Q;`U=64ff;+@? z6{jc9Wbt4SmE?&Jwv{qc5#scklqkjrV zfZq+y0}e7%6&Ae+Aj4|Xf-izuN^{$dq8uEZ&3$`&nza(3OMp@?AouDAtg74FiL3rg>NxYJs;#;UZ9u7znwFUTI$W8G1=+fdg z-Nu+J|*y zAHac3SASA|70H_sH1D8Wv;q2?#qVtO{Bs0vb;m~1jZ!v&>H}izKuvm8bT+>U#?C8yms7%NaT7b=Iw$Wc0g=x* zBS>qDc4F4UyE%PpD5zqtUZuNc01rhhoyupcj9uZVAw?874 zb9MjJyY@*|7c>GT?7=?$qISg;)Laq6<&SS&X^&pxr2X{Sxvn?9n;jB=B9C2itPcJq zD7Q?4|3(WOKE#X)vr0JLz*V(83%LTvv_s-850iB^)nwQEjq0&ZDwTdl{JAz}LG!Iy zmF_40EYm0NXo$*Rdcd*KNg8Pbq94=~A2nW;ja$NqYAzM7!9a zFY}|C>XM>V-s?xA)fKAx0*{{N@)=9`yzlJx?i@7A5T_*WrzvW~J1P(gVJ}AO>Q%zM z7(A*7O1awYA_Xq8VOxq*ODY7()5Yjbt>;=BsIy@6)zf>|#1u3s5<6#SSiiktE|$Ym zmR$~vJs|&-PNsN(+1l;mR@F)vC?(NX`<=Br;kYL~fPVok6<}d_Hu#8|`;6KE9P=e6 zpBjPCkAn%lp#kP9(hA->GfOk%Y4&%a$ZdRfq!qRAFZ19yAXUV#AOxY!;P9*$W}YoJ zMv~@&YP_KbabqZPUNM|#sZn>wo7>Sl3+PZ6{fi$jtI7tN%k#*dj>$i3p&Rkq>z-dz zMt7=jR^3x=qnbMi zXa)1Wa?`{lbJ>8Q*AN8ED2r3|GvhNnH^KE;S!-f!_;ZjLB@j8XbkKPn&s$fV3=5m%%}zfdSi7!bN+w# zfP}6c7W-ohE{dgk4IiGI4iHuJ^aZ=N+g-65#b)IAl|3sj;4&f_V83jn;a?oA`EZ!q z#egWZU+81blMU-#4R6-MMEJ(&raw{nDP?7*UzWef$S%1adB9AvDiCr-_i2~<`NM*+ ztXL1HOy(_V|C9mdSX(Bh&ui|6d$}pT4rHk?U{{K(!@e;xu4CVvBdAStP+1qd5z)!Ww-|C;!Q=WMW`$SXB~zf8)RRg+*a zIL|!+pv*k{owGXz@(H33!+zX&F;>*sy82OQR_^@1y)hypm|CT#c(qJ33uSx(yoH-c zQ#$&EsGgfzt8v|-so28GF|{S+RY^OTf8l9s&sQAR!TK=WoC5Og%-uXT00C3|pPtnD|M(HqTO6g6njlxk`x=zCtcA z{jO*khefsOmrR$z9@D$zC;_ph&21mi*#i_;ezDyE01^HAulV?1=>Zfx0+i!AJV=MQs?6!;-P0Uvl8Q(NBj(tb z!{2`qfY%EYulOdd%p=+BeXL50y*T zIH4=b=?q7@%|dYUEoP)GZJD~5Ln+U{Ks3NSD@CGqx0Emz9Rt+lK*5GS^Y_My5@@b( zL_Or3_Sf;3enM~7%8Th&e+?KVVUr3C$h->j6BHBy*PS5X_gec;^Zlm3 z$nz6&QotABd!QZ`0Y_`=*?`sk%;Cw#% zwUKA^Rzl6?wnCOrS1>3{XZq9{GHEr$dTBPR7J@ z?zlI_VulTcM}YlKrro;sH{vM0r{l~Gcp!C96=Q`4aCEshjNQB+tzJF4m~_?-nc$P0 zE2cYvpCb@N(*ZIyfDl!o>;Y@$QV7d1k!!T@w&OQnr-8hO{zWU~h(b-fX+7i>&-Isg ziVzadIaCK}-FFH&0w(C7mUXXl9-u#t!&tE6^j1d>qq`h80kn|1Z(Vk{EUO*GD!KWc zhZ|6W*#PU^*T#TfgVN3Ys$=1ql3Yf4$hPe9C$%zGHy;;kKjmZ|S*`O8_RZhrU5-mu zQ1Mm1I03B&T|G=RU_MYNcex<(^7n+@glB{7WfM1Hs%^h_)rw3W+wMt+7iFizT-3VK z-o$(nD@~vJrjsXLkX>s*>5MaIP*uvWEGemnl{WnD%bT*Q!oYcIl~62>G4tXWUkZ4a z%{WnA{OLErUiq|m z+~qgYA@>RMe)@?a!pNSU=nf?RO}c5H8#IhwXLrfBzLa63{fun1=(QR^rMA~3YYkBd zPpz`J3}<(~!n~uDvx5RKNerdt-3-t)pdc^SDeE@sv1nGvj%Mi}G=K;PcT@G4EYZ;e z@7F+mLOw#%=1ve~&Y&x}5LDAj9$G_@p8MceEe%X0U1y29ONz_2iPr$u&Qq+KZ3r>* z9k{OpxSQDArD;{aK|{iR#*Zxz#c?KtVw%!l83_xuHMLjX-yL5NBGP!G?TPXpbOY&Q z`^S9ExU0w`new%&Nj8H3ovTZWGQz29gt8yae9Bzb=q3}60XKcANP0KNOGSJFZRq=-P`#jOLy<^i%_CX0W>$woXO^20Nkm%c(r3KIm za`xkilQ=Vj&c?Ka-j^L;K=~+_+Y)q14LEY!7|QMivrP6z@ra0Mh~ECR3(Vz(S1#Ju zgCpSzXKrYc7?e7U>-<0|@lTfoM<)WHyZ}N?9UY0V1=~2ez>uw51POWUu2Y3m&3&Eo zc^;|{^5XS9;ct8ozW8}ZsPC6Cb8(ERWVv+m@NWK{8F!#1g#BwRyow0;SPd4X{^sBy z;^f{ttmZF`H^8jE>2nqtt>jbnmI!J(t_h84MBvi-Vx1NC>A39n{#GYhc^Yhb|IZ|3 z)?b7yks4>R`~+utur=0@XKJ*?l>#G)}J2&KtHw9a(DZ-&clJ|j@N zJe0%L{pDDvyk93OvU1MN2FG6mdbqCW53@#uh$7>3==gjg-^h zK(cH&T?@YebNh4r2iKH*`NLvlO=?+1mi@8{t78u;>lXKYK$%Nu&+Cf#eYZVvhTPsb zl9#8ir6BgAa`lmhmR-U|`ZaD6x9Yv}fF$VBvm?ExU2&eSyqPw@Sy9hqSr>i5TgXYzg{N^EY6sY7W(K$Eoo9pIBYV3`;1b;};RK|XXOadMk}<0+l>=hr^gs+ea@Tu$)}SwzMDUx7*Bj*Y%g&udaT6CU;t zw|8W+l3qw)Dml}#KojIs4*A(Z0$3W4-h$Jp1-b)!6bW@mU6#e5do4U;`t4E}9Zt{Si(FgpD^anm9XWE4XcZHX$m6_w4JT$+q z`||-d>n+KxgNO;@ENzAu=cSlXGTNd3^}bMH&z`;T6QlO*jn!0zb)WD9Yp_=40dphc zLU!qzS0(Z35Ayr9Yo2(0*z{+8a-C|WTL%!Lz^Lzu2H+5G2|AffZ_aU_1K8D3P$GsIb;xu_9{P7#$SRvR;aaRqZL~Yyz z^j*CJAq*<4{10L`f7! zz-#J^u&60O*6oXM_W;Fh0ffhD+mX<(=4RhH=)lsg_Mk?w2IJuV?LtSHbJW19wMP?Y zdO~i1(RI;#od(r;q~5Q*%yaLnK4 z%q?(T#5{^-aRJq%A>qKDhvu(*g;41il1>~+n<4@QkI{4}V}W{FxpJ&{?SGcxe~rCI z+<2K`&|#2&{9Xk4oK|J#$9-}Ydi!@P(LeCCkYMZu-15#mhv$PwFUqa#lF}>zHMD~3 zp@#Y3&_mn+L^~9|NmKs78B3IUC^#Ya9u?V3rYQ*pSZr<$zCixX8egxaA9rr>qR6^Q z(K%TsNlv4TYxmTZ`Um7>z+jz0gA$#w<|f6bV0tLxS>3+qW>BD zUJg?pJy8B9{{jBFGa^PJIg5zV+2vgRTFXjUopQW`l6?C;C-p zQQ>c+=>_zTsMvzoi&92mCtCE|Q=Sj+ZmC@<@pTf#_I2HVBB81u9Wz{+4mBx(xGuoYCn%hkIO$>Ln8{)4 zSJwoyD9K0IYz)0FR`7%+E_(fB-FACpxlR}NS=hrk>1EVX_$)KTUuOI z15%e;1+h@?=w!7KA354JU;I4zywFatWT7kwscwmQ{}oIj7f-!P#F;HTgEa4b^70=HU~c+<6fV z`k+ygpTfsXn|Sa7@%{+KuBo8BmonOS*0O~lvk2c#)P=v};0t@j5m4q^C-MRp^!T%9 zE%uZ$?%_|L`E_}{7~7nr`eQoix9#MI@?~H!=ie%$_yL)HJ;Vu=4}e`3RRX)j$MNV= zS+x2PzO654+D6{V9D9E|nf*x?Tm2CBOz|g2tH2$SxcXkW;MwuXcizB>w-8Qi7+oh1 z0U2#!r^4Mqz16sakF#B=lG|`cuQYkbY8F2dcs>PSr3HvT1?yIT08LfjFPU8gUvFqx z!SMnW>HJJ1(PttrxoL~^j$<&@B!ka%X0gB_hikwpjcFuZaxbr8qM*aj@X^R4!7isx zE<_D@$owkod_S$`0$jB9Bm30(I!+a%;QdEofMlP>4A_9x~%-acpZ8ogqbvWDj8OE7Ffmixd&}mVDYf-lg*%_+trSt_a zV@T>aS$DlWoDrIUoU>0uL1V&lO7r0D&|S0l8T2XJ-(J_-$rDQ|x!=97#TY3HOaRAF z;OjuHUF+r6;Y6=iNN&B3@OsVFbjBax`1RSpyKTuYa?A z$xxG9W7J&z_ID620x>rTX+WfIaD<7NukoPv8o5K66rt)%zy+G{ov$dFHiEA%i6WeKIq^5X>(2!S9e$MD-j)m>hc{Q#; zfr6FMp$>T&lV=a_i>~W=(GlIs?Npuut2z5+r$jpKNQH#dR{FKn^BBsf6gsns^SG@p zh^rl3`8FdletC#n!Hw06 zuU$Ezv$5+72m-|nTHb)xKAyQA_v8zoJ~#WBnUwm=`vZogXeC`Xb~#r>k6?d24Ok zh2)htX~NZFgAM9z7Yd3o=;C&-%YQW-?LaOQQQ3*akoO%y}Mx1%Bb$F z$PIL)#>l0x!`Nj;OzNZI znE3UZ0+xC5-!Ku7@ohkN+2!g^hhCXiZl`Z_0IiM}8v!mVQ{%I;}xD>Su*yYV&o4@-FJ|np&=#em;fjWizn8UHepC_D}FZ zDwm~gL_Fej51HI*Ev&Z@8>yjRqPyEbW8)4I+23`ou=&Gz(2t54Zo?6yb9bGb^8MY6 z1F%YId8aS!UvO7s;!8lE23=-$ct;Cedbj$hUUK2Fnqrur*UFKWQ7$xKD~IbZ3I5X4 z`4BH*YL<$qH$1dHQm#7%Ihkk~wF(;`7NtX%z=QcXgNX=V0)5-k)}pX=y$%z&tpf45Bc&y(>^#I?NO}-pRnw9>Ya$Spei6$%orFV5}QB# zJ)d5fREb=-tkvJBziIMv$7*P*#ZQszqWWu@P|Yx*R8xO&F{Fp@*R3a!T^-5}Rfg33 zKQ|Rl+XH~2XirQW{LG=fJzGI-QK?tsseBr({z#2j%;e9gLY3=I!4hB|o+#9-zJ>Rj zp)nhbu|EJN?HiC!1w?GWsBwlO&jhUG7acs43uWp2#-e|@E6~2J=7RTjf=EL07j^{i zk~RA4_7BM|?pXETqJ-m23ERqSNR3y!ZgV^UPtCSd+~u(Rn~abtl>N z@|+>w?fMmke|`PQQ33G}#b6+-SQMCwh7yOJ?dDjlh^!5P?-PcD<8wd-!2{O9GZR6v zN7{;nSUqiUlINeN+Sw@X+M-hi3f)Ek)xCL%EpM;^zN{|-l;Mq#5#3#tH$2vrv*A8xBtAXw09Uyhz_I__q*(dtnaSRqQ z0ay|sI_6hte}hLHdSxyLHO zxPN}6SN3{`H-zViJ5U5;V8;FT@zQcy|JUU)$B=*FYl&3>6a+-QFV20scX#GD<{ zy@U4-OjwH=T{1iu4VIIyl0M8bqxSS%aBxB2vdFg5Q|cjoCy&5+x5ud+h~)tb=s)XLI6Los^TpQk zU+0-KhKW6tf2i%y4%FZb*hMF)LT(g}rHFou;IpA=pq)AUUBtAKcHO^L;;kt(lFt?e zB!B1c#mL;PWQu6J%5+U+7te@;le#EG8Z)h`+f@^PILYn}<3v5&Q%JtH)-w^0m5HYm zj9$M3Gp~fw?R7@?e9ITeaW6Be(p$9OWD$Wn-!)W-Rl+32=pIB^6*oPC)dG!>u|X3( zWNznem-Hk={NEx)CYy(9kBjG*6dso)8^pZ@WJqH?^MPcMrG#Os-n~-({ddR(F5PcR zASwL@Ha`v`8%@FS#%zLly~==IsFCLHL~*nB@nA%szT{woh=OZ-4SmD(LFKX&`vS8U z#mJM#f&prCC6n7(wLfM)IYC;VkN<2sh#0H)A(@wH)f+E3AG=J+bR=9YH#)XxU#MjY zuzD`U*zmO_6`~{~06SVb4S01NEx+)co}}r1Cq|5gKBa80k-}gwSDH?Wk}b}(?!WHT z9xsnr5o0UcXBs62_geIi8XhpF<$rm3xBj#kCS=#mx?`@IO<|TU#1%e|sn&MM95%PM zovCyF$uVwcD~r2jy%Y**==t@Wl<`z0Uw@eFBDCEuH8hcDg~ODVbN7AdxXv+Ugq7ul zl4Jkt%tn6RKJ7t0xrfdHdT|P?<;#Y-iLN_m-{7)JAyKjg#ijz*BMFQTR(EYKKw-C+ zJ`6!lW&LGo(Q=yDHCwmX5IAt?zsG)V$vjT96s2C%--#Fon59#V51c2X?u<3sFnOC) z_68iVN)W3qNQcbb(ZQ^JP@=y7k?XtCT}|7BCO7%DevpsGD2FL08W{G#X>U)R%;m0Ps5Rl^E{xfYG|)G7 z>-DFx+S}#N;(2@ar)jqTmt2rkw#tz1&D118!<`7v>H?wH0sz-%Wd21o!Ni)04E;n7 z-+J3E1cwppJOAM@P`L?8^aI(J1Bxz_rfWG4hIkzXX^Cs(vyLs^qo|`M{=2<3rixC9 z1j}#YY=?@9A2An6ofg82efmGaocmXW{qN4Jg%8~Ovq^&q6{mJoIDEc|JhYYI;f*=r zGIvOctET1NhDZ{?2a<3SdH2xg_*xB^Z;H^lu{PbnT@mRC;@m54WMWbE zdwBh1G(&o|`S0kV|EbD^T{U=Blp<~b zI%Hf}aJ48;aQ^fGCxf@y3N{2qP;eLySyP^R{89?1q2V z60ySy`qr^atgr&11W!zSH`X>;YB!IHU>=)b#&+H1kf57sv&Bvn4dhX0n7FVLB|IT> z*#a}-_RC7A1rJ$%^lf(|mte=kSFicABL;VP_mlg2pb@ncd;X>g!L9 z8QTY-*puPxpZ;^Yg!R3)2ln;|1^A}t{QTq&E*^O=_&CX}ioN5;_6IpQZTa&HvSfGMS zZV#oS{|UA{LngUiyr(I}Ki(jL-DIr-g%}%i_Ude|_7|wg6F}1^e=&r0!(sPlz^%kI;%oDj@4qBpy*#O+Dy_BfBX51Mh*PxJ}gF~#@&WyubA5S z6b|}Z@oA>40Tb)eG>iHe9Q7POLs9g2{`XH44y6I;5dxsK#em;s$gNB~fG;ZIcoOKA z#6!17DC@pqQm>f{c8P9w!*@ijE(gxk;k7U01+&I(V*{?luuM;h@sjYG#2tRUk<9p0 zXAWg`JNGY1EQS@++Y>7y!~-|b9r3>U>)wnw_Z(8klHG){4Jb_Va~R1rF}~wp5uOt? zIkiV1PuO=RJa!2p{hb-UmNQ8^3?xtKU>k(iCQU{s)?_TTB1kQ3*8&rh#OKK#kC_RB zXj?PeLSBCQz4fg=A$1EQ8dH!AOrP+rN-NYm@tWr}fQ~zKlEDKTk85R!y(IQje#N8* z;zi-0Wn%hDUa^7J!1b{ni+t*$*>5$Sm6`~#(Z?8UPo7rwpQ)Z{`gf42fl0&iRxK&` z()J)urDVR;r^@L-Y+|K+AQoR5j^5|Y2imaa*H)wg-I7RQxpYzIz2=L)VT)P$S{wUC z1nR1-9(Ccm<*iJ79-bJSUmKt=an$C)EwDNwe&}5|v_+UPBYUhugh(PfKVC~E_viVH zvVSuVtiyD_te+di7{mCi@U^p$uvCT3+P6T5fabZifKXL_Q) z6o+fAa+LqVbeU(fS|j9AL>8;Yj?xTug(FZxm0uy8>(^MDyEPW=Q7NSh{FupDcq0iS zHX4PF{Nj7iXQSISZ#*K`xXV!$`M6eqs@9i8fcYPpYS;pupM(HtiT`iKpO!ysu1^=3 zUe8-aFA}Us(~MNsOm@TfIR}G=gnS-rf&od(<%^uIAhhW@9Io4czKGbR#6MmW(!~P6|!a)zMa5KBHZU2mR^>>1+K5D`)gmSoKpTfV%R$%5v}*DrpyfjwTO!~HPI?!9J34?z~+ zEDlpT2%k)D>Jst2ExEq!-MJe0c%Z^)9u|8rB&=PVc^5pdcAK8}ntpA9%wO$(nCMD! zn$8LbEg9AkyHDxmNzfpsnS~2qLw#15*S-utr+c%zZ&Vg1H=p@} z!J~4U+pycLrr?-LXviMOk&N}CQZ`NRx58K*zej1JUZ9)irb?7?{kDCn|FyXSb}Y|5 zNB(os%HP?XaM)3C|3T)DRiN<|_CQS56n^T)kX5}Jt zgnrCgK+E0m&9!hwrn?Ie#Gw?Nss(~LX`yi0=lGYQ71i!nRYI+A!W(BH&I>T+N$kfV zVCzarE4(6BS^x0;yJ!F2wK(rV5dEMV&(I?@YRy?Ot08a8H1tf{dVTLs2byb7mCcNG z)wkSriN`70?)lvO<|7_n3plNMB-Y4q9Yr@PapADAOz<{fUX1RC^Dw;)+#XB*4d=i_ zaon!unTudWO?x$n(>&`39VF7Lrb$0d2JAkz%-~T(>~D}fZ&^Nn9U$+-UX9D5ew zK9#{8W#@GB!Ed$jF?f4duPcvzl)%J_OiKnIX3$7w-@+o8_}Ixi`*j<;jKWNZxx4vvD zH*oUcoZaQX{$EB@1cs%wLu4FTy;BF1!#_R|*QAs5H3ehSch2=5_e<1#H#E@Co&ULj291^!yprBX)Q9U9GtF)qt!VXxk z3y-nyIo5Jb0@K~UN*|P+KrVqbmVAztdQR>;eHfF|W(DN0?J@#fhLaTzmRbrZ#0%8pA78kXy`RJ|6jS(7oMG;2d|5v?uZ`p)7#TiF^Greh@E z=E8qrcU~{?*=u6e1Kth7}Jw%<{grIAddt;m7IFde5gqBZ$i`1FH}CqIho(w>WxtRg zEMJyB)zv?$a%kfDx1IW7r=Kg9Q%BB^o95b4#{D&4gjpUsi!I)Ps6Kz!Fgp8Y+1^-@ zmrfqNFSGbgr}3v9-1`FDd?;7u!8bd<^16FY+MB3j9+-E5?uK^ERyy>fgOtjQs&c215{znb4qns&I=|S%3 zhQgCZ$!mE&bw4;OP*s$;6E9B}GdM}|sB^PD6OY!`Hk{mV+`DmMN%^TO=+uW`%fkm| z#TH+#HO^B#n*0X?8!#;m-yb%!S}vO>Kq}jCDG@U}s(unru3Q|l9!(8q(c7jJ*i%zz zrjDm@eDbS~%ZoKCW#*Ad?>v z^mk~1g`L#$&iXxw!@P?JwMV{uaQpcM0c&L)FEx!JADr1+JY`TZA#|v_6j7YWIS%K& z?v7)jl#A5#FD+(A=J+o5ohzV8IZW8Wqr{raC#cK-Q&}Hf69{}v_INviP0;KdYh0C| z_(hsFTds-u>Pn+ubGBtJhiVPbNl%msH4vNzU!4J7w^A>>KwN4nLCEWw{hJk%mpq|m z{r#!J>sbv@h;(}qwCH-*8dBfhVgT$gm^B}hOC6NlkrLD0#QcbwPFQ?XUWR&L>35f7g_Ra$ zb?UpwF8=S=*WAxD_f-&vm+n!XyW|R`isBs(Cb;S>-dd@v$Y-H&7xTp3cMx11pb2^n zw0FqU?yFh&-x4@8jeYj<|3ra z^vQ&KFwS+ORtYTc3ZX->&=6HgA1f0^dijErvVc=^$6DNMF``c!*`S0Otd%;o_?;<~ z%NXXv9VBN(bwt`rTec%u^Lq<{1_#B44c{>=} z{e7jI{8EXFuHv_bUM=iGk7(ONB>E!tJ0NY1GTq{_MsbkNK6k6(NKOO_70N@R@sT=S zcT~40)Igadwe1qNOiy!l@M-jI$)`~rOkaWS%6?x+OCkA{r5nw;$r0&Zx(0JV55sx# z41GnPVYuA)P{`_!437{t2E>r>zo!a03${arX&6l(^UDWx>R955_Bo^4LHX4aYaLkd zLLqL`w-A!mjE58VAgovi^$erqZSEg68xHVLTaPfy(ueNzVi>ekR&6Yk1rHL2rIUXTtWe$F;zgy1CuT=xcCRW}1(C`yny`6ye0=Eg zJ1=K<_NsTie=2yK^ZYWcTPo;5i(+0gjy z&(e}WPbN+;9-Vd+KWSKlMR4a}BQI5);ELvr`UP#6O}JWE0C6_|Lb87E3~Pgyw-;TP zFbD|{FnVi`f}Mwbk$bUf&5uRWC2YLbHS1$DZy6U(UYTpPI(T`e>#e=Y#5-TUHJWDR z;aA*^g8U&@dm99DCZOYK%2m}LjtFowE>?gndBc1fWOqe}PDW!J^joJs%8!yMv-@^Y zRpe%#T3b1Cp1b6+zo}NI;#%Klp-PCBLAoLW>pRapOKYLJ6m^s>OOCVi@J+<=d0JVs zf!y^ensuIM_$4vH-bj!4rm#5`t4AXO-pWY=y?!5UY#1?AaV3f|2vj^pY|oSgo1z}F0no|#)8o1DjF)IGm-uJ;tSJRUFd+EYcQA|+{=S}~oA2>gt&rg30 z+L6Ck7uBiXOJDI|^w%QH!V=4V4e*yT1Q55LL>RSGJ!4lr>#Uqh3>op+0*XOng`-Au zhrb1k;5U`-YYuK=hC@jzv4!C4Mk+Q_3bD+M(^$CN#25289V+BA&*@6316|skW}wvX zWgAEYD9a8%b8sOyPeIlYs8?dju+GGN@Ew5YR)XZ%=`RBsVj%>LV}^__6=Y)MJXC8? z<;oNvB{ciy0cDkBhn%M^j+O4EgU;H@Gb$(TiaMtKF-W%7iK)bT%faeQ^At?wJ@!TO zi3@S{#>@Mi9mSoPS=1+aBd2lem%O@LJd|xK)5f@>AD1JBjRt8&$OR_Ep!1a)W{riM zv2t&5P9?>(%Up4kKl4j4d!;P;QL0!STkGoz+m5CA#`n7)-!wfvAS#E0 zRpxnGg+P_--+4;4L1?Qkbf5VbVP#!e%lmE3uMxp-@}a$j+&Qskh*x2?mLu*t9)!Fs z>V?rxf2Ks2;$r@9&cW*7hN6czU`+f(z-BXC1v;hU$HI+zQrFqH4^H(Huc=`|^^{OH zoQu-O$tWL^f)fF9j;n+7;blS}x-RXv^5oMim=}ko4z2CUEfkVGldde15Z_@Y>ArWO zAA+yS&C^c4f58`Rn4_Dj$>-Ks(Xq4bC4Jqgrt@@y)FQ5Q1Sdh>TrmtMC(w_q&n`Jn6$+4e1+XQK@?atKV=Px3v+J-|$g<=ZJH@}y zL-87}gU_gBnr5w$vmk{V#}DQp?*Tu^E6Jr9eGV;c^KlpL93#>m(`nKUBa1SlrGv=w zg{~X(;2z@e1bc9kO!4p1gXo%&YN5=OCF$6Nh}SDiusJQ?Y)+kKY$yBenU5Ewhz|qK zXfOThVn0G_dwG)zUQuF+{wr;l3hD7>DO>LE7;MykL{K+%U*DBcsj2WgxX@h5Kc~{J z0!YU^IX}o_PhYu5+y2(FRRWOb@6TCc^ID_?LaGN0^VM=?72p$^QUBMWCrHO|E%x4x4 zZlG!Sx=XlmkD5I-NMv$ds zZx=Y=B%!C-$}!_+j4mmj-;ia<`u>Co;G9w|Jy3mpQ$@l-juM5Y+% zc1-k@!C7qV0tXxjqcOwdcENK&WyPKyx0QW1pStaH+&SKS&9ctEso$ksJkE@HP_8>3 z%Q7x!Yc6YDjA@KklKki`c|C)}bL+I(PFKT+lY)uDeo zXm$)C(4<-g%H7JPp7*c-wH;l)m($R6G@ENZnuumDOl7#Y?5^lggBcwp8<#x_&%zEtObEW{fMj3g`0Pk; zUcvj|d1R1PL&I8;$Gerhdwr}gOuINU57Io?SRyqYp)bhoE?0JWpgp$%>;ATDJmEKi zm7DN}-zfJ2Zl6h-_vz1{6fUGL`wNdadLuyX4TpoIWri#}?g?vGLTZHC3126b92ZYG zTZq>{jztRWowT1i3%RUXGU7f{BNrg-L>v9QuV#_&(K^-vB)i-?IXQV-`9jKd?3&Lw z)zq~mF*F+rI5GeL;o0f@FWSm;2!*DzzEpb1po9;pqDspB?awg}qC8wM=IgK&OVwjB?8)n;g>aNfI`Tici z|9-ze&HHt_Uf1iop4WA~uIm*VBM89IWlSW^ZBM5;4)vl(9s(bl+OOjV!n@YpOHzHk zK0B12G_G~48}BCzcf1|_dY@(37O`xn2L0C6vO7C?`^$o>pw_**8P`v`D%|k&wHDwuS%Sr>iKFc(qaJ!b6Ylo0I7XFg)UZA0LCnEf5toXU7& znB6@#Gi0XNcG1*UpB=?aHJgYuwZ}bj(;KsJa0E?D(k_cN4y$FFS8N1f;J=$p$-Gy# zxy22o78{s)$-NP!KlV=eZsz4&B6+@rPf9B+JBE8~)ZL+ymzT9hwIM6e(qwYfIfY8j z#LS^A!2ZV*C8~lPgepOBrcRM>&|smy4vu*P0=XoN*>d=v9gPCPNdHI z=|G*%ooRE7d0INf826Im8gaY(WbYtF_lc#}E75MJ==Z~ICm3;L49Kz&euvOxDtB?L zEzl+bWS4iGmczlgX8@^hB@^*ucD0{hXcZqJ__X8AP9kNrr!(Yd;G>kpoP`!6jKgMK z90kudm5c63IpLSV7JDtdDze!yiV|0ufjpq@$;28v*|;U4v6PZhnjvr+j&DUrI2P5b zNJ+JS@gDH7-?7nI&l})NJ3b`G)cN}*hw5zHV~zdJXGUY4wMhpv8=>@lD(}xThs@gN zZ4Bl(3h#SI$jwza-L*qOA@wvx!!fO5S<3lI+}iP}i8qkOnk$9L5d2e{nXajMd+;_t zck3M+6dbM2SgT$T$QHa{vtr2-2DvY$9RzlpO32)&yXSmFf;p_zvqw2ni*@4>uanwf@8GO=u&J zO#VH7MDW9L>sO)Nc>oXB;kB8EyO`t8(AX}op10JFzCImz^dINo-JMlux7$8Qb{e0u z|L3RB10(snR^oVW)z{9!JWVtue%|mJ3H4zAc;K4<<%c5|f4(ra?fs!suYE?b2bp$h zbl^SQLFVGZLc^>V>|j3RGy;Vpw>3U0Uz-&~X=r~^Em&qGi;|DsXq${-(Xi;Zq{mE1cVNwu<@j8sv?igs%e z)P!ZZ1xQJQ;Xj;UM0pMrT^Y0QfJayAnyP$s1-xQL3HJaA;;aL#Jz0e_fkVTb zvNV*$2D?wY!1ntxm5g{sE0<8mEaz4cd7T_3Kb?|piWjQkUaADXKd@MYDaa;+W){)e zGsWHSg!(#NDK)k0w+dJMI@|+-GL=vb6zxTYrpX~@%Lsq1(`QNk%C)oPzFr!`po+-$ z^BY#35UtYO&iU#D;e1Ji=&+izyn$=qI?2XOkvK!mMpVc#;ScidOZjpo$LIEx)AJ}O z_X5brp^Y3Ei$z;l?B?l}u!@mAK=$y0?3X!&%c+L&PTW&=M`A+}jz00A#yOyHkQ-$D zHcvw)VQ5^fYBwD+7~Bz6^^i}FN#U1jq>W+4O{WevYzhMUcR1wkWZ;%aa zQ(1-n_F}DdJz8X8Z0HDmEy{j>J5m>1bSyEgJJI)6<;;57RC3Pf8@HRqi%-q#4i;uk z?lwnPM@0{m6+cX7zc0c;;{~7D8;lgg>^* zUwoA3IsLkV+19tlwH>9UKTg3&WG9g1XQsPzDugS9I-hhnw7XO*+YNKo-dEBoBwH7* z>ft*GVq$`+siy=phWTLC>D0$U=p41>1-35A6Vz3wXr&j-Q=IyEx;-!;D8&4r`u@HV z0pA6s5l6kw7XRC;i#hr5J8BB`>A4~}eP!NEQyFgZ3cW_?tnGfBjr;0!&>U28Tu?78 zw-By8wV1AFO3u>6Jpj=%D|r!2Vjv^+^ZC%mK#6q?&g*eV^cpk5jIw}s)TzeJ7L0Iil2^Cdt-41tnvQFT&CwNil)XpvlfV^oF&e?obsEC242*CaLi zxUn{m#PKd(+mp_>jS+pU%zMRrjS+D<8Aop@@@+K1cFqpwS6yKMXRau*4wvO9@$LCYSTH700n_L+hnMou38uX1)yBBDQOExY4 zTNUB`X8HzpZL`pTun{Tib@17E=Sl4i-Eq#;B~h#vOW!ENKM>P|oBQD8h7~q=e$aVOc1sOfHfLu z%qE>5>#U z@ojE#$T0#RCD=58o}s?pswB-np7XUgSa$)<_fSXr+}%x60Z<*i%-_t@GJWOHrIT_x z8Ef2YSV~BYOnJ#p%drxAkOluP{nU~6dgqDXNQgJRj}$GK)HG&+-`Qb$L_NC&RC1K} zgkW@@2W<^OO^uc`bcLK{B=j`dt(O|7#d7Uo$R0+8Ylk*){gLSyv`9Sh5Jo2ihCAwY z{%Hq((N<7)26TravBSX72n{m_75g4btOC6Kjji_{wGwDEtx`P7)(f_^E=72J1eS>S zd=gvN{OpGRTzRj@PbC9T*(5=pf{J8Dt^JiZ-`X^8>n2gSAyt`GONt&`wO!Kj@GeI7 z!i%+_I3qi!gd&4}Y)fm93qJ*p@rCdr$(E|jI@IFJDb=%{sOjq@rcF;Uw;hrG?^Pwg9rdl+RJ~ec9^D$_`j1Ut! z?@UzNJCqXR`&^kqnRj~}^r?Xvu^i*6PX)UJn94=h5)x!Qbu;&k-x z^MWC&V17XO$SHT8Rh&V;SXnY3iJCf_4o3U&eeHwkRYd5HrEni9A~FgO%n~oJ#|Bc~ z{f!)X6YY20Si{E6=0=s`m7%EmNr!nl~Hs!ne6%Tsoj+Krx}jqQ~j{%2EHB(YOt>eddUh*fxqnMP{WvS*snCv|6t9 z#hn%y$KBXy8PGjB_$7^#!mxpAEovzz*(DZ6I?;k&F-WS6zKXbTm)HV3b6DV$d}TDz z2fhIxjF)@23WQBaTds~R+dKC|lg8}(wLIs!x1Bjw)Krc%kzS17GSm1wf1bEWgh_zd z1I(SDQSfDrVcLtZlF6~!uv==+-A8X1O~_v5Tpm;D{~HHnW1Rb04}rQS<=m#*2dOo` zwY2!}i%=Jz{>y1tae8UfW2#k|Z*FHMOWDf2{T!L@B2?UZ?;L51BPvDjioNR1&wFN( zjt(Oo(arT_baZ*ZTp=luJ1rXD8?_Jgq%JER_w2EXrTCRcYtzzsim1 zcf;Ck_h=|tY<@`KBPr^L*QW}NRAx8Bf>MXdP#Ez_cy|$sKO)uD;yP4;ti%Hg(#_iI zLnh)iir{_6L`8*tWiG-(^iaazCi!Fdet83SLOFV9*F>pZTj7XnS)Cs1sr{u&X zkPykrUmKFiiG=2jDH1vj$}eHMOIkKSKmw)AYVwKSD=0qP(J1SjAjbtZP{14dyg-*8 z5TA~?nmYk!tCDNy{U@M~optv~fsREMnqVWKV7n64r*>JApWJlJ##{SPBSRlj@}L4q z#*V!Wl9+niwp=C-t7YoOX&yJavAXEk?m597{D&XrSK-Q4^4~$AYV8bWV^5-+=Uz1X z%dK25Q{&K}ys1ldDJ^y@)&8%ozyoTAc}3_7_Q~pd=@o{0a9vy>di}*a1_+`GTKyx7 zBaLL;6m!f5TKLIy9V=;mRz8W<7_4i38c-MwzGZdiJkOT?CIkghm@UmckX0|79eH5! zW2&nj#qGSrgRjCxq=oGPwL6EA=B=S};@W*SRC6mj(x7EHVWy!0!xNY(RJ3wp%O=NLjWd+3I**Pa6g~M@NbJ9DD>VDZv8c*RV`Y}wS&Aj8YohNF)+KuD4g%>mrX>*v7@(r5G@*Nzj7$pEAIg^ zT@{-F)dU1l6lT(3jv(T-d%XJ#0PPG06>V>B;R>msI8;tnkm?wMiAx~u6r0d7vt zQ6h&}J|I^95&>bV*-`DOD|kHXmBg{krwo=G)^BL;8RxyXZJBgNEyWBQt0rg&bV)J< zUP)t4IhL2mw1b>|iZ4-Mv{-DY6-4Z>2t()7%Nar$t{P}8Vazxl{(v6RpR z>s&9N`s-sH&&BrT5JEhmvA?LqGiBw9niHWsQcw}uadR|=VpL_suGBCz)qT#GcPa)Q zlnfE+_nyitx3640+aaXE<(RE6=gs$C4R&zK`hi17J@f>Ih88`<9zi8<@^vvcY@Z2Q z1(mFm%k`~v9k14`wX+j*QdTau^fNpOCFV@Ikb8$9WiiBFHT1p~-ih?1oi3d8aGm_m zH}X+MwvC_GckjKY*@PCZXtI$LOC-khO(mxQpp$3WXI+?`{ek0OUEVh#31YpaEy&ai zbotT&eaZYhdKG(opxteSa-+xZNNg>?G+kE76|s4P-K>IJAMKV8UC#q{C4N%t9&%hj z+v{{I?7f;6#Y$}x7sI(t;=N;b@gc>>6y8zPYLHW9`NzNl-NRYpxTmJ65dofYcI=Cs zVgfp?!DmM@jD}j3q2j}scQ@;GW_uX^NltKAjS}St z4f8=#RFH8SZ>q_i>-#2Xwe57qO-Q4}*&evkFoMo^=MRhtE}${J2rmL1n-fcs$nHjJO!e{2w0#4K9A3@1*;^O=Z? z9TU8Scr>uO#lhtgFs+8dQ9{#H1ZRUhnn$8Em8E^8AfH0?c}xH%=RvEl%Iqb#p{AlG$oh zA#^Ra!=XddGpD@Jq_N&(|8Up^@x?dmu|S*jfNJfhpLVj0jd~_iTwO>DRNY6FG-6;o zqw2o6jM~_V<+>!4H7%+aT*F|D%RRh2(Xe&}*+9P!exf?x&MIh6d{!_JBVjxOYAYI* z-)J&py}~cVwb2I?doz(<7)wUn#wyD1k9;HA9?nKqtOXe0)Z=$3R*8ioBDGFIsuwpP z&4)H#zDI=FyqtKhsmwG+aOd#=dF(XBZHaz7JJXCoie_a(#rbzf7{d({wUW+MIq{Mt zu-C^483M?kSyYSK!4mQ%zG(7ld$VSkev@;x2&)(ju%aAsW;dr9%N{+)3mU>&BlcS3 zTdtBpy(D=Y#T{gqS(2Dz+mX=jg~yZ5v4%#{;HUA3R}7=*jtM>!9cJsrI%RY2H5ALc zft|nOj+~le1*%0luF$dr3o2lge+i-cDA>X}j-_nW4JV_@kxwUC84>S88e;02+O=jR zOE8m~3=l(WU=-}Sc@Ze+fDJmr$ihDIKC~e*eg9gE|ANmMws#mMQdQ7AEvm>3T|hu& z%oLr);Q^!ah9r}I&sY5i#?j(I7+3bkv#fR!G0DT=0&j*f&pOa$Xn27)suu@o-tIm) zJAd4(_sCxLPbplhV2>wc2X{(MLjO#rU%LB5ZV}Cf0pVUKv%i|=mKxz6=+>lWL0^qw z(2fsdD8=GToaTF!0xig{UQHP_fGz1hrid@SI}Ebp>2=dmfPt{1GINZi}Jb+@BYTb{du_WgBf(U z&h`WD5%M=KL?wa|gj4AZYBEa#$^Djk=SS5a{{6ypW67byUL1zSB%FOQ4#90!#GGRP zC^xrlo5(#kp}uA>9o`1t_rRScbpVjeBY8is2iE9YXZ%Xmv=Ki1>k*phzqUtHNL(&9 zDtM1N3+9BD7^h<{j1w#1HLeW50X)SdU3`H|JWsby&0!uX7Qq6y9EBf_=iDAdxa7=ciR7&j56o2f=y3ct#{(hm zNQ_48tC{t2_WTOXPV1z3S13f5@4%W)d9TQGGWedF(pQ--FpEQnFvj=9a%U?QQ^u+% zLY9_ujPGSrWJ5ksn~Z5`wKq(Ym3s63NZhY=9d5*0q?2TXVBwctb5TKmfGdvr8F%RE z4y%rvq;i<19JO0O^C}Yfyl9+x5J)olh?t^j^?52>DT7|hk>>-dSGtD_CQGX9%-Q*#Z)_%c z2CO1};eVM`_^p_3#Oc6K1mi?pr0E$&88FcS)ToipkbYgjCT3Z&=fwYq|(H8v4*6cu9mU^JdC zMh`T+-mUG=snZV$kj}Y|xYk3eVG9B9W_x5)mVM`KAj|Ov`D&J+u!{0(^}-a;v#j@| zic8Cdm+e!R_eH;zE`ZKeLE=7q%q8AMJkD!XExX%zyb*Fw;vHeDl7&y*wvTCJY}9&_ zIKJe!=_zCDkFl~G+ZP~^0W4^G12n>k>ArHr#Gzd@U3ok8pkhMsRkw7yE5-9wqFV~V z9~MlNvt%{7ngh+p(CP3ex*9;|oqfeST{)76St9NxIhxkrQ)utR7Vz(IrefAp1HGyM zh|QWC>bzC3HfI%sv`q-1^zK0;eWEc@S%&KSwH@1+UI>{5Pj)R{sg-$a{#+xxsG+0#ZJ$nGe1bnXTF zPp50tn|^hjalf5u2!al0k0DRK>l~NNL?lP3Joh~50lK8!ni3&(@!;5(KpwVf{98u+ z{$A-eE~L(@Xu~Y67k=*GUC(tTL4K^J_GS+Dsd6cE=myo-SOKkSN4b#IZ~$mgPMGT% z+bbO*DnE% zi_?739(yok{(5-ff_m8DSpM}cL4&{lvFRzA|hO(}Vuca5qYqpQ`y*WvZOzN!V{UUPM+b&c`Di@0RQd)FOx zmaiRu)E2sfcH`gijeo>lDlbXl>mAOcZdLU#9AfG8ASUJ@ZBVpAl6X_<*i+;F+Gmf( z1>p&A8sfBWq00!0Yp6->lad9@vB(a$!?Kc@rf>UCotj)td+Y7u2&%ONqcyEhRZBV* zy$rZCVlT;DHNId`XKJ6#B($1l+9u}Z71yi@hG2!1xMf!;{iR!V3Vw@n?K7v7S$q1FUGgZ1!-WSDJ{64XH$omRQZWyE+yH z5swjddb=Qt$2uP}RN!im%hi%}?EHvD%lV3vj=zuOf=g+|mFv1yS;zcTMHY<9r{9h5 zwlQ8SQ35GO%ATnvf*B-IiI+(eBQH4Ws zLN{tRvCVV@dMDJ_QsAJX_+cxzy#-9$We3GUf0xRF8#PWFCwn)uu7YdpTY>C zJ|{P?rcSY{Pn?V2$BMa>Ny!#4SyytoLJ<9^aQJ?VXpR=Id105om#r2xwq|>K0w=At zS;3~{!>mPWL>^P`Y1oraRTOHrg20#V9yv`xc7dxkQ^JQCT^!II4s%NV9p96y3EN@y zk%clQ)hDrrgbjup%K_sScNWB{-H-p2d2tKnKqO1Q=0qMp8C&fjZr05tkHbF+OyBq1 zp>^ZRlaNUk5S95uw0$|bDAGy%M(&L0d?5XrjN0AdrBi~Od_6w6YXyz)kH-9+HRXF% zLA~xBBzN~Vb?c4PqSR@}nC~eC-je(`yt2HS)@NiF=LT|&8#-bE;GmV+Ox6`&7lGOA z{|&hs4q#)5IXr1FMqpc#_dK%W-Eu9-Bfg29&AF`hL)r|TdJPEXzuz|95+Mi(hC0i z^;feuYcyULqB4AK8e6-PQ>xx=G2IXEZ^sD;VXVn4;c!(mL>`C z34(da%%pQV_Q%E`N^b)VS7TX_<0e;%k()IRuP#|xO=vV?yuNkd+oB!f!z@Mcfju*y zimuPvJk^pAF`--R6DJ#A==Q9QJ8WGR&r&aqui7op6;0c7!^(w=OApO}YZ4CrCsJr? zi+$9sk<-nMP62!;rFqr=6?D~h_558A{w6)XrxP`OfQJ0JoY^8@IqC%-0uZX>scVmoZcNS6AD@Yi zP82%9@Fst-2*|VM-D;I2&h%d^Xf-YC5KH63g#06bG!Rf*CkjS<9?RT{nhi01H)jHM z(>iNUav zqzLv2JjBY*&ocb{fXXSI{H6TIgQGszPt=^clKegZeju?sVY#d%=#EgN%L@O~?z%xqgj(xQHIpI%oCAF=d19xv1-#QjG zuG~Q(%g;m_*_QVP@++gZ`^>X1-!c7GNfo2#tVUZ;FTBRTL!-*-ILUaPF!s9 z;kdq^uIorPj!1ilQJPJNW!YmUeQI+rUGLGzg0uU+@xd8%3!J@MKZA2C2yx)${)5eg zc-24{Q;6iJ&RMhCX#QskqDg3E{@RGyk&Aorqm7_PWE(VmA8J#?bTP0K3hDYGP!XcN(W^=UH?y zIB;*vaW8RR4J!a5MbwBwoG3iAoWd+x8@oDwKH1}73uppUuBcusBSjLGowBJD+`?#W zb$GU`QpvcXL6TGfq`)SZ;nkMxkB3>+dkh}EJ{(xIGkUp}Ekoghv_ZSKQH|O>$?2~o zDnFHB9a(kEy^FY1;41WRdIP$?!u@{W?_RM^3gr%`3U>K3V3Y8r1>-Tn%e?7M(w+Ja z8F9j{i*&&^#E5jg_4H-nxp2T-W7-`{D?7LH!@8AcGjGbg^&R1=z4-FSylFa9>e?3(jzbLU?K zoOTs4PwOm1IQvD2P&9}ssuL5Nr>Ff`#vXjM7H`)dITIw%Y00`fw<$M)Qzm;1?eZ7$ z2BtxKWxk&g>%{NU?th`S>RNRF>gL^HZWY)$QW2<{> zPB{b1n31yzh67DUP-FVnA6wc0*?V9t8}Y=dEx0B|ZBOnYM1ry6Jif8dtUF$4b>zx8U03RN1y5UnPX(jAh)b+%AUkf`Y}-vAi;6gKk*`%pXlhE9N{k zE)#q(dkgjNw|+-)RH8F)VQsDHoV->6cCggY;fln(fF;6J9ahAfR$;9dV;ZpffJ^H0sT$$iPh}B`Dzi2)^TO5{%Az8I6~Rk zW-~4^>u()9?LhlixN&f2YhC^DjG!f@t@?QrJnMp3j=Vzrbaaldwn$nC!Bl3DN>N6Fa?%v5bKnp&rw; zfKRV*AL2yTTwzhSb@v+Y2~lldCgiQWsL7McxLv{juqK5sEtx^a4AV;lOLtiT8ijPXFTI?y*B_t(OeJY|ahv4e_-WT+@lw zUtTYlZlPbktnN$yx|C+PVFfVObHah7X!R`+3poXgL(2f9PyNc9 z-Pge5vP1sU3DR%}0kvCYa{JHco;B3}%X9Yg=aDCAp-6tOc7b2R$c>A^C@z`5nu3zz zq)?rP#$ZYx2kr*bTbR%hn3nq0!TYu@;|l9f zHI1q}j3dV*A|fQWa|muF>10_AhaGiT-RfUU`XDTZ+OxvH*vx;S6gjcTAG5N&zP>(= zfg`}YpZ|3Gh00geLM{dR`UVCbF2m9?Fs3Jet;^c|p1^F}5@ioZ=C$!bFE>%=e@#;@ zK2)c+u|Alsgjg*{wOV+8=M}!@jV{aF<*(0w-bXuW@%F!F<94|3-*!RS>Fwe=jI?F! zGI>zuwN#Ae1d>gS{n1Hi0kx=lV&lyF>&3*xZ0+~jUoRfE{nsc(odZq$cdzyI^yn8o z`~+sxiDMBF)h#7}8<;SqP0x>aattp-Kthk|*WiNbr83ZuAExg8nB|vw_;vm?i#rFx zZD^lA-wH|7Pw$m_-Qtwql0IX9Tl%$BN=M|M;ZLr}Ex*MQ>tWp~KUc^2)+uzjZ^Y9u zLrvNbjp8mXDG9?(oF4H56yRl$;&%DZA;}Hg4a%gk!wVVc{ksng{~0kKzPWZzz}dZ8 z+E^^Ec9O^C^N>UoD6gEqwcLJkx-E_aXD>13(J@9{yDBa-a-aM4ZB6?AUBjPUTwh=A zR=jrMUcD-u@VpzrS;dn`m*?HjZl|U{E(zA5qcX;upt9WSA9HV9Fi;V6D`{k=>=FkA znvlt0-g=GnkC}KwK|w1|cBxcZ$K`Oi{3pcuyxz8FcV2ntu9a&6fo|1n-~3FrD6r>b zNz;aF1DSt8KFLbxN8_3k5;`IJeA4l0uNFCeV{3FoU^ilS1e_eat#0dUp3aqd{Te^le;xr&oaR4( z9mwObc%mbA{1%c~Vgt>l3DU1yV4jbG>-O2#F5nLR_K$UG^8m$yp;)|aV{SiKF3orA z2$k2%@(lkYU%cbw^)J*hm7Crwh^-|HHO{^{kuCC-|Kn8-F9b6hnki{*6c-I>+0qQ5V zb2?)&)r=O{)T1NU#3tEv8Tv($pZ5Qk@YKXm9RUoo54B_C+fr4+!;DmZZ~f&!+)N>( z(Za6QQ(zW`JnBoHU?DXWMd}sE-G3R(o`Y?6$}DcKMNGzRkB>$ie!BU7;#!Nz-t1({ zbN?cgCSNp-)z?=G4p@NMdIfyHj4V!Mot%3%N|4qVuOq`*U?^OZZ@ZP70=_B^zDz9} zz;%>4L4u^T<@d17W#q42ARBK(O_hBnbM)z9oiFO&F0+tpBpA}h@g=dG{`vWg`98Aa z>uWz%q5AkH0B5XR50LNzYb0ma8C44xJF<4)|YhpDf`lnjZ~LK+TsG|TlH72*K{+M zTi>LVxUqL}gN@;I+MPZKbNK2-1elan1NMGN zmpzP?tvEd0zC++7)+we(&Rq=uuZ@9E@}-H}zw{B@Wrr4A3bCSjD%zF+|0L2O2W5Yq zowo~kAu!u)cjFHc(NQf;*;t!lSXyaO$|3e*T^b#mQL*NELyaxxYkOYhcGc-s-<05? zT@}E;w5h&dYnyLn9#zkl{Hc-0y%~r5u$`GXo7{_Do;x-s3}~jkbgnFpZLAoqV73{8 z1y2S`af2?g!j6^RaBXcZGq?>-O%Z^6_Tf*#XSq^;S{Qbv&{jl?beLR?L9k@Nqkyue ztd}8o;33y9upj$WsJm*1o17lYMMuXDe)KjLXp&-xs{i9V3l(!g?g;w0V0>ZHZ?gM% z`CGck@4qRCr3-aTFaFg7wG)5y*YQy0O}A@&ZTwRbYzWM@SW9+{Y2g$lRT|H4 zN`EwX-?Xd3pzocckpf12T_!khNhZ_P($LCXB1TrDHmj^7J~RM!3E{LNI(zGz;UEF8 zT@beFBmEPyd^n&^-#SUvAFFlHx2MXp{bXJ%s`EhL?R=C4sz51SSCW%9LhTg)GcWuWoZJgJJzl4Zl?xBx(g8d=zjJWC=PAd?%}0VdPx4F)AN4qme8=$o zz3_ZDih1naRY$13jNz`9pjHbYZ3}0xWWG0c@qrGh=cfjGp?|>w$^R!}sd-O?1|V6t z4EJLvx7q9nvUT{U&gHgkn_L!E*P?CrW{T%7*1RvzXV;j`XtBoje1>rX%(nr$n?qeEE|RLeC`{6C0s?#8Y%) z3qfRO*#@lg`|<2ia0@e;iM@_G2vc(j2z+$p;5z4PCJL)fk=-b>))YmYh-j_E2 zl^;Xmc>{=>BfLq}m9XV>VM^&=4fn%z_j+E|eW!I$@tKnFujy_Za}{u2SALf|(2p-nEIeBXz>Cvl$ks(@$qfZLrC8jffH3-c(v7Jg2=_IY`%geR?HU(%)uQcU< zUw-7^AzB;`n(XuYPl>$ky?Da91N^U88&@c;WC3^$5NLF5)aL#6?iOqM^UuE%`0n4Y zG=+bCJ`A{H1Sz_ONG!LSeWmBM0eqdF{Pn<@sp*Is8^1F;i6wO($E&8}TBx?Fte?%)3d+7mL? literal 0 HcmV?d00001 diff --git a/peripherals/semaforo/de10_lite.vhd b/peripherals/semaforo/de10_lite.vhd new file mode 100644 index 00000000..70f2f975 --- /dev/null +++ b/peripherals/semaforo/de10_lite.vhd @@ -0,0 +1,216 @@ +------------------------------------------------------------------- +-- Name : de_10_lite.vhd +-- Author : Elvis Fernandes +-- Version : 0.1 +-- Copyright : Departamento de Eletrônica, Florianópolis, IFSC +-- Description : Projeto Final: síntese semáforo +-- Date : 03/09/2024 +------------------------------------------------------------------- + +LIBRARY ieee; +USE IEEE.STD_LOGIC_1164.ALL; +USE IEEE.NUMERIC_STD.ALL; + +-- Importando o pacote de conversão BCD para 7 segmentos +USE work.bcd_to_7seg_pkg.all; + +ENTITY de10_lite IS + PORT ( + ---------- CLOCK ---------- + ADC_CLK_10: IN std_logic; + MAX10_CLK1_50: IN std_logic; + MAX10_CLK2_50: IN std_logic; + + ----------- SDRAM ------------ + DRAM_ADDR: OUT std_logic_vector(12 downto 0); + DRAM_BA: OUT std_logic_vector(1 downto 0); + DRAM_CAS_N: OUT std_logic; + DRAM_CKE: OUT std_logic; + DRAM_CLK: OUT std_logic; + DRAM_CS_N: OUT std_logic; + DRAM_DQ: INOUT std_logic_vector(15 downto 0); + DRAM_LDQM: OUT std_logic; + DRAM_RAS_N: OUT std_logic; + DRAM_UDQM: OUT std_logic; + DRAM_WE_N: OUT std_logic; + + ----------- SEG7 ------------ + HEX0: OUT std_logic_vector(7 downto 0); + HEX1: OUT std_logic_vector(7 downto 0); + HEX2: OUT std_logic_vector(7 downto 0); + HEX3: OUT std_logic_vector(7 downto 0); + HEX4: OUT std_logic_vector(7 downto 0); + HEX5: OUT std_logic_vector(7 downto 0); + + ----------- KEY ------------ + KEY: IN std_logic_vector(1 downto 0); + + ----------- LED ------------ + LEDR: OUT std_logic_vector(9 downto 0); + + ----------- SW ------------ + SW: IN std_logic_vector(9 downto 0); + + ----------- VGA ------------ + VGA_B: OUT std_logic_vector(3 downto 0); + VGA_G: OUT std_logic_vector(3 downto 0); + VGA_HS: OUT std_logic; + VGA_R: OUT std_logic_vector(3 downto 0); + VGA_VS: OUT std_logic; + + ----------- Accelerometer ------------ + GSENSOR_CS_N: OUT std_logic; + GSENSOR_INT: IN std_logic_vector(2 downto 1); + GSENSOR_SCLK: OUT std_logic; + GSENSOR_SDI: INOUT std_logic; + GSENSOR_SDO: INOUT std_logic; + + ----------- Arduino ------------ + ARDUINO_IO: INOUT std_logic_vector(15 downto 0); + ARDUINO_RESET_N: INOUT std_logic + ); +END ENTITY; + +ARCHITECTURE rtl OF de10_lite IS + + -- Sinais para o semáforo e contadores + SIGNAL clk : std_logic; -- Sinal de clock + SIGNAL rst : std_logic; -- Sinal de reset + SIGNAL start : std_logic; -- Sinal para a chave de start + SIGNAL pedestre : std_logic; -- Sinal para a chave para contagem de pedestres + SIGNAL carro : std_logic; -- Sinal para a chave para contagem de carros + SIGNAL r1 : std_logic; -- Sinal de saída para o vermelho do primeiro semáforo + SIGNAL y1 : std_logic; -- Sinal de saída para o amarelo do primeiro semáforo + SIGNAL g1 : std_logic; -- Sinal de saída para o verde do primeiro semáforo + SIGNAL ped_count : unsigned(7 DOWNTO 0); -- Sinal de contador de pedestres + SIGNAL car_count : unsigned(7 DOWNTO 0); -- Sinal de contador de carros + SIGNAL time_display : unsigned(7 DOWNTO 0); -- Sinal de contador de tempo de estados do semáforo + + SIGNAL clk_div : std_logic := '0'; + + -- Sinais para os displays de 7 segmentos + SIGNAL hex0_data : std_logic_vector(7 downto 0); + SIGNAL hex1_data : std_logic_vector(7 downto 0); + SIGNAL hex2_data : std_logic_vector(7 downto 0); + SIGNAL visual_display : unsigned(7 DOWNTO 0); -- Sinal para visualizar os segundos finais de tempo de cada estado + SIGNAL hex5_data : std_logic_vector(7 downto 0); + SIGNAL visual_display_test : unsigned(7 downto 0); -- Inicializa o teste com 0 + +BEGIN + + -- Divisor de Clock para gerar um sinal de clock mais lento + PROCESS(MAX10_CLK1_50) + VARIABLE counter : integer := 0; + BEGIN + IF rising_edge(MAX10_CLK1_50) THEN + counter := counter + 1; + IF counter = 50000000 THEN + clk_div <= NOT clk_div; + counter := 0; + END IF; + END IF; + END PROCESS; + + -- Instância do DUT (Design Under Test) + dut : ENTITY work.semaforo + PORT MAP ( + clk => clk_div, + rst => SW(1), + start => SW(0), + pedestre => SW(9), + carro => SW(8), + r1 => LEDR(2), + y1 => LEDR(1), + g1 => LEDR(0), + ped_count => ped_count, + car_count => car_count, + time_display => time_display, + visual_display => visual_display + ); + + -- Convertendo os valores de contagem para displays de 7 segmentos + PROCESS(ped_count, car_count, time_display, visual_display) + BEGIN + -- Display HEX0 mostra ped_count + hex0_data <= convert_8bits_to_dual_7seg(std_logic_vector(ped_count))(7 downto 0); + + -- Display HEX1 mostra car_count + hex1_data <= convert_8bits_to_dual_7seg(std_logic_vector(car_count))(7 downto 0); + + -- Display HEX2 mostra a contagem de tempo de cada estado do semáforo + hex2_data <= convert_8bits_to_dual_7seg(std_logic_vector(time_display))(7 downto 0); + + -- Display HEX5 mostra visual_display + hex5_data <= convert_8bits_to_dual_7seg(std_logic_vector(visual_display))(7 downto 0); + END PROCESS; + + -- Atribuindo os valores convertidos aos displays + HEX0 <= hex0_data; + HEX1 <= hex1_data; + HEX2 <= hex2_data; + HEX3 <= "11111111"; -- (dp,g,f,e,d,c,b,a) apagados + --HEX4 <= "11111111"; -- (dp,g,f,e,d,c,b,a) apagados + --HEX5 <= "10000000"; -- (dp) apagado + --HEX5 <= "11000000"; -- (dp,g) apagados + --HEX5 <= "11100000"; -- (dp,g,f) apagados + --HEX5 <= "11110000"; -- (dp,g,f,e) apagados + --HEX5 <= "11111000"; -- (dp,g,f,e,d) apagados + --HEX5 <= "11111100"; -- (dp,g,f,e,d,c) apagados + --HEX5 <= "11111110"; -- (dp,g,f,e,d,c,b) apagados + --HEX5 <= "11111111"; -- (dp,g,f,e,d,c,b,a) apagados + + --Sincronizando o valor de time_display a visual_display_test a cada subida de clock + PROCESS(clk_div) + BEGIN + IF rising_edge(clk_div) THEN + visual_display_test <= time_display; + END IF; + END PROCESS; + + -- Processo para controlar o displays HEX4 e HEX5 com base no valor de visual_display_test + PROCESS(visual_display_test) + BEGIN + CASE to_integer(unsigned(visual_display_test)) IS + + WHEN 16 => + HEX4 <= "00000000"; -- Todos segmentos acesos (para um visual "15") + WHEN 15 => + HEX4 <= "10000000"; -- Todos segmentos acesos (para um visual "15") + WHEN 14 => + HEX4 <= "11000000"; -- (dp,g) apagados (para um visual "14") + WHEN 13 => + HEX4 <= "11100000"; -- (dp,g,f) apagados (para um visual "13") + WHEN 12 => + HEX4 <= "11110000"; -- (dp,g,f,e) apagados (para um visual "12") + WHEN 11 => + HEX4 <= "11111000"; -- (dp,g,f,e,d) apagados (para um visual "11") + WHEN 10 => + HEX4 <= "11111100"; -- (dp,g,f,e,d,c) apagados (para um visual "10") + WHEN 9 => + HEX4 <= "11111110"; -- (dp,g,f,e,d,c,b) apagados (para um visual "9") + WHEN 8 => + HEX5 <= "00000000"; -- Todos segmentos acesos (para um visual "8") + WHEN 7 => + HEX5 <= "10000000"; -- (dp,g) apagados (para um visual "7") + WHEN 6 => + HEX5 <= "11000000"; -- (dp,g,f) apagados (para um visual "6") + WHEN 5 => + HEX5 <= "11100000"; -- (dp,g,f) apagados (para um visual "5") + WHEN 4 => + HEX5 <= "11110000"; -- (dp,g,f,e) apagados (para um visual "4") + WHEN 3 => + HEX5 <= "11111000"; -- (dp,g,f,e,d) apagados (para um visual "3") + WHEN 2 => + HEX5 <= "11111100"; -- (dp,g,f,e,d,c) apagados (para um visual "2") + WHEN 1 => + HEX5 <= "11111110"; -- (dp,g,f,e,d,c,b) apagados (para um visual "1") + WHEN 0 => + HEX5 <= "11111111"; -- (dp,g,f,e,d,c,b,a) apagados (para um visual "0") + WHEN OTHERS => + HEX4 <= "11111111"; -- (dp,g,f,e,d,c,b,a) apagados (default para "8.") + HEX5 <= "00000000"; -- (dp,g,f,e,d,c,b,a) acesos (default para "8.") + + END CASE; + END PROCESS; + +END ARCHITECTURE; \ No newline at end of file diff --git a/peripherals/semaforo/do_BCD_to_7seg_display.do b/peripherals/semaforo/do_BCD_to_7seg_display.do new file mode 100644 index 00000000..43df1ef9 --- /dev/null +++ b/peripherals/semaforo/do_BCD_to_7seg_display.do @@ -0,0 +1,32 @@ +#Cria biblioteca do projeto +vlib work + +#compila projeto: todos os aquivo. Ordem é importante +vcom bcd_to_7seg_pkg.vhd BCD_to_7seg_display.vhd BCD_to_7seg_display_tb.vhd + +#Simula (work é o diretorio, testbench é o nome da entity) +vsim -voptargs="+acc" -t ns work.BCD_to_7seg_display_tb + +#Mosta forma de onda +view wave + +#Adiciona ondas específicas +add wave -radix binary /bcd_input +#add wave -radix binary /seven_seg_output +add wave -radix binary /seven_seg_output_1 +add wave -radix binary /seven_seg_output_2 +add wave -radix uns /bcd_input +#add wave -radix uns /seven_seg_output +add wave -radix uns /seven_seg_output_1 +add wave -radix uns /seven_seg_output_2 +#add wave -radix HEX /seven_seg_output +add wave -radix HEX /seven_seg_output_1 +add wave -radix HEX /seven_seg_output_2 + + +#Simula até um 100000ns +#run 99840 +run 500 + +wave zoomfull +write wave wave.ps \ No newline at end of file diff --git a/peripherals/semaforo/make.png b/peripherals/semaforo/make.png new file mode 100644 index 0000000000000000000000000000000000000000..91cf12d0df1641579da0c9f116cd7911236c0c5d GIT binary patch literal 23640 zcmd43Wl$VI(=JLv0tuSnP9VYE9fG^NyE}_6mIMp#EKYEDm&Jp-JHc6Cao4lS`<+wg zt2$NZ-uvtR*y`HRp6S`{r+a!fR7pV+6^Q@|4h{}gT1rd>4(>Gs4(?UgyVtN1-RhcD z7?T@0v>)#j)PJGMy|v#G{`uO0sI(y+E)<@x!BncoZYhqTL*Mqq z_gdQ<8V$(Y6Bq|%0oK>i(FXw6{W`Xlwc~3qH~geG2W)UvmV!?Z#lp{UP2P_K(T`HR zEwV-K&97kWFTh*j{w0|I&q?D1m0r2*W`c35#I zgh#Kn?IMack=oGjg3HnG4rF!w%H!$o z-pfgv(A|^c8oy7G%ns>ukJ?#yM^T~BL+$$GT*uv=;S1CA{&;)rkgm^;D#qiD|MMYa z=7WvkRpMPQiKc%0^WDifDn|CITv5F{xsD0}-Ez@}7_r6bA{lD%Rp?9^%g=h8D2u`8 z9DnOSfTcWkETH^~!?#@|iiY=|o$N`X`a&rQn%7BoPHsub6{*1H$kyv7zD4eyrl?%Z z${OPlc%~|nW)etIk4XUAg|HIU`MRqEo*Hq#4)i=ysQqrx-}Pdv!}Ege<#yMb^cga$ z_;NYA{_;?Ktm%KXTi*D*-Rghq;Rlsmf8NV_e5`!F61uN-EGP+c@@6lnFJlsEcdknj zsJNH%27n;iUh}>?s?}hQyxyd_r24%6C*6wU!>R&=ZHw~jLNEmMd1au(4U6#?3&EJ? zcCjc&u+a$)0w|d{bMWEpJfjhGBxZP%&~ufHHHu%i!Y*E4}awLzuIWFM68UPa?V* z9~splRsc>{{&XUI>5DZO8p;8;hfEO!CK1~^z1liiso>hX)@)4t5f4bKYD8}O_}g^w zFT2hUcM}_C!6!K0GmPDc`6|wZy47X+?N`RYO%p?M!}gngf0v8;%2l63-Sy|w8yJsF zemm%X5dUY8&>h>$W2M9$MrJ$vwrujW;hBezI_=wQEk}4=HF^T`AW6dAR_be7-Y%saXl8Lpe(Jr)OG(=Prcb@(P_yKURehYKN7t7?+FXvr!Bh9GnuPW; zIGJ!f139LI2B_)Tn-z`^D}R=7umzmBY+jt1lT?qftn$ zc@7pUzdr#mF@vBMVfsZP?8az&WVn%gEFiIB35`AK58%(uZA6Fg>U}E-vkkxs}IC&cMzp+Js zZd|`_&4!N7x1SB)L55#0uU>d@bT-qUTT*Vi^|)6FuLTDizcZv0E>Gc%m8A~h*q`7{ zw^1hFS`Zdk+6gtXs8_RkKi%&M3H4j1ElyCnfa_lp$NK}i3z455gc50Wh~d=(QB@0+ zXZahF!{@nKlVn|jbOsmariyKux_PjMS9pnb>=vwcKO1vS1F-fltCOFB+>O*@E7Hjr zL1z=>923+?X*((PDX1f{{UpUA;r3}hM|O*Bwv9qTA~AO>1jtXL6#Kli3_6Wnz|zSH z9r*IB(#ZS?XI@oDfOu3Jvw;NJ!fX4*Ot);x#AQOB<;eK#s){Qxi*?al1E1{bkWnN! zC&$iwd`@z~N;_?cN+p(12#sA|Hm?A;(}WopyL>C)m(Cj=De<%#*bzKcuhiK*^uHC# zKWA!x0H3ry)X%?w{I42Kw)~$YJFc%U_jmjsBVSl?bP9OvcduP>UE(Qk``iJI+2lQ^Vt>aHuMio`U zxB_|}mPYQ}s@E~KIkQI%C`NyZiU6Zak$rOn3H1d4e6w*Wq4x8(Itx0&r2HCpi;=4z z?-MdgYt4GJFeAGD?#I}+lX>81(pbV73khMuKvcrN;}qd@x==sd_;R^h_^ipK_q_Jv z2Dr#rZ@D|wba;N$U4L2&@!y;GyCi)|V|pASeVpQZ*>n`!IA`m)pMO4W^^cLmI~N;6 z^kQ6=ws(BTcT+FVokF-=fNx9Jn2ahv+n~*vkvvxZaNfJAJ4^O<=vh1=v^i)F2>{P= z_`ZHjVU|Tnd_NRwU+ZH>oQyz6+18Pt5sHSC3bfB<>P-)37fidm(*|gKD!EZedTE=}PqlR+BX6zm6 zjLL`Q|27ap;`rmbzDlTL>K)|6b#!yYfUFq`_&?b3)aMHqv96dQyUX)*#r?G7Z!X-q_7Q+9ZoBR3P$pR*XkssqW2eo} z*aGA`^YKG3HfkXLh+Z%tVW`Au8%$yw`!JqJYPlyT_ncpecS3sWAbdz};rc@1%#x2U>sIn@^<|=%vt4*y-a;m0l?AK-C7pc;}XN!mgmD zdv^37bVZ|!b)T?KK>Khz{WF?kGY!FicvOcXvf2zlT+6@~+Z^CH$+07WH+B?Kv`n+l zXA?bulO#}+?-y7fJ{4NY1dnFvE*8SA~RR77P_PKw(>}kSk5s4G1umjal)j7^k z--#d1$(i@|4a`WQlj~xInK0721ew~?q_SDY9|Iu&)0dUU#gLct-9~>A7>0W8Qae-p zk>K?Z-F~rb2vZ#g=p7Fys$R(cd&wP6u5pSUfpf{GTsNXZtqw`SZ){~JROiW;s8K>R zia{iNm0_Xg4jbt-UH589f*o^KI74#T?rBH@g@;65n$K-VIhKn)d?F{J7uZl50^_+bt}0M@2=fswT9^SG&Dp z3j%a@Vf7ZYZ3naT76WJdWJ+)BSMblvmQq~9(Tzw~B=ssLQ zV;##>Dk_SWaS+fN_JxtyP0P;7LU7+UL3q}g=$Af5$3>%B@%|x|!}BGVWBWy=qu*Yk z>fp%>c%~paV|v4`{k?0Bf+g?jXmwV{(#)ExOp~a^(9lG79mW!m*sIZ0PTDMpvkR2>M)6hulpP4`PJl#wyBmSpn;&=Ct8yM1i3=@p7L7g;!OB91ip=vtc z-Tyj4wNo>U(p7H;mH!FeuF%Hm{D4&a;78bqQ>-%>Kj*w=hadz zLE}Zy0-#JPQ?0PlJWZ(-4nO@x5tZ!tGxCPdtSd@BszSP!+iw7W@ zKf8JiLAa?2IV|zco3mPre)53by$&!nNi*`MBn4BDUO9C28~AO2nO^<~&CZ9PfbZpK zGrV@~i-Ea}9mMlOKFy_Tp-F|ZBgam>1`M`;h^%=DEO(fAkC?2r;|j@TBO({ruL_E za5VVdWRb$<J=bl5G!#im6X9u_Jt2F;h zThWJH#{_EIHE)h#$@;L0M%$?va%!G53gguJdQmMvQf+K zm+dYO9@`}aua#(_7^KLHBm2yJ7(<1nJ@8ln*@>hJGlJM~0aVLDN)pS%K#f>Sk>n#^N=-wx@Wb@YSs1`GrQ}zUO4HnNI z^~5RQ;Skr{g}W1N5T#wlDXBVl-o|OV@1cwplHEQClUQH>U{4@hM^7AL4jCPhRkw3A zTr}dkr9G!KX;YZ-#S9(|MxIj0bHF1byW1gUyR40E-JU;>@$M~E0&l+AAM3Gc6Gzhr z>b9O}wKk0)gD=s@^_qs%%L(+_I#N-n+#~q66h6Cg=4nSUbmVkR#stkZUB=6)4|iL$ z5fa*hsuT-5YQ=SDe&p6WO4pjKqe`pKN5{&&CQW^hFnWJwohR(nJ=l8r} zX0KR5uD(e>WKwpHQD-3*x2xSM)rgq}JC;7XIxNm3%b&u3ZD;kaI`UTzE%%a6t52I2 z>j9tMoJ@241wo#N+X{L&FGr=7alK4o4U*LGV3c8r$gp0VU0uoSCzpYheDGd4KZK9h4nFpeqg&w8vOCw%KomOOCBlc+|jYZ zr!1v_?Hz+eD+(EuC975Dy+A4Gj7NfKfGZQi?6}1C1R2v5d$RSID6!+7yA(^Hb~c$h zJ|m}sWTF>YbLmuC@n<6ao!MHh0v6dG&Yn;x3h?2(0cUC?+f_{KR!QZL45KGIyJG#7 zij|d|1CiAYxls0^#tmS{{Am4^p5%}lVG=R0hQ_zH3m834;g%@AZXb&$mg4I&CoO_v zs$s{G=x)u;I@LcXNUSD4Ut|2iM}J2Kw$lnvQoy}Q)Djd#E0FYu-W%8RfEYQ=)&)uW zAa|A%3hhhh@FitQrgmK3upsROseZ1^_Z2+>DMZ0uUjX0SL;WS1#>)nDj6h_~cYBI0 z?3ze`aoKWx8ava*p9_ga4c4;hqp3hI6&~{>p0j$&hzK;E6PEA7ffYlvJXftnu)l)en!9+& z^Rp1ci|15WefI+t<9FAgvIJ3%e%yc(3yU>qg4-5UBvqi;XJyLs4!Dz2WJ<|bo$pm> z?OtL+?QK}9Z^rO5=ZoNmBKr7nA^me*vr58Jej~zQH9MfvD~;a1C2Gx78qD83`jviL zs1U*Uiy8MU`D|p>nwtpcdDzObY;m34L>=xl@^WXOW}(J8M#DaV%A4O{7vNwsP$uv`P}%k_11+w+?pt&R7K9;}8% z%Js<=eN8Yhr!D9ia;svD%!KAtFFy4&WO z$P1zSE?x8HL>Vpxq|76~?U0Upe>zL1Z@ZT2s4sl%)5ypU>ZqetKl~{`yz*F~31&r` zqs&H=o=9ZGvhgf%{~(b^QZo_h)P!3>dhXLw*Gp>Wl*5RRNZRa2Ban`1eW(>*6mJXK z$me$$fL}UOoVl2&Z!BbCyDl%~4PJ9t9cg1mQ!M%%!CqOTMVQy<;qRuMx&Rh42QK18 zw|FTdyxP0wW!!R@usytqNV?9uZpS9!7b`Yyypsd3<0kLnXg~GJtF3RoN1SY5jx(Lj z&dFY-v-%MnB-3hj!wRq6^8T==3I&K7OjIoUW`5YPo_pccBBjG1pyWN@+N+nZwR32%F@jenxpR67NZu`4*1fqI-K{tyZV;`;cJSLELRsgK|uyC1P@tcFKkQ% z+ZaZq@_b1ra2Xzkp1r)cc;=i;v71d9Zg8CDLY#15KuNtQn!+^1Db&!mDzUCb4DXHM zhnyN~y%cKH1-(=Yl?a()kQS3>1b0MpCwYLZw^HSkJuQ02} zE8sl3C>}~PZZ>0a-1p>|m`I-FKe7szhVG{Cb~c}6H`xhM^ntRELqte!Q#F#ZT(_2$ z2H_`U2qtW;hG-c145AAdX++DYzdUFpdDx{qZ0&t$f_j46ei%H)?vHIQEvwq|kB;D} zu+)7^(tI#yy6EQS?~>$hA9`9OoRre}*nqEagqOT<))tj?!l{2yQPDjEX~@B+x9KVwO@ybT7ujFmsWvh>}lXYx4|L++Uu+haik zc^b#`2=6Ac#?f;vcMVgZ=}OV#GW}-yfQCuoSNj?CD4~X#OQay>VOix(^BED<>utbo z5Rjzh`nPoygB;bzUYDZ?F89MO{bsDpKc9&V&9k|@;lU53qn;)=4v3EIR`+8sASsLqODG}V!pt;bML?7&6Cwt+yolV5U< zOa1gx;{^s6H0TM!= zWQ21{Nmp)7HtfF;Dw_ZD{K@EcL&%!6!;Hyd`sQfW5xQtzcaPs5LEqHO-?7VdeDgVi zuKoy#AfS!cyWzpKabETUQ+9jD&!>vCO=Y&EE2ik)5*7FPoTOkLDtFATVrXuiWI9}F z<-~55%~7$-#D=4gw#dwkp6G|yZAa^qPN5xcT4vxJb@c;usIG`HYJlPrQ*_pJzXF`;(!eDbA~*ofcOG3frWkflngYi{=s{ z_6)_y;F5f8*z6y#ugh)bNBn0NH=3gg~Jt{b0+KZ%GwbEaMA^EY zuD1j^UZ^kIe@&(Cl8&8g@XC!>D|Pq}t_wHJ=B#)wmnk}0X``FbL2E4ZFfxm6wuB__eMdNdQQ2*!_T0F$Czts5rrjxn5i=1%|O=q2fB`(GJluU%M`Qf%uLdCMZ z-vk)&S^9^a(9|yysV!PWmvu_Nf$lJ8>wvikf=i6#kS*rTVqct1#?b|R;6_qz;J)ioG_p+9xNFD13Kse%lkmSoQwgvhlGVd~H0n8tC;7&I6?mS&te5kNFOEsZagi z<3!w{?#(Z!Pa%cA5BmIVFZ-`Z*WbXwH396(^(#pEeJ=erCm}O$|Ek45Q8nf6(sASh z9?du^zvp0z77p&18fM=P5u<>K;ou(@@#zZhYV+)K=q zDUO69fmE^rKdDIXH}Dd`x-_{qJeN7lUVGZPpKPTp;N8H zL>h&MHRQAOhQ^smO)w3|84I`Stuw80(AE!&z9=YvEv1b}`Wt~00FXn;6QOtGQa{|AJ7ii=>ciE^Agb&Jt?#ncH)!_pFV0pE4JR9B;uzql9 z0Hl8*LMPgPvBWB>|4tZ{T37t7+C^O5H$9S&Ub3w=zhq|s1{OhRwu82x2}7JFnj9d9 zjJ3Nei~w7@LlmbBN7>H-ux53G#QHnf#zd}nlI>BZ@`30hip@;Ey6)?X3mmwG`nxAG zLL?IL5HY}Bx+rJ+T*U4#-C@9=CWoCvhuYEJw)#Xjgr>1Z^Mo$@g6^N{B=?bMEss=0 z^5d@Be!k#8KI(x_5<0cOz*3)8Vn+Ga{hjI#HV@@=i{o^_z}$TCNnm(;f+Ehc-jJ>? z&s7A_r`KN-nteL(lzRp0gH(3Wq7w!=cTc*?ksdfREiO<8%5K)w4R87F*L2cUyqE&_ z$d zgkR2S@8fBH8p<2tcZwQN+?Vpcnh_BXQoyzGIf5&}a#Hv6t+`?K7r>GoRt4n`<#Ha2 z%C--T(ydZ0EBWuNxwKo`+q2gEBRC+O5z<)zl9oUU$z!#PXp!I+JEqH$3Sa8T0@}Kc z!S=hu8rzNcK(xy(EFLV|7D{ z4T3B&!kkOSBUZKKn3E<4lx0n$i0yR+u4$|>V`%xuZDR)5A?|5F3QU$;=A=af0v&?1 zx=U%IyGlvY5e`7|L0ncn=BJ?ffwpWk(;PHGe7jY#z6djGnL*aU!5QTdO~`@R0(zS~ z(sNF2cAdz(ikUD8=YcpEe7z|5$;$*xyBKDFid~wRc+v_rxayF63|jWKvZy63iPY!i}9k|1)&<#hCJZbp&yAuaqs<4Kb(PCTmzfiVy%D6yTTFv6x6Hx;G5SnHB*+tT3MkjhFtB-&VMT@^tk(ZW(xb&H~)qKu^Sa2>!Yd z!RhvxIv`}GG1zW+S6Ivt+KHf!N#|2vR)=X1^!hB*1DdeWo)~z%{KNieA2UQ=Zp0Rp zPoAoz$~@bAI%3U-Se>^{$9evRZHt+lhnf+_>-UR^AVQE88qM|d`Kb>`$y8-^^2hST&3;hLobes{^1}i(60Wp zqUJ+bNZhhP^wMR-$vQi;DhJa(+9FP>1iaxEbD(;=j>dsqlfjx)KS-(3b*7zsejc(; zg^tdFS#E@?Lu@l^)sd1dRN;>y<=c@tHSw=wga}2gnU0AIDdb0x`pJToLSmFuCGTW^L8}yr; zt~WvSRe;u%IZc%u8@VD?w}Lb7dOM`m@e*b6XPwAI%^&af)4vk)7O3pI@@z(d#>H!W zs3;xx=(Tjue2u6V-5j5-oqTFwy5$}yGP@GDML(gUYbS@|6WN1z$C{yG(R1ZeC}1cu zJ2j2csf4G+V`j~H$+Oj6TPWy)x&LckCD8sJJmcEou7B#y+&!w2-Kw`f$1olb&5ObcYlWN{&*9+jyP zeb{<#LM%&%i7oYe5?KaJ4Cn@XM2E`MNWKMnw{llKQZQ9{D=p8LOfqS}M7YXqQI|u_ zbpQS$zb&Y80XX|Ji5fohq9b^sB{Rg?s5~6wFc__SLMH|LU~E#IF64~9{<>5iWaOCO zl`j;`>p4G{nYzV#9{Q*K8Y`^7G4q0VlI9o#zUj!0dQw?CcQoLAc?9^98cSRic?;O4 z_sx9}Vw2ODU{LTgB`TW|cTe5{0^Db7oEaq;w%Rh_uI@lLT%Y`j5G1*KQfhJ0X*IyA zn8V{6Zbl-Omlf%tKCd}4vu$tBx$EK zsFZcDn~u=|=-KuVuh`q_7`;+sbPhhmNJr_-?f0%>HuynlU~^kh4IaXnUrAiuki@6O`6} z>@xF8rMo?O9U)HWrD1^#_jqU6XUNytt`*h~ercy>q3cTNtx@KY{-1c>Z#^v2I_nuy z`HjE4nMwnr9GX0EjO)L1{!4BBCjVb>xalj@<)zwhQ#C7*=l_nK==JyP5o#%LF(#=a zVrD|!bMxD9?S!^DJ}!Awae7%+3wtWE+;fF=qfNDvN5DfYOcC|c-6v55$KFI0{E20A z2nC=m-s-TWDs$rSIO#Q>yEQIan83gbFTCu$S6Y|dV31fHZG_+7Vbh%k1g6cs?ri0C zSs!RT4%J1Exu#Ngo{T4i!q++9QbJJ>{7 z+Py$MhiMQP1;GT;PbR*HvQ7@en4^YH$r#+(e!I5yfs%$taCV;%$!s}UZz`9>(`Bw} z)X&v1PB;H3_UBEb-mF4avJsr;vd~50$`CtO)Guj9+@X@VU&K?VNM|BlYq4Vo=BNGu z>b0AaXs&}sgMJ0b?8NTI#Ahv#n;efbB5$!)92j~)EpzuZ3}ewo4GwIKO_rJUUIUGj z88=A104aw`3xpP?4F!p8VE#noF9F%1MhaJ_$;*$_al(8RYJe{edUP;{H?2tCR=VJ) z4|BzoTodX%Li%WV1hWC(cpO)AOCz`}N`f;fAethDEssknghhw8zjWWB`3saBw^u)! znQ4S5)nA5YF3+58?AcS=1(zY=@C!mcwc3Bcl!Y8MR8JpzVku`wf{O3}Sl?#6i%+_` zOrR_$DbqM?KaB6p*OZCV9M>w_0T-U9|T$nw~ zvdA55=43oZDpEx)Oo}yI;%uFJZ6zAQdjfUFXKT5TSHP84D2U>f$tqR|eCk{tkc<6R z?%Ga4Lx`KP7D|hf<{`i#yYtIt{V!<30$sGR`C|D)cSCbIHok_N#M>?2lvsRY)-8dI zCcEwu?rV>$eL_q+L%8C{M^P7C8u&%4`oPOb=%7@tfNI!XVmH&y+jwO)pkrCmD^r+l z&dlgtmi!eF{OHt{$oXUd#G>8ZfGAU+H;mqWir6B*ERTR$V;lxf2Sj6T_zKF)$+c=Z zn2|YD&mfH;VE(walu53_=l6%=sJ%3zoIq2EJ8N&FsbGr-Y>THil%f!rvH6xge995| z?G`-u7tak8NJeq@ySLDM7o~bfMu}Fb;3x5!JW#E%3A5tmr0AM-b4t?KEm%=~~WC5;9B716Ba`?7|@kH+tEPn(}rtaL%MV6B4Jq)?^VMmc31Vk2;qmhx155432 zZTmCY=*yZe4Ib%NybyZ4z;Kf_t79LT=40v`3$m}eCAj0%s>o*cO13SFdXn4 z)<`r{VCnNx@I9r(OW0$eVS=6DmLPT!Obfuq8kD8asAJ-$Ae#`2^*Q zP8UHP?@Y<0ADgJZ9+apz&W~w&|HD1Fj#6#p{a66NSc~p20zC4Hhp(BuDF0nhH%ph% zN~?)!N0bz~wI5Rb@sPfPKyzK~-x{K?awY#aTy#d@UZRhta3V()v8#fQuLeyVo?ryh z9MF(jhXnX+vl)(A$_C}l)7?1cB}&KrxWq|GpBZcEb*J7G3_+_RL`K`>~J8~ol0 zEBHD!m1ax^aeauJ5Qfh!#_Xvgri`qtY(z-iFot1fJQ3VtT-J~8T1Z&M*sH#EfT-C> z8ez+uDOg+MP}e2C{2&K|cW18)y~p=oPxDQqe`YQ+*l(udoM+rHsjHnXohn^ZusmWh zT)G2DWEWh07cPG%S*s2cMo_c$(tdSz<`1_20}c{69EOISzP%_e6^0>zNlQ1F{a>;6 z&{H2y9RfY(Gc!r6f7|duGOQBC5&43ic4EMRA4%7A;Ky4(Pv>Sk9w`Vm&>?9X1^v{;kaS&yWSknK zBn=7Ajy1zUeCMEW zt60NLKJulV@;IYnD_*zX)bl~fvdtK5M#luuax%|Zels?1N)IaKGQ}PdoWfY}5=A-X z-K*0}y(X}dylwPz2YG{n{7AF|js$|xG0*<2ak1t`*(tU}tdzz9=BTi;GRp&>i=L#I z6%9E(j}sq{(`ytflx7FR<;gg?jYebUS#GwC)%KwMgzi}}<`NEwChx55K-V_YT?qz< zm`QbRN{+@fEt#hEy z0(TUOX$xu##7YFJR49$Q^aF|TcC11~sfeCBB_0PKej)^AHJU6T%$|i&U zZ0GHrhHg85lqgii6VJ~~ShQkg=iVS3t*fEkQ`wLU&(PM8N5W(AW4aNz%gZ~!3>AIA!lc@rJuGG=e zTmMN{SJ)1A=G^BnnxI=aPLry*lK0_bK4}cg#ZP9^6M z|0H)yy$@_*jer5@(tv(9wLq8H-St`OQi3y_H~#Iy^!yI5*|ph=telsB;d^!0*B9YnJVQk3CTG?x_#08CQH@)-lmJ>gF`SW*-SY~CKS%YI zS6fv<9WL@kMxQk{0i&Wgdh0Ky%Y&+II>9_eeqh3Z51T+1vRUDPug;56 z`x$n{REdF6F>y`YVd zwO^^+3qy)wIhDpaHfBABvtu8(nqW+s)$EXa09R0v;aWEWvpkBm9bWMTzpuiW`ul)z ztWaM=h%h9h`;FFnnDYFikuKUQhaMCS+(m8u4r>HUw`C5f(q1g^PwAR>j$HZo)Ma2DYR^*78P?xE?upu4!s+BmBVAuh-NaP8>Rj zKdyG0SOq
zF;4Rf94U4fj^#zY*iQZG>n?mvX14i*d6)U^|*d_waJNRqsqRQvn=Pyr`oBkcNtFG{G?{IdDarcPo1u~$m=OCUb|{KZ(3YD^=O1v{ znGJW(3Lc&v#S9+WtjbB)E2G`sC5rW5FqMmSC^5_R2Wb>ywF9{!VA;o(^S0Sri`3rV z)TuLpT}GQPMe=q@>a^!rD?&=9YXck!iR2K%WdzPL2~bcKVBt+f3R)r|wd%z>j{geH z@=yE=&EBQ@m~DPe=0GYK5{@cG>d8PLo$~6&J39lh+e)?3cS_A$3Kn6!>GCG`%;l*R?3%%aKn%~S-@-p@X63^xuyN1rj??v_mO`ZRKE~kQh9SMmC70>>?k4ilOk%xd+A+1t7l7zNl^OFy^yx1# z`Tpq;bR7ime_>zU+@Fas#ijRf-NZ|Dkr^Aw(7#i9NuQOZF8I~4Hcy-HRGqa!U~8!! zu)w9!=wT0qxcV%>B#E*npYi$a9taCAdGWEf1u~ZDaG@0ct}$<-$$D$`USJGlw6+e?GlcP20>sCHoM;JtD zOFEi$!Sc-G3%j)Dw?0yDHM@`GoMAkp%Zc3-CEP&HEEchu;}QL9q=?2b%k%EyhA$nfdMhW(-v4`G;>2$S8n9uziqw>3;ZkICJNuS^{TBCJ>NsI}I0ze~=d;1EUYVVxWP z31jRSY1tNcQF%Iw&f9B6Z>xbW$at&LKebJ?CaK{hign*{BU?0IpS|r?^g&ow{;Dqm z2{#v?exq?RpD`n;ERivn*=0uc%M|l`P>JgjDJSgmJAU+ioh-QC)|q;CmFu_(sK!Nq z_5c8M96N4Gzs+O&9c0<6&<_Zt$S$2y=0J7SM=b&1;c&;yAX}dY79+5Ky&*|M$)xVTmHM zZwql!bXdn?@`xPSIN}0BhiDfamI)zkBDs{0gDTUX?-c0XJVwf5jUT~X3oQyp>3%mS zm+_e=T!;&htO`pnpbC_oXIx@g0A%Toy6Pd-mpIu&i+RwV!lLdk7^}5!t1607?3a(> z$*k6mK1duG3#tL2e!7$`6{df)Fh1+GxJw(BYb<5OL7T8fG_qj5|3aSK2Qi*E8_#v45e&AkqeYPa^H=gV;>>wx#F5CS58;5Vx|9LJX@SjIV|NkJ) zl+g3by^8h|+ydBx9>x64dY|ng12{!EN58X!ddulEakB5eSLLOLt_>8peA}{HM4>>) zL8)Qe{SM~OH15v1oWXt$Z^87vl6Gx81ptj$V2%f00=#w zp{fw%mCld$Va0yE@*^7j*zIlj+~r?ViM#H7m7>ObF|w?3C86)fSK)u_q6x-iPz3RB zhi}S-w!lGi9*XcGZZrg(Ss`QkquD9{qn-1LYHDlOFx!G42#QqcC5V6tMG@G58v;^d zqZuFs2u&$c0!9c;4OKcAB3%WP9*`ms15%_H=@6;Xq=XU(B|$mSy>I@1oQrdLF80+L zbB$TX_~snzn`_SZd8czw9rDgOtfU40ELv`zAFbAlGBK<_Ra?gwpTpdVe_X0Xi82Fn zo6kDA0oLTh=c)ZJECZ`KHv}gGmR9Im*Mwj0Zp)zp*H^Om4;c;T8`OrLuz^X%9p=J; z?9I^2XVl_9$I97O?H{f!n`vxiw5sJl=T@^+JT-Oq_2zEZvV0WgarTjgsy|?e7X-U1 zd@6U24WH{UJ0*vA=8?E;8%SRW5DlPyeh-(i*18j!xd0`(C!K(n}|03Ngo;h0Xu3eS%m}>A5j+}1&3R2z*!Qt-7K6s z;a+cIr=?fkj0Lv8>p~=do}}3;hnz-_t8VKR_6C4>}Mg8N??5siFQG235s&?QVFC`KmCoxZ%oS=k9^#7P2syoh!jdB@!9xmWrnOB+&4>%+zLl^ z2%D>@flh)NHm3yp@~0nGMbo!SEflkfw1JXoP+3tQ72>*Clzr=6d^EZp$2wYD~uQ!n!yqfxS`a@IrQZ?$}xTc36N%iFb?rT%5r2oS8 z^*qRgFIDV>Sb)z|_+*OE2lDrzh24#I^IIohnUMfmyzf){5h$q_cc2l}#;@Y~X^EUo z39^j+p%hBg%<>+`N~~xg{Y<}{Au3XdK~eEd!Ajsr{UZ3lT(V(JcYfxWbY!}WcCJF5 z>G+G1VU6uwf~!veS)vFW{M`iqvhM9oL4Rxg+}QPXfH(Ir8Ut%5 zU1Y%ZqOw>7M|vu*aBQmneWS;w`TVUGzs!8PG<*6PzT8ZMNd+ApO4oJg@AoAiciwi55ZYvVS~;pDkDHd_U(}S(bf4U_1&hEoIlqK#?+hvHSi@z0mgex8HmDy zWwfs3`)l{paNGGjqLPH@F~Y2W~4lkXmd^=`@@d~sB&ZU%SC2lYWR}8N#lLS2r>c7%xXCfsF#Q&X2#*(QhOIsw%_Ole`S9iqL($1RIniv!Mtq4UYH%*{u*qP>!L82 z5urZSOHQz7sn7uzDp|W{#K~^!Afb8JO!ZakI*aam-gHO_e;9uLtH93gaiaSb!TT%X zkK4>`PyX3R8y_`2R#oKx+;KFeIb*0I*^m|4 z==!e`ijhk6`QqeI{?_6#S6T9amt$^xNsxN}^HQf~vHiMEEF;nxw8Wj>96>VyjxWGu zvB-_(`BUPlrKs|Fi_>KLDaiZpbG%hKaW;V_~BrRYWh_wB^TK#@AJ*=7QcWna?0Tt zh6Ro_N3IlAkxrM623|-im~5^Ss7RX=I052y$5w!b0MF!nm4-@(Ztg`GP)NQrRsjn_UpLiWw5LDS`KRm-(P_sRh{eOG1f>=R*QVay;lNH z+VBp+!i}bMe5mW(9RLM6gDLD5Cjp*gMss3RbqP|5ukGyzfUmtrfX0b8vt#;Ok!N>0 zm5tkOqU<5tw+GkEKHuG~zei}dI;&?G*pw}jg}Y;U=;D(nQw8xnljPvCXtS+iN%9pY zwk4tck?Bt@+Cy%iX)UFGsk4XU0e(X7Y1{k zbMfi0NtT)PyonZ6WNB8AADHCrEV$wW3b@26Opze(UtID3P9m5RH*&kU{e{6$LZuQY zruqB|%PFX3G1VCl$*Jt#gAP60#p;~)7rD8n{YHX8nDp1^B%n&l9M-4|2C%X^5aKgE z(DcQ4uRkTsilCcCYmt(E+hbvM10mkn9$frxQ9EwBJ=UFK9<+AW1aJ3FbJvJ4Bb@X~4lXmad6O&_W1#8yT5#42WPN@97u0oqY(^KZ#G!@!VS-k@I51K! zZG3SQ*bMQXNH|qFmz}@#+RgKhgYy~;`iPx4S(oaM5X!o%&+lU6RIXy+x$$%5{gv{7 zsLq}DiXxA;->@I+{VltGH9E2(aG7jK4wBRGi!^EW*iJ<^fE4;Jzo$i|=huHe&+#Vc z1E8%CtOq7QznnP3hDG(SJ2AiaJwFnG5ZJlEu4aN`DaAFodY8@iD1{Iif-cm@<7*D_ zGL{UuuwWy4aLH@6Z>5){S2X1(ULX{-WDxf*#qfBTgLbCM2_v)X%k%dF1FbyR*Hd^Y zveN7$VsLINNc;@iQc1%~18G zXB1gb?&H(oG@kQ0`WykqgwPb~U)2LZw^qPx)HpWz;b7ki^BKhTaEjSMc-$9yI_&7? zh8e33p*@>A_~FILDi_rB1KDA%r4UVkXUCn0$C;F6J9@*OnnUg(JF;JnV_Kk~GFptd zcu~{|qs@PundpucM9%Y*x$p){#-CPa*K=y8oL16%3bkC;Gs?brHEb+n3$5>|FwStS)L)b;$u!NH^?!0xTxHOTMO5yI` zU!|6chAZ$RK{{{~z;Q)!aX}x2MqEa3{aWkU&d+pQi;D_>-A>9rR*icl*C{^5W0Qf1 z^G<`=Tbxl1LQ+Ps@G^jkTD9O`8zync2MOyVqVWqeBcRjd%$;2rZt*JM(3v!-`1nPu@{%sun{)I!ksjYm5ugP?QzkS zM*)Ej+?UC3wxWA_JqM{~?N7AvnDC5PRSeZ!&>HWI4IcBSyrjMvB^QCX7WhAWk+U=R#;J83J&Ai3-3$TnH z6oS3HEfKjeCg8a?f*~L;{S9~Nc0MAt-y5V(D#s zY!w^R1heI(*43HhHen%(H#=8VO_0c)vF(xd7ZH+)OAkAUXsg*n1--t%pi++*#thc% z&DS@wZm!QH#LuBZXre~JN}@-d4TF4->}aDrhZKrXLxkDXvFdMSp&QbpGTs&b%!rI(L?aF6Z8hCV;kb)4r4v;U)A_q{d` zJZ|w~N_*FjeY@*^d4=W}abs%V1>oU==1u(9)Cm^`k1`!K|{M?F*je4FF@&5c(QrTG1 zRF;0@QfRJ^u%E`RvVEN#49fip~-KTVX? zj{*pWtB}qyugF&M654dbtP&+_%WyKU|13qQFwOKLrODq=#Z%SLv%IR4Pnu~wQ1$X; zUZrUG%(p^U-qp0l=NfTd-u>>()o*cb3M@8)kCWd18CsAu69DV&Rv-fPdSa+R`wH*3 zA{0C8(sJR&SI}^O8TKZxKOUeV9baP@&y(7ScxFV^{wsXOvy|;OT`> zYrx!d7h9Kd7)g0&8jH<)xPt9^w47lyB0rv}9ja5&6%$IbGT}p;Ln;`fORkY&!=L^NG%zb1YZIU*FrCxmv)0)byr=41%zd(Y46g*f#9f)tVTBH=bo%VUQ&!Za0Ml z;5G`LZ%6{NN{h11=~9IZ4;n|=nAVx@2lnMx^~G8=)K>4t=qhm0o&OoCa9&mJ2JzU6 zZ`l=GBWT`>k#lOdsx7mpzPuM{zejTFsgcr9bOUYa;F-3+n1;_5f4+EUr0EkJw0{k- zG)pt0=w1B$4y4mxZ)DcjulRecC0enE#T7Drof0wAlyqf6dF{>Hb&ARU+OXTfDwio1 z_NB`62kR3=C`Qk^Sh%ttX3r2z&wxW!kb7HqVsm=~<3H~(>nEKU56;!rOlURdn6JNe zm=MM@H^5vXaP>PtfwK+KVoH~9fv1%d)cw+*=7%zV*4`Y0>+4&Cm}-t^KnS6F7ehvk zM{^3F-mkfDfOx-~0<7O_)+y-9mLEajdu-OBFw(@ekCg1!7#4z?TVxN}Fx8>5OLbof zNqEcV{h??Wsi1ic|`wj?hf#cZQc)$;1+$N&^W#q%eeWXY0UCeGf zUU>>@Y!i6N%%zh?*yG~iS5@C86FK%N^B5V)7S0K` zJ3rs&ao`p}Zq6mJZl7gZ=YPOgb9dmB^jQXxdG|k0sk|A4)6p!Q)1NNPIK#UAX@s;u zYi!ovxG18D{)7WF-r1Q}4+Hl^pWFL;Wo8kq*$RFc=-8cSB(_mbkpkaYO-V*smFG8) z^mpIq%eR)`I~@?F-yqF9JH{U9d0?%mRtf#PxabfAa(dD;ZbQh8C!YB6?6*tlL$yTI zxnZs;t#2j2Ivaa2=z?3u{JskOTAqihtv{M+{IQw~UzhxKbZZx&610vyYHZ$A!!e1D z{OXVVf24Q*ljZrh^j~n(zXAC-ApZ@#{ktaqU#$sOGUX?I<6TaYv)XS7TCb5QVMr;@ zQsy@nI#aw;8J*Az0|@-%i?+A~Pcmb*2MAY%MnCz-42@pw!6kpbpz_#1R%q|wp;9nf zliokhX95rS5c2=*gfp<^D9aOn*ZbQ!E#U7~|NFyUkWrPsFqr+*SDln1O24DE?SKzl z)IXZ*I~)t4%_LvnoWa-clWZB7@KFWBxa?^4Xm%C6f#;QW_Uk2+4h@kinJ{S>)27sRYTw}zMMr^{jRf3hb%7 literal 0 HcmV?d00001 diff --git a/peripherals/semaforo/programmer.png b/peripherals/semaforo/programmer.png new file mode 100644 index 0000000000000000000000000000000000000000..80b97be0934f70149fe677f4e3453fd3b8b109a2 GIT binary patch literal 35228 zcma&NcUV)=*DiA9-^2PR?Fym$Ub~-u0e94K)R_`%mu^5fPCoew5Q9BD%#) zM0C^b?oC1st!gtD;p>KrmVzu%$>6hXLgBWxjH(O~QCT?YwHYy?eDBLg0~aD9^0t4U z8(mI$mPAB>e2Q{1I&P+W^Q2ynCg#ua)6c1Rmd&5*SLwREh8J@lR)V6C(_n`!(uc}ZIyD-VY2Bhf^FX>jmBfiBC&fxlE{9~ zO&ntLG@J0BgWS|NJ1ao!y|sI#PKUW(E=@mR9Dk@VFs#KqaBMn|b)@BJyzD73K;9#q5hi!c@-D z48XzKLZ@(Twi&3dd=|mKr)`uGMdPp9H>A#&ZYAww(B6fwyYJHpKboSd{>X+kX1AWhG ztWc9;RfykzoUHW3C#Hnkx&`?u#|u6DJl=`kzw5gLYr@T^i1$avFV4r3&&1ld@P(ID)-bx|hO z))Yq3^uOPBd%)U|-U9cpZaDmm9!|5GtMoHtSLB~~9a*LAK%;QO%si~JmCEAYk5(?p z&e5?Z{9%8|;K}Ma$t4;`NO5MxbBb640{!_sm97Na6=&}nQ^0*IckLFkoO%{)3y2>wS&;Y%7Hp_ z<z z1SDNde^QU!KO5jos$ZG-V=u5#8Fv@EcKD1;lixA4oRhOB&wPXltvAlxL^7TU*iWr} z97+as{TOG{PZq@b3Ug*`4>$GL@HTK2N-)t*6n2<)uX?j2?pqxPiEnTHB>w3OQiMEo zp(yS^)L%Z|av~0?=APPya&}P=+Mp8JbDXG(A}Ow15D|e`52ywdxm)%t`)>b+hgElX z;Hg3<<(lI|@?P}Z>F4$U&q7@6t~NwA^`MW2$BiTP^v$_+_4MMrgFBGm3+oxg7mjW` zde%j)WTP5faFw(C<|X@m6AXB~QVh&nYhOz`!LOZO78hIy1?M}Bj%&T4u}u7E#|}=^ z)L|OY>?_F8s|uL|z=-#Y;6c4Q12uq!SYnq*!?7iJc#h$RQS-s+u&(&%lb2U9`*5 zg7C^Eaygg1=Fj8qKAXE*p7~V|1*dnpLg^ng#MKY`08F^IY|}0|j>YU4;O&{mB{OlM z0kW`PN#j~MR6AgV+XQk9738d{O@GHl=(vti9K`hol@MR(C1zQ$WCGAi20Kkx-4iMX z(6IxeODdgO|B&aZ*(i2k(L(c?Eapq;Ac#+QeVvPPcRM^LmuI0q|gf zu5XauVh2WHjvu8vWlegnZd@Qr8yTGtvFh+yNChwh@9_Eak(l+p+(gyZ`$>N@O z$w}x7Q=-*J+`|BAvR~obZN5!|1?s$p6K@v(l;=pL+j<-ZBM~b3j*F?9Q!yFm|3a{<&JJ zhtT!+0yd3@67-+@ce+>P$hevtZ%@1PEyony~?%pYAIL&Q{v z+HR`zz!(2m=xDgwp8fO}AzTG3-g3#w*UYrW$er7J84mVUy~S`5e@$pGI8&hRy&>eC zFE@VTZ8eDcH`(Zall`gA&dOlX9C!^eJ!sO5tsX~zCovV#xv}8D<=UIHO|$3OJ8^9O zKz57G;jiQCqf19!h5Hh?mIHx;8-uT#lI`aeTA=q1Ri9sbrMXimXXz47((~ZTr!*G% zLXN}(h%#{_^6ubz2BcJ%W&erB3WmAUg3w8Ewrs`>~DmITo4rwO$ zn@{Quz1~D$F{@~HCNIoQ)Z82r@jN~ocltOCF;UAZ#OPN6Jey73_0Hyh?SvICVe52& z1NM{WMH)WI<{~v5ou&m$A8+C8%0YM&gkge~>7s%>+S^0q@fX;@ULoh@AKR5sz||;8 z=%n})o#Dg7RhW9(9V~;0UR!4Amy-YANa$OmQ zD+v<&0U59Z-uz}-Dq+ggc*}HgN;m-zNq$Zqfqr+9>Wl-1wA}X^O;YIWD5tO3#L&$T z*X3_QcRn_*GBGb|7NbLsYT%RJk7n*eAA0q4sILE}cYM>OFD5xk6>Qmf;`rNFWe_&OMt{02kaf%TrMx!`%-K{_=sLFtTKz2N}w% z4KoEfS$cnavSC_SJWRGwd&ueOC1De5OVgSaximHVw7+<$(Ug~n?jw#VD_pnNL)Oxp zdCQ}e)zQdW=8Hnp7xqab_VCI>)tNW&A8o;9xnEFN66Id` zEY0gk(Zs|=ODn41|G&U>=qUjME_&<~^ZUkaoFaiu%fIO@S&QVnI{w)dypOqWBxpnd z%xo)a;~cBErs)lVCfNws*ik}0z080A=agu;m6+O!#tJN=40M0HOGgA#J$IU^G$~Wd zlYCfcgMdV{R0D@3T;E9cRZzQDd_|AvK&Ql_XeB6imfQUN{O3g-79FG;UmQx2$xAtl zkr2I^HGFc~N0C|Ljm?A~UT-*QS5+Xdpxqyl+!eSmS^D zxl`kk9p_xfIv49j*cPEb#2cKq>pV9Du=nu$=gxaejiS8`GOF$-RsW0&@hOf^4osAWd#GV} z{P1t7z?+_Xa=R=X@rh*wf8%+JyYD`E%*oW%8QXP>(|U4@+3SGa3;@38ry0 z5P2(_zCigUv|wAMVk@$crtGx}n=e}v>^Wk#MD6!mDWdNWxA`VZoEY*EX-%B#VL##} zv6=fYmQKgU{c@0pUqkO}&GdzjoL=G0!G!}_pfIU4K82n{T2!nxxM>%Bw?3;0N38K~f*D z^dw{cR&N`vn3;rCcdTB8d_O+uwLP{>zM>_gwRjq4%TzdD#v?dBw%0uVcIT7d$2z@GaM*os=~}|5EP$g=?4l?4 zCSV>f-pmV*!;VpVjHka-l|sWRa%qmCZ zLqC_Ew#g{R%3oP>S?s3Ito_-xH5Bu{d=y2^*MQyr1-8Cm$=1>G%dZ?xr$p#A2qV9@yTlY5TAneb;e-!5+F!yhv1 zo?oiWed|Rsf?qT>5E01`9;T`tBg_~em&X`466yb^i!~0g$Q}HkP&)W>_w(P)N?C7V z&f&F4G58u)et2tMd_Pzjz*yaQo7B`Z9T-B|aVjqQ!r|M8p19Vw!KgdN`U4gT44)qE ztj;60iMuexNzp~06NNug{D3Oo)P`u7&XcTX{Q~h6zL4FkW^-VxeiW3TkZeF@AT)Rc z383d9ILgGmLUa4?lNd+C(tMqqwgQhUrDQ|L9^9sKV(kGGiA*UZ%!Z3%ZWKhyfJ0fb z_|p1uYti#Orjz)R7>dwmuJ{6g?>3p;-ZP&5p~D8-Jo~B7A!o0K#?*(D`K;ckaGlB4 zTk@J1W|)?)Qfn*4sr4z|TlCY_a#DCQtIO1nbOhU`@TInmT&~O_2pf=}lGn`1HU;Q0 zX2477rZ#%=3FRl51fIRPdJBUsD!Uql&5hyF?9TqEw;G-9OU3WbJ+n<-V%u zTh*PA?Nov9AGHzK4mu8P>z+~zEhS!qh1L^B&~EEb;xVWFZQZx_Sx24sxxJ}ckU{34 zEPEe?GZYJFFvzJX{bV2dH;bjyKq!@>8hGBglRAWV`&yr*Y;l%#VhRED{@bzQ`yOS# z{*=(cG;6y<4pT|XDT>1Uq@c4RGfZmWtpsv@$KJ1~b9yr5AopC0hL(20Wk}Lashz>; zG-*9Z#aKzC*lW2N$vkqEq#3>6Xs`wxKh9Xn9YgQu78_)Q6i6I&B9YhMTILbeP9BG3 zP(MxS6mJ)4uq{k8)~O+#&fTG$7LTS|#lJQJZ^c8vuAJ9&b zxg+)T5MjC{-wrq(va(GpM)ZKpnCVYn#rws6p7A%Ht6ZxVD$h&YmDD%uzbmF3viRdc z)Z7hqX9Mj>qf_5b5F;@(>0=37v$e-i&fun=h5w<_g zDL*uHX*>6$CPe%T2}i7AhDy6WC*Tn#iiS12`|j4evg&UwTxEVWSanKwzzziSGtD$J zU|2OJ?r1O2nDf;{HVa8it*K;TLF0Z(k~xD+AO|ua6{8H_*jb6X}pPJ|oo5XxmoemDl;)>=y`*dHUe z`sF6AwEvwAUX*u<2LC2uyWm~P!ymHFAlWiu&f<3Rq2IMi*-p>#-8PI!mAsnwI-VcP#g7ZcW)8B# z1MYTU|1>mYA}t#{&3mw)ui@(&z~D*>>DPTXm|5jTEY$j(sCfTaV-Nn$#TDsg-N z%4p`6A>I`ZUi-FuRWdV_(BO-AL0!{$EZ4sMM|UQ@J3Uh^N%reR2njtCJ&4mZHZdty zJJadhULI6eIGqd{sJu)zKCSY3syJwWagwF!u^@4j1Y2|)*~$;TJgDD(a%qrhIOluN z#+y%XFO&|p?N4OG_sgR3f|?T} zN8Uygt$6_!p%Sg+T?2!Rtb)jJg}=-wykOO6-s1V)Y+w+sdFsqAE!74?OVRLfYv=jR zZNe%t$PfDL)bf*#!xMwbmWo?H?2fCx2Qvxr8Zy>Se!9vaog(Jbq09oSGcQUr(Yvzw zDKBVcg-!mg5Y8Bz{|0_CstoFVc&56Q^!dGMl6}4Eb1K(j5Sh~$W)c!iloWL(LN;>q z0+*A%-wx?rIm(+zGf@9Hpjsr|Np(mA+#-PO%!gK0Hn>)X=-0(%hNo0CeZ3>1yqOIlsxO)lo2rqeB|J3(ILaTqvdi(m+|GYii zt6A)oF#O3V=@IallaEhxqb-#88v*H;694m*=o8(4Y~+cM<*FvL5;%RLyZ*X`dWWA0 z{P(+aIMa`zfq_^Mq1xsbyLQf>w_jUd_EX6_zaZ2+wZvYYPMXENj?yKR9*O}}SXMZ_ z#~%K7H1R*h4gdQ91ja^8^!!g4qvU1x%kYLvR3U%3-dYO@Qv>dD2iooE%dN=C*_bM`;14ei3Ju+xEH;vr z{n_tn2w>bRvITfuGbbu=M$KUB*Y+3jX}?_f7Yz@>M(z-MGueyRLC__p5wUf=F}ww_ zsN-JuNLoCSqspW8&zb7EG6~NEB*(_LrPeRS=4vE7m0%i|nR2T?R2b5Ax^VVzu!h*% zXJM;d;eD%nZ4${epA%RX_&SDUeD7=(2y!w}U);r{lIkvBm&Ilde&_JXoBk z0awXocj4A$)5o>gHS8uMY>W97>Jjp-2JR)W@h%`!|(oPVNp?0TU%Rxi*7f>>`^)!Y|D5SdpI1%7+GCNP3CM>7@#@P$<{Lc_xfb}vj2NF{xdAHMgW4d z$VY((Lss`LyBquy=>olA!l3g(18R&0SgthPtmHX}u zo7OXgfylLc-VPgK6}P&K4L>sptmKm4T6=zzJ53^Y2)+e#1)WPbcdtEJ&QqFrrIFVcrfwj54S)KSY zGdogXK=O1r!jVLk$qp~~-T72IzBlB}X*{%VOgx~y)Sj0YX%R0E?eod^=lvkKs=39I zAa?o?I^|KULbB&|R?gq0JyGAw(0LD1c z(5GTTy1TE2Y@IHzzPrG8IyN>u%xsmRrdEUNIe@M1_1SBLoS82Qg^Di@a5iX@OTDq2 zmDJ<9?(4M)Q%R)#a&Y)3 zlpy>!TC;z0S~6BdiW2-AziK%;Bw=1FY=dsF&EeEq9y>nk&BCsU?kSr*l0GKGX2-cS z@qY{Sn&(zWa(=$rc?Q}V-ZT~NGMKe;-EGQuEZLzE6XAPd$|Fl%yB4L~uKvX6iSfGW z+LMP?zI1!yCDS4bi6VK$>XnQGz`D!qsJ{JvMs`vid3@~2Pk#JRAxaP1H7TReDS44| z$p%w0{Ww$9k8jfks}Ib2?2UZoT%h!Gp9N}u;4Ux{%*Mwt7%u5H3i3MQhLy*&;@j5k zdDIyf-+%Qkbub2}9r;(F${7nhx2o?+t{t%=%hW4Xh)1*g##eKhS1Z6%twrgR9%u}n=tT?J-xu_5uNUDIJ&2}6YSaHD(uh8K z_;f!)-~Ff~lfPzXH98LB(AVTj%<1hu4j1f9phX$s`W_eOwP`ms%;@r~BzqaA6oguyML^M3R*P*&bYKuS|7$zlCUB32~#L-mU^;<5>Biv}&6bY1YLScL{&S1omHp&`y4 zqRqm68#3(ttg?s(D>|anxGu#`VUrKXjHdSLIC@NhCsmz^y!;r%y4#LJ*DRB@w~L;rr{($JsF2e7+r6ChW1GZPZHo`Z8>C%yY#>9s zw9!L3N4b7$zvXGJ`T8aw1w3%0c+dOWJ8L>hSRNJCenLIIvQ(N4w6|i-Q7H>@2rT~) z!>#VNF&PpSICF%oxQyG?i_c#Cik|`8m&-7pwF2dpyC>JF$xrXcWc|7{GAi2YQy#L~G*_(s%fOx`wrHxF=3?etEIDNZ~;*!73R6ZV!7gOL}vm28Q0|Dc5&ve>y>^-g6 z+5GLJ6}3(4pUb8R;dSE-iq^32>uMM~-&8K9+l)3c2{htMuu$8h|Y>n@8npsodD{E-EaJXqJdq;uh|mivx-7b&l$ z_qk^3qLVa0RJocJTQPeb0-V62;@8`F=th!{m+TeOz$wz+&hu-Yy-p1}++Q0J8r$05 z$P_SSfe$FkzaBm2o)*IqzhErFirCK?7flfhbUl*d1g!iX4}GR7Qakh~j3G8Ge(%|W zQvp>C*ajg&<`;Y=*GsbdVZaIutxClsUiU_HFr_(9do?ZCco`<0!3CxE&aNQwwoE{p zb)15=jo)DT{D*QL?{A$C9b(VdUf2VBU@jXDO715kA!1;L(_067wy1Is!&!vzdx}&3 z$^E!K@S%XII2g4$5zdN?mBj4~rc^2N_g!w39enJqtQMWVH)0VUI<7#!eP@_a+R)X~ zHakiJ#ZUS3F{IbHW~%o$UDxh4sQ-&?eGmOtyC<8s5PW<;mHL+)T-r zL`r*IXd{DU(-N~57^qgw^>)mLhs>!~#ZCaq>O9;B`36m$yOjMaxkGTs{Af{;?XlK| z3bI7y>DTN!$l!@!@w?)35jK3O*4Hnv*W;Xl(SWpbDnC!8>eDRfpR`Ix^n$O9w`0U+ zB?XMd)L=8HYh78?;cTT&p#O_0I8)e^)9^9=! z9G^s&Uj5DP&4BU`@(lv|j;DDoxEEc-U$`IC{bL{Xg49_L4H*`r^gitlKwdlbyYw3Y z1#vl9zD{(Z^d-C3=#25jaqLx5k1m?4!s|m}*WZ*TXsy>t#H00OKfgW(dg-&fT`Ild z05ygP{_4UcwGd6%>vgt*+?=x~v+|tV88~h4qhu5OTuRn}Rp`~ptO?uDEAVz%&NuwQ ziu$n}gHW$llo-TF+f?j&n9fd7q3V^6JRS3LxRS6$KdM?jj^sJ2dqzz2mA05W#ob=p0C-?n&o$(i9SEC zd?FBsIGf42I{Q4CT3S_rwi~RJ&bYO2egW(CjNf@t;NJ^f-QBtnBA!@Hy?ix>QkyQp zvZWxzUK7vk#$4jrDCld}j8DXJHhbqq$N;%^#0rJc8&LlB(BodA_`S+&$(9w~(6bIa zup}}&-L{``@AC4e`HUa#E5o1I$fGOP0oJ{A`QAEkZ-)QV>l@b#bQ2WETBT{L>!N#o z_K6CUaED_?(4O2Ljn|%WZev zF4A&UrpGrmq&d1@+i9o;+4J=IBH;|uh^6yJU6-g>?y)=DP0}kyRQW5H#wCxSChRUs zpq}OP7*oXM^*i>0f+&y@xJMeM&oj=r({O~GCeQZR zPB2y98|COJY{4`#bVhW0FdrD}bm(<=!F|Oj@&4er8Dzq?!T=1JGZpy@SkZmI^Wj0l zqI?hcjOew%X^z3=VK}AWQ1N%M5&L}9|*|z_e&Z2*um57@mh9Jt=HuQNVcY_NWPeJL#$_V%*5X^kSqn7Zn?=+(AuV%JaGaE|$@)`>?22h? z{%r~wM@~LG1>37On8$Q5+46pxPzd&yz!zM4y=x6oK+g(@9KS$fy_$X3FNzk>0_GJr z3!nF4=A?}E_4C?uRnu@9oruf!VkgD+r=Ifz^`@`8wHJ#Dj~1q?S#foTh?uflzxQUY ztx})b=x#drI1K3C>MT?TDGr)A(S+73`fwC(CESHIit2#ngSIu#v!?nW4BD$~n>6)U~#`Y!R%R#Fud!y&$GWi}^`a+7@1bbr%f*wTL2EE0vUV&_ zvYBV6?mTri$R&6rfDgZ;O+^{rY=px19i?%Q;@=MN?Jaig)qmbWJ8Gust6$h&$bpuD zjII(5HgjF^jwz?qt9-7@!=v1YO$zPY^3H{eU|dHZ1HR1sJuIzX*v}v^{zRvsiDpE_ z+)K76)eB3?5VfFPjvwN-`*N1~V>({vRk7io+i{wb7Z9%0TU!iZ048Kg-fU9Fa-_7c z%~s>K+FQA2ULK$sbd{+TVt`-mmhDlh@4NhIjN}r&UGDff6^1vnL5nSc`SL%l3+VRs zn0}}iXqCebE(SXH2rc!tv809P3;`Z{D5*O{E0wLb+z7*DW51n{q|}w8MS4B>gzxAdlR$jT%<>x zK?XWH?)&2R~g?^3l?eH3VbQx5#nhK6iZ5*bh=))Acn#SaizHikM$%5SZ9U znSs3PaMjy~rkO@N@VwxM2-#`3;`Ia87v48hD;4uuv9xZd7!#sOc25eu#}If5OQ#bX z^Q%Lb5A5^{!7)xhCEoda5c}Dfot0(@G6HY&z zZeG?j7pf-+?M8YDhWv;1`3vt*2UdNIgxs!I7f&#|c4d~0c57?eQ)x1^GW;EE4Lr@9 zjy%$pBBQ8S>T*zrUX45OGw_Q}zUyNfvaOUndSK&yI95S=!nWj#+mn0VFY6}EDJhx4 z#{HRwhDKLI_!k}GQ=%X()6<9{|lB?4otX9zugXVF}9rr>ulWad+4g&_D zhSel{`4>3|9H%g`8c+ET9CwbiO^YiPz2b8rprg!)(4uPREXaJC}ad0dD$OiVH);1rU5?ZzlMf zF=Pcz_d1L{ibZk-q?U438ruEI{0?s$_~&a%BX?~CGHPAlJn*ywYy2Et zqG`*RU|PLnv+y$G-Z%Xu)H(%Gwe8P0$_)Sy8v}IYKIHPCp(*%m6u_vbO?ZGu*CW2E z4~_OoboRdfR#CV6m&^uQMm$3Gy*l02s^Sjs3}lW)Ts5xx&EXhn>lCJqGgp z#JQZoK4T%&CC1&imP+O>8cui5aFOxG_t=d(+q}WPqDM?Nr?&KI+bQ3)-Ik5ngXPna zyJ0q+Hm&v>3J%iB_CSZ8!lX2^3#wuj!`!0eSE#^KV;4ok)epIPv`>o@XOCw2-gmSh zd<8yq^%)c5vdC|N>hwM^t(9-Zd(%&ZG+dn8>_7dSlS!jB_RLcie(Vnd)u|-9F+J+z zPMQI3kC0X=qEm)#3hml<#9SNexA`bnzG^fKy)M@0RT}cR{LAG$0{E&sYy@-KIX%0; zUy%D%k57e7+@sbZ<}POSf{Ya?N7<`7%yEU~kKz9YlG}hL>0`af5qS=dN(1_T827yu zz13#!Ag*sb*Ai4ssDdm!$LM5^McMgqMIiDdC${q6$8`%p& zkvpIml_V=~5gKGrc2HyIp{P<=7r&WF;H0_W!4GCDCjxHcpDxP9sxs`h-CPohFDk-j=AoQBe zVBZhZeEvj{mzF!A*>RrsRO8v;kmemu(F;@(^K-#d*-aM>ubS6)TIO*DBm04*WuInR zL<`4L-ZnVTNQi3uQ@>nU^*cT8;^AI$^f(sHY|jZ{Z~I3)oS`QAxrR!<8^LOZ@LiXJ zL$aif=^gEXj?Lj2a^ov<&jWzOwSj84z9FF5V=#I$1m zo`2SS@Uvd8;Vit=Q41qOh?*^T*1da{i=9LekL}@uuMrfNIpeb2%PsSD+q-silf@)J zo+>Fbom0Ipe8Oup1I;MW9I0Z1G{g;IR7Dd?;Vv}KnEw9Cuga2_)tt(zBp<2A3m*_A z8Mw>~R<1SLzp+i>D)1MpSzY0Mby&Osd2hIzd7RpGUp0auI9xqhO0xd%l01fGjvXTDS%e*nNAe-=m+bV&+HPrT!*3MT({x!d_24h^#n!On2rb=EXLPaOM=zx z=c_t4ZPjd?l*qROdaf_=Prs=6N_es?Vri0fRtajm_@~RpZ!D-TYyBqGiEQprKC%iF zS&w>zg&udv?+22s;#!soe9+!$tymt@So~AS968h3`7ehR?SY}+*Z0jko3-CCh&S-} zfh&fu&OSXa7g)K~WKT_4Bqx93^)&G0hBbdpp2zcWYYH3&va=}Xu4m~RM|LD#1|9v? z|Ex)Wd-dzn$XT_fwOf5m@pf^ zJAK7St%$DihiiSkpWsc0W1Ct1o|oItKqK!_zkfcVmIhxl{e5_Kk7yvH zCvD)!OA4uCOs1v8nlCh3^Lk|!?&X6JSzi*Y8U|CSV!xG`?e@K`)p7Ee8N)el zo$FLgMHH&S!;EI!uunLG<-Li=%FahttCIZ+zSocpsH7^pR)Pc3Ld z%Xz%q*4zSvqTwdMkJAj(F`#Z*MW64)|MA7D|4wuN-)hwVf2U_FHa8PQI39_f zwww~K5+;g`MBs$PI#K8xcKE80Q)Cf{r_sX1!E<|fHe}d>@2k&VoW3mUW+>- zUc8?JZapWw&2zh(rL^VpbO-BaP_y7s*#De4Ha7NjZx&$nkk!FKXL?bOl^;?n|G;xc zT!ag=MF1wqwjx);kTZxnXXOcgS$ao#`;Wim2_@}4_uCJE-WTf=03f$Oz{jpIhTzc9 z*eAafjp%jHYGMQX89r0CEnE(g^+{f?MXQ(8t^^S*23!A6Z=w}#0Q~QE-Y9?n|Gde^ z^HneSKT_WRYw+}rqXj|;;6XQn&aIVesuk`f=l?H7`O5^?e<$@5nQ57-?HKRUM^X7d zeb!-H!|Aq{R7W>9!H;gXatVgGB_@XI@4u?MFCH$#$minT_;~GkRffe2UXdh9f%lJn zY1N)V_duY7!5XRsHg^}a8Lkh%=nDypOT2R|sV~DIHP$DL&sPZAXP5H9C4RtkC})wm z5iy$3w4Q}cRyu_g%6Gmbl z7*Fm|MSU3%bVpWF+n3%o{080pjGOwQk;Sp>uIVigHldTb0At+Q7C~S!*+gtgX*PMd z+J!7O0ssJPRJaCMz8}-&d3Q_siqo&-QH|$L2Wp# zfz6`7{H~6rUAn$FX7af%>lYM)$>{kLQcwyLnW_DcyJTN`x9VQqL*&6Jlu9%0W-QcE z3z9ErQQ~GZ5H$8^0fHol{Lbp7jAZ&4Zp6*W^^` z!7qzTGKW3#=(|5`f{c6Sm?CGRM^t`S=%aC*!)Ol`6S` z@#scn^OjrL$En)Vtef~#%5_GA)tbN?(!$b!IrVw-L+bqSIdFVqcDzFFA~A_@62F7+Eua=91L8n1JarIO|EWW4Wm_HFY9aTo-!0KuG3@tmGi>` z%=*$UnmavHwQF{Bhh&-zecjkbIE8ge&HjlrEZ^Obe(EKzTe3Ks03>=DFCHoj7w6YB zt@FgVK(;6LJsdInqs|WOKi6D5Y={XXi#yN)t?HdV|4dCt@nzK)*u^rPWBX6sgcz7$ zxUnempdq5i?YY;BL*o#;{`vR86oF98JnteD0Px!9`3hFVV(%j)($T}4jP9S-`qyX2 z#SCe}i|a;9xI4qQ#lLNh`d3Yip+8nRT{l2Xhpt?wP~Ypix*!k7UD|c|k1;FEJZcPx zB)uH6{A%vPxVAyB31Ai%w_$o}<37&lY|`S(oFc{$e7Nl#dm_#lfi1z`6{Db`KzN2M zyvxkbJfG`Zc|9RoU0WCJ>*klyG`QlIrOt z?+2-PL17yJ+t7gx7gH;VhQ$aAlkn2ivlqV% z_+=});3I7wA$YXw-VEHkmzdlC8EZmdUzS^14-(ixL(>A}T`p$!>F{-Nl|Jx1WV8}0 zSmBlg4{EDBP^BeFE>c(aRv5>IM#X*A7$=?B7o~WbLgO3ZR0mq_fHZkwOr9cS5$|kd zf+j6nw+t#68?SC)L&aaP>yE%AXfBvbC-iK{GdmQHMb)1mhUk#`+?{88UzTp6#P4R9 z0idU&k~*O`PClIQBOOT`;kNo`gyTdsh%y;r`<3PqO|bSUCum>mB1RQ49?o|Z21>~D z|1zenKA@-ztggF5mVby^VAy}HEKYd)gZ%593M?~6yKF^<(twVJn|tzo!ySg5@2$`o zf8k`7TleXW|J1A&qEolUHqb(|-r4wuwKIHVpW#i>cVx`THeb0sOX54i6JJZ&FO<(c zkveReauIG+St$GEDHauJE15=|R4&9aV$8aa5--&f-a5)CGEPe3X)uk=BEskV- zf;(8|AsPMYm6KA>Me@w&>;9(oEl-D;y&O^9n+?%(BjVy+GH^?TX;lT_$; z>>bpVAam<>dF}d0&`ekdR_f@H zjoI?@9w-e1w>0$i-MX$OEJON2oQ$Y+dY?b{t3Ymp zoTBYDLdtr2|EkSPnJ453XdwR0{G5^gy|!KI8|BJLb_{UEClR@2#7FHXiN5x46g=ut z^H(bg|JcFzA3P=!vSM9gkipfM6TN{1QDf{y#^~9g7uykrwsp6XqzkokL!wyhPZs@2 zi{$@U6{D*@!4)zzko`@zQy1Cjc03^q#y#6~xt};zL-tiNYhtH_?j+5Fz%V^xG21vo zs_&Qrr7FNfM*&0{yCBZRp2?zVtBU@UPs%Yho~I6nOM^9M5N8y8j4|c%zJ5Uq@rP zC;BE9`4TiF4x69MFFLBntTQ%oJk)=SX${`B-&(u;528E|xL;xC2st+@h)0U{xl(}f zjdi#I7(oC8D$<>_`i2s;^BYT)wvX|yZyd`rxG>}{^O`K8vD^N2FDGfH{2&TS=-05| zafN{x<)=VA=!2&Bml2i2ik*a}dT%8ZBtk;$?{RSrZX?n9{+d%_7O!-QOOvxtm@8WB z#c~+@YNG!1%0+9E$NPic|54mqM@9LrZNpfIf>MG4A}~mIODhu6(hMm`r*sJ@(g;YG zfHVv+#Ly`z-JR0i-QP91f4iQ&zxA$Xy=%Syz^q}o=f1Bv<2a7Cgeh=yMN6J zhC5tI6j{Qu9qq-%+tR~e(g8lpl2t1lH&AlxW+I>~K-CMBfllnII?A_v3hL-e?j?Ca zS>Kp4{^5we_Fhv&GllHMFaBbPT+$i&${iV4hV(I<+*;XW_`mlL;cIX-T%uK# z5TLv?(u+Vyk8ai)fGrYGKjTex|#%xKz+;YJg_e~70=B_@7$rXy))V$ zNCmfugX7~%1qUZ4`aAFSb? z!<}6S#Y%l{Lf0hAgjE-*?D4anbsbH9Z-U)32BRM<>xHPj5pw&gWvZ6jPW~35%b2t; zPN+TQB&Wb92xC}*-oaCod!>Ao6G0c)7m=JVG*6kUFeHt02YN@%>t-&@#XL|AHWVRw zkX}?2+tN3txOZpM9M*aFQOw<sB)a%D<3Kirsjs5mk7A| zXl@hg*fCf8h=i8~ceUB>l?5lbZHGjh^hXo!y^O}=w2lVpsa%=eWOcBVWWVJd8JGE~ zYVJGE9pn-R_4{AzDDYCW{F+N9W!`w@(Yv^FbDBi#Lt=cnSplA%F~RzZ zR(^P5)NYG{Gq+Tk@yNMeG%AX@#K*)s7n@yIOBR|jchry}R;@HvV_J?-l?>@8^yFXG zY);LOL4m$X4jG;D3rW2(RS{X4(e1#v0=2a{%aP6$vNF+0Q&m1w<9!LD^qjcwO4I?Q zOxtxn(AkfP=BFDz$2`Gjd7oyxR;R3nyz0Z)(=GG4 zj2w5N$Y)vyji+_E=M%Gqhhw`}+2>1V&WiaPS>yZl$Bk|hSqkR6+opHQT@Hj0$3Vs% zNb7^y&R8sZ={*^`m2X6WSt(KE&R)u2tkZVd`d)2oatQT4XU8f~c8iPqJu|Kg_{VK{ zd>B{QvW)()?MKAe+u^7ikgS;^QXuF2+M@PBxA$RDw|VV}?7~$WB~!UxM}sp4nZ(%w zERC&%8aLfY%%+80khuaTipVuO7%FUr}_10Qo@5=F6A6B~6A?R4k|O9@jUc^xl$hzw+qPIgSvz67$|YqV!?Ib5=~F zfES%=)24OP&#JF3&zz&1$hSN5@KLZRRirg*@;Cj6-gB@$oGM@Ple50pV>^FGOD?+8 z1aiXw0k1w(i+MF~JPeONP7RHYrrtyIDdB{zThigi@Qy&ds}JIdfJ2=N7Bt|blW*yH z4ET!syEnVdl*8^Z$0;c&kh1{~v9LB)Oc(rqKgpk-vlr)$B;@%==@!J%aE5kOBb=c>U}4QsnscN`yq+Jmoz91;c)@8yro z(;ZMPt*QO^;Q5+Cx|_UP_YucJcTrwS_H_o!3SJZemQ}VL7Ls9#r8h`P#YOThhtNsy z>Sm}?oHCk@a(q0e!qD8;s2`Y{c}55)`;Iz~5=e|WFz z%VwjfeSfU|v7o4E|8ecH2*8~5u(56cb07~$p#$_P<*B}$#jBHQDUy zRb%e?_s1;Z{w82aWUjh|NApbNhunLWUyVm>g`vGTz{XP?*KDFT_8Yd8K^!#`)4qGo znYEX>)qkg3%gL-OB|-nnT+gX2_x_~5o9U|SA>m!uZ|9il(@ERJGarmh&rC6g#U{3D zuPzIIJzE2!G*{fmTu!`pu8pR8@l7 z-EFemY$e=_f@Tkq(RNcw%RoMoRlD({-GVyR*?r+K9ogMn{BbWtL47$9a>Y9 z!=u(?E0lQcJmV3?R!75K`DVpZ3<-&aIvSxXgw(N$-VfBn)|`}V2OsP8S5$Rand-@7 z#f|^y7&eIr=w#sFnuYdTMDkkj6E3(?<_uR>6<@_#oxVLD$KHEU)`i(#w=ei;h<^qj z!&bO3TdBzL?@l*SJ12*KHf{D(o6S{a&@jlMQpt8_U|PLzouvka&ysada+tfW1vi+4 zb2wF16%u7*bZe+3N1;t^Jnzz~wpm&oeSfwbz+pl+YSRqsd>$0f@4gCup#HI9y{=op z*)n@aXmuk$OCf@O4kt3IJl|+_K*zaR{=$X9e;70gLiCIf6f_T<@Gi;PMcpP1+7Z$> zbt=h57pG|u9x*IPmX(f!Zk8qXKH*iS!|_T!Q-k~rx~=z2s+Pf%y&go|XQp2mH7nLf z5lh|fmo`dDcnVNoy%4*8X0Tl6ngiiJMU7N=7a1B8r&%N{3Pr()F)^t51XRXBakGZUQ$)xYYdtSvn!cmNx| zPoVFo)NIT})`Ys0`pD10uDU0iICmsq?`;T6LAre2J&FZZ+;6`GP)l>0(R;?jxz`W@ zMKowjgNf0Vk6Yo^2eB`7a#-y>M1&VR%grQ|uTGx*;*`_q z2xz9r(tI=4!vyg~?cV5Orl?5o<(u25O)w^F=XM8`|C6 z+>Jb99*52I#H*7;4h{|^aonYd4}l^&4O&I{CzC=5aLZ*hHI-JHBhXVLh)PwAz0!yt zo6!%-cS;-qYJ9U>@oN!XoR1w#2LRB`JL}T@mHz1S=Vut8-Gr zdA%>tdEhyJAXGe8wvL50n4JsNWl-!szqG&roUyJ2LOjy;XkO>9R zK<1e{Th%svBeSAdqK6o6Kba9V1`9YWGc$92-Hg8zOqO!{eFUr~NOFaU&ZeNX6#}g| zDR>|v(d+%|nfgHxPr1@T*P>Fev9dX11VS^8Wc)lxZk_f4@91zdmZgI!e%tgNc_xQ3 zqNKCQ;h2mX^op3Nu)w=C1H4X^1Hmb;a`EolZMH4P&D#3A$2&PW%`!M2u5*WFwD~~} z%*R}(Uu%mTwl8~H@Nxn=d&kgpgbC4dC%dKfFuEy}Z7<(#& z+`}2ZDFTH!7!}#wzNN0 zVIPei3dyk-Zoh3nDAkTHL@?7+RD4m~DeJZy1{k2Djq#`~df}WFXHH2KEx1_B9b7Zs*3N#BP#ODykq92_P1j3WcQB+tl42;1 zF8MXi_HxW>^0wld#UO2fX^PmR^amnF!3=Sr_1NO_1}A1aaVf20hJj`ZnUPrkR#3EQ zg~0+nYJZ^@^VfWBVDioP*Ad;3k&&zsUcPws)9g=QeE4zfNxm0YVz;Io!%Dzq@m=c9 zTTM-6Wo0#ad5x^E-#L{6a`7V%;}UySSke?BrPWcHa^{S=Qw0V}gPm}m8OT(Q!0B>| z!D*Z~M6V^j=aJ3B1FR4U`POr^WwcMqrl4Z*S1?Hw!4;@V6fo)`jq!Uz@^Smsr?nhO zz>9dCR0huAwYp}j+_s+r)EA<#uu%|%hQnGe=1&@Ont7woqBg2o-TA9B-h>~XHF)af zzDe)E3yP7kUmUM=Bw0x-rqaOYvb@Noa-Eh8HrC}iRia+8 zJMz>S+uQXI9m|$b?=DhyY`tOf>;al(Bdr$19S25nNPyCl?)9Pn!_QAQii?X`r$e89 zG?s%-J2{NDS zuGN_DTemV&kn$9iMSuET+wkJ z0ILX?$&rBnstFQ0mVTYl4^>dGSs!7E@gd{nEKx7DxOeX!tEJaa> zgzRs+>)UHw&lL37KcI&CJRw->g`hCyDxu`Bz$oa{KDKP7_H1l*NNq zpB;|3pCK7gfcaYYQU0(=@i};MHN7!bY;zHgK8C_A1%@NG#{i-Ca%Q^8iMIv-Q1JJc zT8Ism({4$NE0XdYrLbE74S9#wAl<-^r$ml#UVa+#n00s~huh^nilOMbBnty@gB8W%$a3QP0%bE78I!KbC)1KsT~N1|eU zILD)fjW1&QZNkFuT-_4LRg9|VrvMFWL}=H)z3#Pjxx4zyq%COyZ=t2s(1PIdEU(68 zao_y42BvY7qG&*3^``SHW#s2?5pu*8pBMM32wrNarw_gjx9s}vz7+;zjN&J~j1r-= z=4dVdsAs$1Va~cVT@q6_fFmqY8p0N3Y14M6#=}D4etOLWvI(ekN`21Nq|FH4yF0Jp z>EnmP+-*Hc-PKQpi{87|_Ia?{wti-dy=4=|CA~~S%6`8>I_vV(nhH!ed*FRU4Y!>Z zqs_f1W-Wv4|5O;}=*6-!ufYQA&-obBnwXZ>BuHQyQA`le6WF)Pt+eQGcw>|6}5*n^7F-?(~iDz)U&3z`m4`n763*jk}QP zZlKD=?ynul&X)iK|3uAS=aUEhsV{}04ykl24imL5dnNawMq~hp{C*a3W+Rj6=4#*} zza4iY?yPIlU2R0L;Q9Miwi`o=IL%T|D=Kq@vOs}VlYdR$N_pF2R`>Jh@qMTonZ$%T zlugvOF9nzllvll-?4&6hs;#nq&SZ6^l;*F&^euV0*(nJi$tmY@^P>g#!UzVvOY3;EZv>v= zoi6HNboE7R((=LzmZ_}zQE%;%NmzIOcTLp6X79}GA=mWC(QetMA=5`Dm24Y}@hUMW zZ$ZH7SUKdx|CwP7=PW&wy=4z=+U`oY*yoA}vR0Gm)QRgd4vs3e(iOx&wo;%{3{`;@ z_~IzhNp`cxcncq%OfUQ}7~J1i4<)I{O^h{PvY60;tLB@*3y;YOhQ9Ni^nw__R*kd` z7e^r+C&QT}KbchfwyuS9R;b&VYd4cq$xBHUzRLL%GF-8k-^ zyp6??@^U&8hT-O?jDr%-tRsxB&Kd3p!5O)sx#r|fY`^6e z1FoH$tBw4kuK=WA?&8bz_ZJi}*SGtvOJcRvGi|D_xgIKsB%vXUmd*EeRtO+)r)H>1 z)M3vYP2An)#zwswwJ58^g6g7i5|zb=U(2Nj-l!kyh>qeEi+23%q={3Ob|{7T;vM?> z$9|S_$>|KYr8cC7X^shK6dg`CYin1_=8cV?D==e|Cl=}L5 zmiNsALqly1M8tf&gn@xUdeQZmXolbAFcp-RVgRdfa{vB)Zwvy+ zY&Mv$s>R*Sa)fA*)aFH=&6115#`c-jMdEkc>Kdco#?Egf0Jp#Q7Ol_NI;WyXYNkvm7AH#nf=4+D$VdYhm{L~^P`PUg`=Jr5wGP-XG=cvN zZ~=qv_3-a{*+cahpCoVvNV9~5M5q(pAJ^l#hE6PmhUj_n2Pv63nF8Jl<@oh~)!C4p zr|anJFO=IX$t3X6>@hb2&mt!FtEs8A3J=-J;g5g8Vu@^+dGqGYHz!9Jh@rEy(XouL z`%j2^o_jqs>`n*_4j#TL!T9J`hyD8bT_Cg}JvKzh#Pa}B?U?M3H$@5l37q4|b5CYT znX!?T%B`BPEc`bQalyD!7LC#qyk+l-VKW3;V7+GHM{Xd!R#H-8olb7K0fvN`{Xn__ zxZ1^~rCQJvLQ5NitT1`|=jU6HzF9I*w%>D~eMP!~&9KY&iKM0UZ6!E2LkJeM`n;W~ z_WX1$&(zfPK!m|}${mb_W|%j0W4zSi#nVD=5vRF8F5yoUzMk~*kK|_B=<*)jE0<|c zIwAqvYB-ha!FaJLm&L>jo797JQG=ocj5@6aoDe` z+N3T(?l(aIb{!$X#rQ$J+{z{EN^D+)Rfg^h^QR;)B?D;6oLb(W(>F)C*QIIun+q7jAI5!# z2-^&qcxTWcLLZDV>WxJE-ULyg zQJW#;gVW3ruK0%dGVxorb+K1YhWE=_or(@t+|=TtP! zYxb55{o;4 zrIVC5>8myL@@h_3Wd%-zQ!T4@nny-dt2Go{x8skd&wdkk-W`m$d=eHCIUz2`bMfJA zE#hpK%Y2NoO;B)nL9Dg4z!1}-ef;s@R{9K=6T_)ahN{>=O_lWcLp7#|IFs82=-TcV zb{ZPrJI=Pb>Vf`CABk*&$BWEMPM-CrgFCL}mi`aRIB!kT)YNnf6(ch@H{wL}crnhh z!ftKRzd)nr>e8k6vm|4=@S=J+*pe4#M;YnqZB>}=tTCv01%G;tvcX!50-&fAmDPqJh5H(q%lJGcz^&9hlaZP z+J_hO0}rH-;qTw~HZvU$&nXyL=hQn)x~h%8!Dz}MBj!)Zx6go$>P->rPT+T0>>vO( zDlFY$YYGIj$gVR#0zbGWy++f)C;W09yC!qjasgxUgW)f&8uA+d(sVrl%dNj>#TE#J zp7PpDE$aCGqpyql4A+AjKvXDnDRdY6y#I(o&gTVIeGgztlB4|31-;3_m|Ene< zH}=o)7$KmnKQg=jb9@{TdL1ACMv5ChF_?j79W8cJ^BzNzo4`hWqWrEi)YR?p;eu(H z1sl(K>IAdeAp7|dFn}-L4@)<6g&n#bOtNKI63sfl$sX2ZS{Bp~>`}~lLcq_aZGaK! zb?K!_491G3o;!|WjX*e+;xdW=7djqibJDWB95b%h6}1pMraNjQ$e}@X6HEVexbjiMJIJk z*;UcDv+{K4j$zse}z0YSM47`^QRL(Vn09qY{WO0>&<@oi9jJUr#@ z68k2tQ%mE>sD$%CafyE1^(uvJw%GQ3`74xl{MDQ{viat?Vg233O+1N4d_JS&#{} zW!l=6y@E${8*C+0_ zy;efiSM6iDL4S72i-sIdnQQm%eI4?YpaJ3zSO%ayXqfq?z{QN+0QsZ!1GD?PAqUj` zM1+Sghu~NJO9~F;w@-O&GeKjgam(5WrzarkCezJHO?@6yTK|{_m@d(>njSJwX7oTL z0rY>Ca5uEIwb?9nA=S{pqWJmw1qB5K1cW)!og;THAfLdO>eBl(gnau(y0@(;Cnu+( z@|d*mKIrOYIxJ&wlgH(dUYi}1(ZJyF&4H}24irl88Q@x1`_ckB?(Sb4P5a;*xSpTq8M51x)S6=xuNHSGFXG3j|Mft?s zHywGyecmq_TgAjZBr=D1)htrgrrz_OxVU%#K0_>*Wx}ORYbb?tih0b_AE?;b!?9($ z^fALmL~^ZsD5MjOE;%2yQF9zMeMQuQi}a>Q+ZOKY)EXbxqQ;2%Y7Mo3u-n({Gt&gw zH2WjHDPg9^y@TBtkld){fU%q0_(@@F!&JxMHoSZP+=e^}wDN||5TH}^r-Z`=cN%d8 zFIHp^cs$-*&5>O7JTAsQearsoyWYTr+u4T0gq4qFIVmTZ0t$-DvSsZjiahiJEo%7K z>=%US?|HOp)gs2tScnQ;PqJRTbA?R+JMF>9q`hTr%=s) zDo38mfmN_ttylUlfrI1axf9qdFqnj}3M7cvdfvCC*$Bk82c2BgNLA8k!F!)egzMR1 zvd1?76T*5`hLvg&D_h-`BfF8XqKYG>3uk+InB)}vD^YJ=MviWFp)@#s0o8mhwl8yl z$jx>!UP*F#4Z`zbvHxhA*Hww!c^8Po?;PVhx|5$TL}_0xiwW+=Vypqp{#r)Blfibz z${dz{18{;!&GBr2R<(00#&7XPKCQ>P?kq!wiLUldcq+bc$>-y|SrtgC3!z!QR{k!5 z(K}R~U$JQl3e?K=_2Z}|w$%0RdUnJKW9nMAo%c8Dl^4nJ_a0V~&10lw03~yK_$xCZ z0OuTj+iL%W2gY_QVoU^%)n_)87NteYxee~H6(T|aN^Jle{o!yOIxM?G_VS3SLFBP! zsxrVjjn`u8YGYr#k-@iH9aXqWksH(>&yL6}9nPCRJsh`;5>3;%N|8yXU%JZuD97Mf zxvx5L;M&Ba&+YD(F_s-WX3}+~o~NQn98d|(t5nkle;|D;{@U;WFKEO z`t6@ACHnBi4N?y(MObC2q0MCjDasjuv(>}7rfG2RfTWR#MUyV(k;?vIkcr@+@bY0g zgl-BaV?QyxSA{|2qJ;i@4#de}1@_y7(?ZLQWcQ?o(32@qe&~Q5Q5N2|g7#DF+hg78 zSjG`SVoePVJ|O?Mx^xB2xShqB-8I;Fc+}F{tnn?jILue21wKcrz8$lyAtcSZ(G}a- zCLAo$teb7p7Q~Savq*>}OXiY$9=d8RXZ60I1jcyqtUU5}0lfui@R6dgg8Nx*TM}bU zjKp>RfIc?n+ENEg-9Y&?By`o^3xGa=3Fo3Agqf3~ZsQu*E%&@ihaoXwaf!t|AD3Uy zyEa$yV_TTGp@T)4;VF8)Jm8Izf--q^xHq?Mfj&oZZ|S~?6^P5#)J@>a()8m~?Uk6# z_f6g_ak-e&8bLZvkLQAkkcAtcl*JoW9#k1WQTs}<|J2RKWI>&q7qgA`!{A-aX9Ef~ zFb9jgRl9By#iOtLXZc}h-|Rs@%#MN8i&wz~o+DaTP2+)`iYi>cy|w4mQF<1uL3t*~ z(l9x0{D`>6&;dhVI9d_bM+i7bS66Be9uB(q&YLmiX7{!6o0zPO2wXTTnJb!JB?QGfy>PK`iW$er;4aH(=uv<%2Jm?>(2hvR)ml7-0FoZ8$ zE-kP%h;?k!gazvG#4W=}c_~q~f9y~-K!PieiRP$oL z^U4tw^9h!%w3jOoNXQNP!txGw_Hc=?1RH$AOtC50kxv>ybf@rK#1F83u1 z{erAa{TPi$Ozb+}g3aIO@fZm;@Yt_Y|4GxCY_Y(;0qoQ$NS|ByFyh%kANNQnI=-8j zz?;rE-xm0XZ|(i#@?UQM*H(@Y&uR9YoE$!f%?Xes>Ob?2j*eblUM?$p0o=7E;Z5MK zk%$4L+4{v(B*u*?3($KzJG&bY24o+~G2d^sJu`q~-r3nXosRs>^eElp z@*CR`#5HlASO%@)aj2tJPJ6RW0V&DJ8iETR%EJGIE8Hgg6{gDdZ=wqA=PE`s`#T^< z`cQC@KEsb117d$8qV)=6>fw7H8c7RbXJGh$7%wtsS5;}iK_@{?WS%qvvXO-ZmrZdA ziLo-Plr=I2Waa@<#?+kN{eQv*JQc#aKpV$5l5hnT6{QNRg`36;%^OOEWh@e0ZHKmW zgQD3bc^dfzit6;7&*0;c)`s9&*gLPxB1wm{#%gxFVBrMreUtHh3Xxnv032vC+LLu} ztD-4^GajaQ2ue#uN;cr>BOw?R%n~0ck!#BxO6zzSEN@Q!)SvkcZrt}A%4`X)JZGs= z69msKB|vT}Z9Uo3fPc*%f_1{ZczUa3hnq~))OGz#*Dlr3LMM<_#wA=-JL+qkErzHG04pAK6$74_JtJ8#mwoq2=jqrsWXy&+Tj!Lk!j9gT5cS=htSJ75lrn|#z;LrNs{49d<2>(FW&7bPZslnO(=?=?~@+~!RHn`n; zb3lL)?W{r~H9eQ1lJs9+#ogons#qa7oCMG8Sv~3l_Kci-3e8&9lB?!Pf z?q+0WE(3HdoIp@C_!)x&1F{{&<;9tTf`a~kjra?XxE`DxZ80Th{?r27 znFnON{}p7N4~E?1O6cy)a&HR2F!*g2zjieAi1$}ISpJt_zgKt~S$W@MaJ>`$^(2cw zkb($Wt?{xgkEw}C5HT+$z&D$l?bn7F$jDwT=58bN5+EmYPx|6p(D?W#Vy6 zbabYn>pu`qAo3X2bcjEnW8EhEN6wPi3Cw6T2KDc|r3%0U68r%;AtQ!}`{?LYQqiPr zH#Du!u6z@xt4=MQOE$3HM@L3dvI#hHwkHDX*dCs2Hj@8JwkTW(1?3~hcJM~a`1{Kh zmPk^Z!c6m?BSJ8Z6yLcXyx%(3j_GqmRydA@gJVUwEfrLT-8PzPuGCSLlHbDnQCnO= zlHcRGZ8ik-?OAtmiHAhiybLsLhT6k3U{P+g3c0ZjGma+%>{|;`JIa^9)!7j zdB9%A2k<@ z6U^?X9`@D~J!hlSX0n2R7}Nrd*Wu4_a%I7Sl%K2VnYqRtV&GwV5=x}WIlO(K_-J#w z+DY9@OL?awmw*EIC=p+)dsV$~+&xMA-h0Z2lbXs&@M6`N>|8r%c%joO+dWXxG@iiQ z?`kTi_$=qu@CAO3knKu-F^6!Rj&o4Ezg?YNp2>H;@?0Ss}l8uzLL_9U$ri+@o)aqW2})8 zX1e4*Pq#Z2&#i}J+Uj{3+^n>`D3@Bo_Z%7+9)89dZ>t_jsapj4ZJEm~u>}lIacKn< zPDr1zuU0yv4G@cl0byYZTIkuq+Hkdt1GWl)57x&^^NNZTJ(YoN$%4U7Mal8~%$%Ic zRZoGMmYCN*$8x#`GF1W6CjHl7C#cU^5PGKqz(#*ZjManNX*Z?mb%J~G%W$fF;@$I5 z!{Rd=ygMfl90e%8S~j&Kr_E-<*|Yc%(qY=3tpg=Rqbte|M|OR1ib-5V6N2A8NjCfZ zTXvz`Y%vc68vKkO2vghoGh_qGklJ5>j|0ddto@wV|FPng$2`(0{@<@ zT8a^mW`%7z=r;@4kmPJ|py4U-qEQ-HZ-JN;Ua<-T=`K)7oYZ@vgXzTz83NPG`1lqI zmNMB#%b{qN_a>&MA{EyV5*osUN54gp2n(n(%*Q!vxagjQ5gk=SA8XpmRoZXN&ds@i z^CLGaw@y)2qcrWIK+povn2!z9UZ?Cs$~JYh%fP$D!EY$6IODlsuJ_rp;`h}tQ*@K~wkbng$rK1SUv;Nhwy zqZpl)!yPuplya#1oPSfe`8%pKszO{(_g8>)+ZVVjo#Ag{zjeeP;;x5BM>_)48vFT* z_9%D&3b)JSUxGD27Yv4h2U)`{v$CfSVYr!deC)X6Gz+LD5e`Y$fdFDEwOOCrd&;im(jzrhPm^a$Cy&|Vf_xu~Z&>st&Z#Mw#^2o>t*wg+( z*fOsBy<#AM$JbGIaykL%x$rTC5PM$3^P73VGTbRsdB#`nLI?%o;k{#Gx}ql_E@=YD zQHrcU%xiTy>)rX**jU1lAesdtorx#xTz->`jEiJk_U_yl`brZ1);ZUOl~ti9JQ$keyIF#D|- z>>;4H0ef90L*cfbGdBv#4AR->s+Wd_;{26iAft2-eqh)j!#0YLB*8((WIBGbhn|;v zK=qxR3IH#N+v#xaCEnx5RI><@i)Arl9@`i0msJ&o$&J5$9c@mkH>v`ecb3*ol$RrS zkhH>It%Ck1_4%cJ-rFsyVSA>b_IMVZpLum4s~=BA1EgkTvl+;F0s9XUiBs24mH5#b zOfVn^vCl-s#I)@Om1dWK6t2l1vR$!W*bdhDASjzff6VB)ptpz)@OCW??PV;XXs>3{ z4r}8N(RLff!}ee&Ogc>Gnocl1)c{jE?Rpg7!A^+uXp$*lnHu41f7}rPt0FWk?BMLn zgPt_W#|5Vx!x{}FBR5dkZ!mJ^hT4pNI7niVjd7CDN|(y^He_tKSv?Rr+16(zb{i{w z`lc}R6$n_snodMI1z=Ryr>ZOIb7jF60%Cc${S*>SuvtKVfr}YT_Yt5867BLi39ZZs z5doBKFmtw&#P@223%r(!id=;VozVqn=L1!{5IS`MLH&lZ=h!aA&leUJd@xCZ0t2hT z!CR=9&%Q@TKY9EZ*;*gD*#R*EP>S<)Fj-)S3ZDSD$F-AV7^8O|j20lyfGO**70_C* zHNys_Rsa}<`n@;I>wZ>ZkmQO`<;0o^K|>%57*fQ7{XeXNGr$O$-+l5ebz5I)CbE3A z0CGQ&X5wRyfSj)8e77Coq+38)+>`Vg^gD{|yn*tLsy?TL-s*f_r&6L#AHMLyYF1cI zt{3bT;rabmszgO)Wq%xOKCoeAt`zt{6NR(Y>^xdpT467TX;(lvRi~w;CC6?}$DpG8 z6aXZ5QkjHbI}m6r(@|1V^6>C5GRiFGviDmOF6#5(r-yHSk2i`@N}Q3PjnOVu+o3HT zocF#=*U_6rQcPNZ7$)wgL7twsV|+5ewymhB0EZ3=dqYjai**WHqHqm3o?OpAfQ|te zHVW*+L94OqA1Rq~`|l2QP72fEffH$5b#Q9KArPH`F_u7mwEY?syXW|p(9wxs3HYRX zQG}I7uGP93F>RJ;l_lkJt;*03;*QBmJ7c8AtFSr$&yg znoqY?t3>HDd2aqY8FZAMLSayX+$YJ@+DYyICIAO{{ID$Fj;As|4)~akuo?aPqs7wk#-D;heoT~$%FU6+UKg_+n8w!XjCG84oAvDwoCuA6Yc z;Ltk^%ch$wd$({%8-rc7CoO3Y?|ct#07dgQe;ftZ9D)pL0(oo{peL~uZz-=fT>sLO zh9PLZqOSCLRf*;>V@ZcVO$xE+vZuXb66JtC+K2iNp@CrLdWi zP5Fsi#oBJ&pGEOG6dRjW{~EpGPop`b0`oWPDhoqY{ok;hoViCBCEVhPIq|4%Zig|`K!Vb%~08En%dYSREHV|dDmD)cY z*g{h#nJBM*0awY1l=Cm$8t~7?+;q%lE!HknMkBi8TQGn0)JRXx7b4jF_W=d!%(&u$ zfC*7*^%a}pfy#)D?5kw8>T1~Zz+E3>hx>RG#VSfcTCnS6G`A#PZ+M(mUF?$+J<3b; z!DLIq`vtC>AKxWxe&#)RBAGTo(Qa*%Rjs!LB5-zW+x`zluNJ{ewL-Mm&ijdrEASE} z)o;@_KSD%ugC_U`>2IPGIpwl;NL0MvBo=3#w4G-LpEiOlUnh;GIR7na+5AGG8FujJ6TL^8<|_m03)yf%F$4${mJR*kcSwSmzOJ~OL1GxdeWCzg98eI zfFyz2Ms!hUITch`mi|?uB`zx)6{Fn7SBKDPKn(%=64p`r(R3)M*Fj>j*fpHy%#XS@ zXzAt+()ve_9$^r$3OA~NO=pBrPfx6)>qG`I z(^UaTj`XJ2-_UadVQWjM$OOGg1xfC=@bDs| z-ejk}#TCIQdT6qWDRdqM%)*mvNc3HRL76di92;Rc0d93wQ%E&H*?NSHq7NEzWtSz@ zu|{2v|9x?Ey7zDY2m4?Oabt2wLp;&cfEwYVkdO*3XX;OC%_zO(Ib7oLrw&+^40APr;16PD1 zn?P_AAWlD_J=#qSvhZN0iaZNm-s? zm~JRrbrEUMV6b*rcDNQOsi09x(^nc)%DM=ZRYxTa+L0vqz zrBeD7UsXov1XHo&S=X0AwVgjYbAG%uLu-HeLRXiF*p*R)%HZMmP_2v5VmmO2u`<^% zn(=oaq4G^{l#RjkNLH*VK1B-6vri}a58whOR*9AAhuLFr235_zwat4Cx`TayCJ2{E z(wBwTtZW3MmGa);JQ5@G-Min|Nc2ZLRsekL)s z_TKv0`X)Q);Sc6QrQ{-v?f_$`15~?{c6e-n!|bQZkr9ono>oLu zrGe_<_X3j_VK3|t&$B8y&3fljbQCgZp`!btf3Il^ownBy-Z@>@F~uj`iBf&PdLF-* zQ6YH~RDaaG9aT%%SQV0QW5zy&<}51!c@1b|b$2NVlIBh_{5^!QDm;8`<+@s|ISV1% zrH>v!nU@%r9JNM=$G~neV*E<}lf4wqFIoGDY`G!Ng0_)+_}DRAONBJhi>170bO@L* zb{k%xqTHF{>^8(OS*Ce3e{ z;H#if7rCmGC2< zaIKsd&sE&Z9GZkFDU(ETiRr9{tG>moucYYGsx7`kO~Lh_Vnji4+x}%Aiw4Rl?6G#V zh{10Z2nO;e%1bDX{)YKPCf(8hsepmS~C8uPA|Kz!H!H^$cO+MUKzh)knX@ zWZXVgXxkL@T7R;6_UqdXl$T$y4T>q@c^}4j1}|LWP8}YW6+Uy9fHrE26Ui6VR?+7q z=6#j4zQP7{Ob_)0yP2sJ`0K^xuFmLMV4m{h0A$F@FqW&8Cp4LY zSwQOGffS&iyhE?g;cPipXj`o9p=zz|r?LTT$|5!Q9nqX zjo#&F5%W;P%HuY$m%1B zOaO}4RM3_D5Gw7KpEJkHZHk>hMT^m+KS#NJ_;UhVhk*#s>^l&NQP=1Aihc!&K)XmZ zUO6&|H0nh|Kpr6_%?u!fc@6<`z#n*|9sVzBSR$!!(6=hwM!^F48wAua#!C_GGhyDL zZ$*IjoWOh7!!qESH|lfHL{h;uCB=}}1o^}DHDgbgAJic)i~E;p0+VC**SH`JsQUl9 z%O7MF0F12QIX`Xt0k||k5832n23HBRTf1HYB!8ZM8;$ReQT%V;e63o_7f}S6^xrOF zxBdH748Vb29t)?XrnWo>|5@)N_8Y9H|4X-y^wa-;tq1?_4AB4UZ~2FMHR4WwXE=?5 z%=Z^$Y~KG#f=@?aV7E3T&G z-GnVif4%$jAFu2Jdbr507qutl&%gaYyT&IMuRl847sK&&DEI0B8<&;=R+yy2`TWWz hOT0d6r=;AayV0=U<>c&qEVvI8aS<6|xX?R~{|_5ObFKgY literal 0 HcmV?d00001 diff --git a/peripherals/semaforo/semaforo.vhd b/peripherals/semaforo/semaforo.vhd new file mode 100644 index 00000000..6bbbb58f --- /dev/null +++ b/peripherals/semaforo/semaforo.vhd @@ -0,0 +1,190 @@ +------------------------------------------------------------------- +-- Name : semaforo.vhd +-- Author : Elvis Fernandes +-- Version : 0.1 +-- Copyright : Departamento de Eletrônica, Florianópolis, IFSC +-- Description : Projeto Final: Semáforo +-- Date : 30/08/2024 +------------------------------------------------------------------- +--Esta tarefa envolve a criação de um semáforo em VHDL baseada em máquina de estados com os seguintes objetivos: +--Controle dos estados luzes de um semáforo (Red, Yellow e Green); +--Contagem do número de pedestres (apenas no estado Red); +--Contagem do número de carros (apenas nos estados Yellow e Green); +--Exibição das luzes do semáforo, da contagem dos pedestres e carros, do tempo de cada semáforo +------------------------------------------------------------------- +--Pinos de entrada +--clk: sinal de clock. +--rst: sinal de reset. +--start: sinal que sinaliza o início do semáforo. O semáforo é iniciado quando start é nível alto. +--pedestre: sinal que sinaliza o início da contagem de pedestres. O início da contagem é iniciado quando pedestre é nível alto. Se for nível baixo a contagem não deve ser iniciado/contabilizada. +--carro: sinal que sinaliza o início da contagem de carros. O início da contagem é iniciado quando pedestre é nível alto. Se for nível baixo a contagem não deve ser iniciado/contabilizada. +------------------------------------------------------------------- +--Pinos de saída +--r1: sinal que sinaliza o estado red do semáforo +--y1: sinal que sinaliza o estado yellow do semáforo +--g1: sinal que sinaliza o estado green do semáforo +--ped_count: dado de 8 bits que sinaliza a contagem de pedestres +--car_count: dado de 8 bits que sinaliza a contagem de carros +--time_display: dado de 8 bits que sinaliza o tempo do semáforo. A contagem vai de F até 0 +--visual_display: dado de 8 bits que sinaliza os segmentos de tempo de cada semáforo. Para cada número do tempo de semáforo é apagado um segmento do display. Deve ter 1 display para o tempo de F até 9, e um display de 8 até 0. +------------------------------------------------------------------- + +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; + +ENTITY semaforo IS + PORT( + clk : IN std_logic; -- Sinal de clock + rst : IN std_logic; -- Sinal de reset + start : IN std_logic; -- + pedestre : IN std_logic; -- Chave para contagem de pedestres + carro : IN std_logic; -- Chave para contagem de carros + r1 : OUT std_logic; -- Sinal vermelho do semáforo + y1 : OUT std_logic; -- Sinal amarelo do semáforo + g1 : OUT std_logic; -- Sinal verde do semáforo + ped_count : OUT UNSIGNED(7 DOWNTO 0); -- Display para contagem de pedestres + car_count : OUT UNSIGNED(7 DOWNTO 0); -- Display para contagem de carros + time_display : OUT UNSIGNED(7 DOWNTO 0); -- Display para tempo de cada estado + visual_display : OUT UNSIGNED(7 DOWNTO 0) -- Display para visualizar os segmentos do tempo de cada estado + ); +END ENTITY semaforo; + +ARCHITECTURE state_machine OF semaforo IS + + TYPE state IS (STARTT, IDLE, RED, YELLOW, GREEN); + SIGNAL pr_state, nx_state : state; + SIGNAL count : UNSIGNED(7 DOWNTO 0); + SIGNAL count_limit : UNSIGNED(7 DOWNTO 0); + SIGNAL ped_count_sig, car_count_sig : UNSIGNED(7 DOWNTO 0); + +BEGIN + + -- Processo de transição de estados e contagem + PROCESS(rst, clk) + BEGIN + + IF rst = '1' THEN + pr_state <= STARTT; + count <= (others => '0'); + ped_count_sig <= (others => '0'); + car_count_sig <= (others => '0'); + + + ELSIF rising_edge(clk) THEN + + IF count > 0 THEN + IF start = '1' THEN + count <= count - 1; + ELSE + count <= count; + END IF; + ELSE + IF start = '1' THEN + pr_state <= nx_state; + END IF; + count <= count_limit; + END IF; + + IF start = '1' THEN + -- Contagem de pedestres apenas no estado RED + IF pr_state = RED AND pedestre = '1' THEN + ped_count_sig <= ped_count_sig + 1; + car_count_sig <= (others => '0'); + END IF; + + -- Contagem de carros apenas nos estados YELLOW e GREEN + IF (pr_state = YELLOW OR pr_state = GREEN) AND carro = '1' THEN + car_count_sig <= car_count_sig + 1; + ped_count_sig <= (others => '0'); + END IF; + ELSE + ped_count_sig <= ped_count_sig; + car_count_sig <= car_count_sig; + END IF; + END IF; + END PROCESS; + + -- Lógica de transição de estado, contagem de pedestres e carros + PROCESS(pr_state, start, pedestre, carro) + BEGIN + CASE pr_state IS + + --Estado STARTT criado com valor 0, para que ele vá para o estado IDLE imediatamente, garantindo com que o estado RED assuma o valor do estado IDLE + WHEN STARTT => + count_limit <= "00000000"; -- Exemplo: 0 ciclos de clock para o estado STARTT + IF start = '1' THEN + nx_state <= IDLE; + ELSE + nx_state <= STARTT; + END IF; + + --Estado IDLE para garantir a contagem total do estado RED. + --Sem o estado IDLE, o estado RED estava utilizando a mesma contagem de tempo IDLE + WHEN IDLE => + count_limit <= "00001111"; -- Exemplo: 15 ciclos de clock para garantir o tempo do estado RED + IF start = '1' THEN + nx_state <= RED; + ELSE + nx_state <= IDLE; + END IF; + + WHEN RED => + count_limit <= "00001111"; -- Exemplo: 15 ciclos de clock para o estado RED + IF start = '1' THEN + nx_state <= YELLOW; + ELSE + nx_state <= RED; + END IF; + + WHEN YELLOW => + count_limit <= "00001111"; -- Exemplo: 15 ciclos de clock para o estado YELLOW + IF start = '1' THEN + nx_state <= GREEN; + ELSE + nx_state <= YELLOW; + END IF; + + WHEN GREEN => + count_limit <= "00001111"; -- Exemplo: 15 ciclos de clock para o estado GREEN + IF start = '1' THEN + nx_state <= RED; + ELSE + nx_state <= GREEN; + END IF; + END CASE; + END PROCESS; + + ped_count <= ped_count_sig; + car_count <= car_count_sig; + time_display <= count; -- Display mostra o tempo restante do estado atual + + + -- Controle das luzes do semáforo baseado no estado atual + PROCESS(pr_state) + BEGIN + CASE pr_state IS + WHEN STARTT => + r1 <= '0'; + y1 <= '0'; + g1 <= '0'; + WHEN IDLE => + r1 <= '1'; + y1 <= '1'; + g1 <= '1'; + WHEN RED => + r1 <= '1'; + y1 <= '0'; + g1 <= '0'; + WHEN YELLOW => + r1 <= '0'; + y1 <= '1'; + g1 <= '0'; + WHEN GREEN => + r1 <= '0'; + y1 <= '0'; + g1 <= '1'; + END CASE; + END PROCESS; + +END ARCHITECTURE state_machine; diff --git a/peripherals/semaforo/semaforo_testbench.vhd b/peripherals/semaforo/semaforo_testbench.vhd new file mode 100644 index 00000000..61781789 --- /dev/null +++ b/peripherals/semaforo/semaforo_testbench.vhd @@ -0,0 +1,117 @@ +------------------------------------------------------------------- +-- Name : semaforo_testbench.vhd +-- Author : Elvis Fernandes +-- Version : 0.1 +-- Copyright : Departamento de Eletrônica, Florianópolis, IFSC +-- Description : Projeto Final: Semáforo +-- Date : 30/08/2024 +------------------------------------------------------------------- +--Esse testbench deve instanciar a entidade semáforo, deve possuir os seguintes processos bem como os sinais a serem visualizados no software modelsim: +--Processo de clock: realiza o estímulo do sinal de clock +--Processo de reset: realiza o estímulo do sinal de reset +--Processo de start: realiza o estímulo do sinal de start +--Processo de pedestre: realiza o estímulo do sinal de pedestre +--Processo de carro: realiza o estímulo do sinal de carro +------------------------------------------------------------------- +--Sinais a serem visualizados no software modelsim +--clk: sinal de clock +--rst: sinal de reset +--start: sinal de inicio do semáforo +--pedestre: sinal de início de contagem de pedestre +--carro: sinal de início de contagem de pedestre +--r1: sinal do estado red +--y1: sinal do estado yellow +--g1: sinal do estado green +--ped_count: sinal do número de pedestres contabilizados a ser exibido no no display +--car_count: sinal do número de carros contabilizados a ser exibido no no display +--time_display: sinal de tempo de cada estado do semáforo a ser exibido no display +--visual_display: sinal de segmento de tempo de cada estado do semáforo a ser exibido em cada display +------------------------------------------------------------------- + +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; + +entity semaforo_testbench is +end entity semaforo_testbench; + +architecture stimulus of semaforo_testbench is + signal clk : std_logic; -- Sinal de clock + signal rst : std_logic; -- Sinal de reset + signal start : std_logic; -- Sinal para a chave de start + signal pedestre : std_logic; -- Sinal para a chave para contagem de pedestres + signal carro : std_logic; -- Sinal para a chave para contagem de carros + signal r1 : std_logic; -- Sinal de saída para o vermelho do primeiro semáforo + signal y1 : std_logic; -- Sinal de saída para o amarelo do primeiro semáforo + signal g1 : std_logic; -- Sinal de saída para o verde do primeiro semáforo + signal ped_count : unsigned(7 DOWNTO 0); -- Sinal de contador de pedestres + signal car_count : unsigned(7 DOWNTO 0); -- Sinal de contador de carros + signal time_display : unsigned(7 DOWNTO 0); -- Sinal de contador de tempo de estados do semáforo + signal visual_display : unsigned(7 DOWNTO 0); -- Sinal para visualizar os segundos finais de tempo de cada estado + + +begin + + -- Instância do DUT do semaforo + dut : entity work.semaforo + port map( + clk => clk, + rst => rst, + start => start, + pedestre => pedestre, + carro => carro, + r1 => r1, + y1 => y1, + g1 => g1, + ped_count => ped_count, + car_count => car_count, + time_display => time_display, + visual_display => visual_display + ); + + -- Geração do clock de 10 ns (50 MHz) + stimulus_process_clk : process + begin + clk <= '0'; + wait for 5 ns; + clk <= '1'; + wait for 5 ns; + end process stimulus_process_clk; + + -- Processo para resetar o sistema + stimulus_process_reset : process + begin + rst <= '1'; -- Ativa reset + wait for 10 ns; + rst <= '0'; -- Libera reset após 10 ns + wait; + end process stimulus_process_reset; + + -- Processo para controlar o sinal start + start_process : process + begin + start <= '0'; + wait for 30 ns; -- Espera 30 ns antes de ativar o start + start <= '1'; + wait; + end process start_process; + + -- Processo para controlar o sinal da contagem de pedestres + pedestre_process : process + begin + pedestre <= '0'; + wait for 30 ns; -- Espera 30 ns antes de ativar o sinal de pedestres + pedestre <= '1'; + wait; + end process pedestre_process; + + -- Processo para controlar o sinal da contagem de carros + carro_process : process + begin + carro <= '0'; + wait for 30 ns; -- Espera 30 ns antes de ativar o sinal de carros + carro <= '1'; + wait; + end process carro_process; + +end architecture stimulus; diff --git a/peripherals/semaforo/simulacao.png b/peripherals/semaforo/simulacao.png new file mode 100644 index 0000000000000000000000000000000000000000..ef6159874a2cdffacdca0dd77a454a0dfc0fc002 GIT binary patch literal 132176 zcmcG0XIK+m*RF~PNK;S{qzZ^gliopk2LVwL2q+Lr=)EH-P3fJ`n-qlzp;zfOp-S() zx6lHCobh>|_MY#%uJh~s0FumPX7AbSUh7_K-J8%C8j8fXsc&Dqc8yqBNlyFPH9U`N z*KR(*zX5y_CU@ij{JHL=ttfM?1WB_2yt!#EtuB4-T4^L9<{d8Zp1@v7&*|DVlGfip z*E{VBOs`$T<|)fbzjOy}rxB(a$S0pDw&S|V&wQ!-%nJHr51AmGOB?0`pKvTr|6hW_SjL@q@*S>e~JCsNHlv?OR&t>x%w#M+TqquU|^$4`4O&|GWoYIsfd^5ZlSs z{nuIejJXs{dtP%Fd5=$AFU)~PyfE$@1xp;lCb3ex<`K4w%dGg#sBy&Q~m#S zqWSX7nDpEV8^f$J30rGzjjIFiH7jL)d*FB+E(w+alDSly# z5*KuYeA$`()%O7$JWGz3yoZg0h>A(^r5wkrK?jM?$p+6%OieoilqLG)!CgJhwb>{+ zIaWT_CnA1~yaJ%-=M@DXPP$FN9B<|;C=>N1Xt<2hp{dBp z{+WVybhPzDq5N+TzrQJ6Ooo)O~?fV*BZ{};i5HpxtKK#NrX-+0?vzs->lB>_*(Y@L}fo$_<7I~Ws=~ypl8o8Ui z6)SFMe2Z1OXeV)(L`~y;7d5UUD(CtEeqo(SLwR*?*pHpv8_AgIOER^quJr<^M`BK* zH8YgtUH@8v+*_AR0)*@_$TGL2)-Dn_sPNYvA*iAt`{cdY;rk|i!gJRJB4#WsW4St! zU+QYN&Zuf^P!8VysQ2~cb-|YE8x*R(WyKFo_%~cCqa~GP3e^+(_*g|{_->jlk4U3I zQP!4CDwb|WB|@CFlM^cM-LHK0QprVrEo(pMP}Ct_ARzc>Fog=0?V`9K_>V^?i8k_L zj#LxxVc`|j*-kKz=3JBc!jEf7&O@0_!e8G_Kak*LOxs8b{i^y@kf7Qydf@>n+=&_G z6h%dr57!Yhzy9ZALw7sODA~bYkZpW%y1^Pv6|m;oPnO!OZ0|&l7a9@rU5i0z&e$lw zybd;|{-w^^_Tj}1{yC~uQ)Ge)9+NIJ|O{n3;Qo8A7r?z22Q@?x4 zd0kbe<9Ew_;gg%HSDu!IsVY^y3FuCEqv=>Yt+g9~*5leaz8=WeMsSr|Vq8U1rr^Kr z-EI|qk{YXE=&w`Vy5z0yW^Ny9?sV(nBtr5)E|dU1{Li@X*^G1h>sRj|r)M41jx}g} zV-(la>#`zxhp1LIS*)N>e^{joHyJ3@r(6*w0Wt8kXv{uFmKoY=ypo{rZu6L76pj>9 zzQO>;*KGo_tG>G<~a!j8f9m*1E!om~*7iqz#`ZJ49qm zffQMONM_pKs>)HLjoZToHQwiTIdyTj)OaO^plMUK^|f!}Kw9s#!&_rf_&d7J8e?=y z|F~i$GllaG2F){LK`Hk1WmdQlY3qYa>cJS6aHIO;u& zYTbcsr+1y@A9#Jb!>j&eANntI0WKl)e+7t*d!C4Ye?>+#5XARiH`T}*Q~PJ60BUvq zKU^X%nkh0h?|;NpMdYO6xBnWR5~l)q=SrdYUm*f`02N&xAk^8qQp#9=hP67<&*#W) zBv6Hwd6k}aY&7ZY-cIajGv(7x$FIm$j&PCip|c6X1%EWl-ibaL|A}%uj4E!E2PAsd`xSBkrxomQhRA%s_Skic*Q%Ms~PPy zM7RF;)9M03XonDZW^CG~m%%T_*{(RYCo|V+*vI7Aqr>E>HE60-lm1$91qYpi4M!za zp-2(*j`iIY7(K0zPo9%5B?HqoNI?wJnSv5Jd4IdG)Hx02y2iJxW9Mrg=XIQrcR=}T z`V+5?38nrLH=p|XJrUL&f4aX7L5&4{D&Y2uw=08rMt>`pfL;Y$u&MkjC*ndk@$pG^ zs7TCm-}rL(e509{eKT;k`PAn{Ij9xC@tJKtw?JyuF|?IXjS=G}VlM(3V|HL++wz#Z zvcm`rJz{gzn8cCzdl`~do_ZiE+c%*6ZnMA6Nhf3*WyPnq*7`NmReO@G)_C|f@so25 z3AgO>-9_RxHdBZ5eQK9OJ1PvRZB)F^s>JI zSKW+*O5)sC(nD-YFV!lt&E}DIY52wRbn+)PMGQI<2c=)gpycN^F*LWeGSad*l5tE= z-hA{3RN@WaD2m-Gf2?4pt0qtq->GKf_DIAgOWaG)EzagU7UaGeQV8&&|k?# zMtoMOYP2?#(vypKkEOPpJ7O!0KJI*mfB(m>h$NYU={yTw`OxG)cSs8;AWNpQ z8R>hXY<2aiKU(y)XcMz&v6xrom2j^+)6$0j%Cq61(MJdA>AIZc3n* zi~Y1FbaP8STw7P$FwHVy@T6@g&qaTyCLlaMN}5-y$6eCz6|Rj#(aQm2X3>}4rFTmm z`EJMJpcup!QsSolz|MqOW zXU-=?;kkiY(IXgw9440%XJ5T+k6&unMa~&-BqWb0c9IvVkR^>pSyS8{^uMSLaH$&9 zh~7j9o9ixG2AGqMIz5~!%1!9mQ-b#`-Bma1H?cR(eaL_9w|bT_ z=&!bKD@tvCRKwWc2<4OuJbN1VD*ga{AnX)i5p#smJFa}JC^MbXrQ%98iSu+x!{i5V zU+RDfbdptF=+z_Y#Fw+P@7TXy^5)cfq}rvD6BjzX$Mb@o^oAlstX`QcrYgJ?Aig5n z3Hte^B3YVe=j}-3@(3o+UZKM5rY7{4MUXID_?PiaC5y&devSI`p@syqBqvjl^-k=~ zn+#NigB-p&aQx^>4XG^yVumc{3Cc~!qrYKlI$#L|a8a-lTiA^8;KpL*$lNVwQOFsY zf+@#%)VDeH6TDZ=X%omn+j8}YB>S0{*;3Nr5F?-L*TK0)s(Pj|4`?4irM}rJMn-&9 zXou1;X%A0*qt%gzv*RWuKa>5=dQdeO5U%?SCAQS(ZPV5xeE zXM9Fk6M%Sr!w7NvK4Ke*<&%Vkx^RPkNvd# zv-hJj35-f6=z%9fho~u^fN}Vy@3gvk^+m&{Z|e9PG`=xWH0~b27zAXy?#RMCJ};c| zGnztw{;xBs2jf${r$#{)6(Z=Vl5_A_x>UHNLR0j0MArPhtd7%URVN!ah= zYWS-7ZJv&>g^|lG+e!=8t!Hg@^bL)oH(jiZTs)tpyfCTgm$;Zt9mr)|iJ9Uad3*n; zv+WL)NB;Q-eb)dF37$#0+Q<@jvYjnP0ZHW}oodlxjFbLFkxn(!3{E};apm`?UtP=> zi%v*-Uzp%;uz%gZ@%WQ-%B=?QQlUb;l@#el0TX@sN~h!r&(tiR9BQffm8c`A_n?!W zn;pz^%gIzowJQsXEWK`1Y#s?rOzGQoDTLU~y|`u>)11EFvw8u}54c$co0y=!&R3Uc zwotQts@eTrIw9lR%zdiriCja-eX7NoMAu?n<^p(zIl0yMlN$33_GIbZHy(;o;|NFz zx;!Qer^tRYp4GCL=CC!ZMB{TyhJQxmD)cRTGd1LUR)whqee}Ct6{%Pps>MezHcveQ z{)*e8yfP^&AC^^QIam_U$4IA{R{V_Yd|yZ{Oa-fYwD;I0MMQ*xzDY;Qa!L;o1j>rr z)*mQL)W&3)XpfvRFRZyTN+cBv&5yi@C@V@DO=A*ktn_*36>L_(V~SW3Ldl3xx1<=z zh|vtJC+I5o4|H2+PKc-?p9NArW6Yfj>q`}ZGs5&Fbd%+t9O%T^@klLEfkPldYfI2U zvrWtC3#k0FJ~I)6Q+cro-cH+-dT?_^O5SHNC{u=rjzajJW$tyS(R-7L*~>`D{hv5> zdyN;ibvuvm+faM|AOwHR)<GN|ZW*tC>6P=M2cZ**ss!|g7q)HFeTW>#U9-W~; z^ggB@kz|_9t(1QXrD!nM+LPEwd#Y@I0Zro!_kO=5TPL10(ZE62FW@u1;CppBz0^5( znLJA8v0S@V5__0r64CsQM5pm$sHB29(a&u{QA6}}fE?Lq+O2J)LN+qd4<`_KB{m01 zC{uNXd(&T+K(31P>!H|uv58Ki?HvZ93;}4mQop z(QfSoxtqPv=QX&TP#%}(A43j$V!HN4oc7`Dpg1-g+@1B|>nzWhV$mB33>&GuIZqEh zdL<}(4EDftG2NT=O6asY#2&69H+6iOtX#31Zmxd7_$TK)<|AmuY80RT)#0l&&J4P~ zS0VMY43mZ=snk7MukQ1)fZ&P}Oaj5wnv z>6{;S7g#3ejiIFovE8&iZ*$^0J@DCKV$*j#bp~>);*ivbZ+__MDeduPh;$OuCYTD> zgB#w&{$4$;YG)<=t&Ps?n6Jx3ejc-^7s|ucw?xYKbVTBW3T@cD`)@aShU!UFacClS zpQ@Bo*u=hGy))g2nQ&a?KuKTE(bLfCcIfE46I8ZuFx*MIyEfNvXv{z7*W0SyVsW*? z?Z;-e@Y)q#=XZR1by}c<#C9pKfgEORCYN7;)qPLC7|mdR?$LR!FJQ&Doy?}g7_$2d)()h~iuzv_3j<~Ou-PpRt^ z+F!p@ULda91H;&7#hK6e*2XzCnM+jj6tv_84Ps`D9yp+AhY5JLafd$%XW7kI zLtbWfW+gBvJ^wmCDv^D3?RV8Qx8Oi|C~vPU|822xrjYuJ{4@kkZxTEy9?YJL2lr3i zlB#tj!v^CF>^lC2Hm{=E9pQHE$sfT`txsZYgor0C#~yao3mr3~hHQCsiLyZ!obRo| zmo$*G-w$Kpx(#4_MjW|(-~n)UN2c=L;R=6gaJ#7-fV2P9BXR|^3cJRb`3NvUVK3V} z+tgI@jyfU`e%mQ#A(i+5vF4 zQVf!gnLDYhYFNAv8(4~%u;xR_>nh7eeMj6F`clr3NHqY_&;f7s^j*tApQhN+h3{_! z-Lmwrv2JNJXL44%Wtme`G?N-wAcI>M%Vl1FQc>wlU~9Bs{bcx_?~6By6Py*?lzl|+ zp8N;)T$jF}cnqyWI5@89tercze!pe0b4IZ2;#6XP-iXc%)6JSOi3%AuZm~V7#L4hJ z?3TJh57zm5WIT?46B|ewxrdG(K1H_$7+uZTxr(hXYi4*9wh8V@Iv%DQ*QXp z{V&F}#2ryydSTFy=`Vf;zYkny9|%>rPOs z(+ipsOZ+(hMf<5J0}eBxXR7o&nLA-cLZgRUczsw+*I$NU2joR^4V`3Di@1u}f@Yuo zc9BuC(H#YNa^c$D(p~OmfviunpQ}r{FpXX%yQqpw^GcLV@`bGhd^R;MAd632%WUixB$S>&qQ zF7uH?2U~U#@mlRQoX%S0gqIf*kcjU;XX_nD1fB{gUgXGq%Tt0a&qu7%G&$negMAKo zCZS$7aeAUz18cvU?>->RJuNktP}QOLSPmV44I2(Igol#C@M)u@69x@tZA+Yu`0Te1 zALSlxug;&uClep@!fW36O>m;5O0R>1p2Y>OK_z$XJ%PlAEd$+Wu7Zxlo<(X)p4Rlo zAENvH8soS<2fyUryY2H!WqCUU!awJ_Hls~<&bBmQ=x|)29e_h3{2an2I(O;RQ8I&K z%HO-_=JUhu)6co=o4;#mbd8L>Iyoh^Lr0Lp>JW7FDe!B_XvpEQ9rxu3MwPbvrxKeeGb<-`kpLO;DTUt?5zbpCqVd%!QP_8M9`?NaM$Cz@*CuE`yH7(nl%+~d(J$-Z)u;nNVOPuox!XD4OD%GS$p z+eKbGvo}GMK|b#Sy2W@S_tUL7i_od?!BjILRo^4CH!oJ}pBwW&Iuib9EVJ+=OHE@z z+s`4{!@dVCCFE9}Q9F&{@Mk$cLIkULtZ-8*qvP|;RrPSRLfEh0)Gt#yU0rhvrOse( zw(D450XI54zgiQl2aojL8L>2n8zY@Jv@8W9olO?3dE0QpE1kP1qA?dxGwB8;&2&-? z;*}>(Z8u9bcz!MbPR3P&%U?*Acpf!t-x_Y`8^Hs0J7ZlEU>cw^TVa$n%_Qnv7@_V= zOT8?{PP+Dqt@KND1#gj8&hb?j9JYL-!h8&4fT6mAF{P~ejZW+_|{(2R)Wevrn)%PU$!+;g^0WJ#M`YOMW`sJVmW?!*&+wLSln zR7j5fMpQzDg-kNl)lmzj3Kc&zaMv=*)u&ZqPmj&{d5Cuo6%bJ9UjE?TAp82G{@8}r z%>JT&;(0B|QIN9V%vBZ9b&XH6#?Ok+J#>f10PZdZv7q@!X zWOO+Yu!66cSH}EoufC4*I3aZK0c;YnnIlu#%%CK0*fNN1#p7*_3l{ZpTJT%)6DMvt zmO0aVL~d9~;KS;Qw!4uNkoTl|!qK0w)2Dr#h>OaaPOAFL>f3JoYabHLjdCM4lD_Uj zT&JA2!OE%=Jv)2KthYg#%-1B4na>#U6@ER0h@eDKt*uqQXcsmqudV#NwndsU3p=*R zS};9Ze?7}yegI7$JGVa=zz6f>Yv@A+Q_6jt&)pl2g$K%H81M)mi=W3)7k0W5#7CEQ zm&i0AQ$tLjS}i^Pg`=R_Vzlk|d_=1t#jesFDlWp!z%;(1TN<1GIgFyr@Nz#4dM}2u_WblYopH-gbM>6r0tHin&^@nvh#uOM5B7pi%7}%g z_uILBOCub{jXx6S#__Y6g~ai`>e;E91ND&lowaHsQ-m~oyz6TA8^O}2Y>RsRo)px1 zl$IjkycZXZtGIk*Ak=bzmPEo^YYx1JVv0aE#ky9s<-MVTyjCZol*o_2gH7bP4cPAO zu@?y*j+^M0wXg|J|N0wjznmkcK29a36X$N3ft?6y`<@6e;ru7&$M3Cu_ASmUSSH~H z)xYTxYCH(n?oremNyxMpM0drI@qH++oK#WitDkY5Qudg#IX7KUnf&>YRI;Gr!SoEr zGS-*tr$q+{3;1!8QO;)CZeHk|QxW=2x?ilv{07FU>G4Xk5nrSz@zBC9wm*K3-J~U* z|I{hQp!%^z(FVUfDyZ=L_0KSO_X?wSU&?s z;q@TrkCI1FTpN{WY|Z6MOu7sUKCd*uHP!bIajV)9_imfxY={Ipn{-cb>=G5I66B`C znSgpfVOgBuhn@QpC(j6f=Oe2j1Tn6k^G6{hw}uSrZ$A9->f5ZI!E6GjEGx>{QPUav z7vXFJYY`=F7}$Rw0rKIEQtn=9aQGthp`#rKq87oNnue4J71LGlr?+;oYHIo z#1rB%eY*zAhIM)E%^%F%9^I!aq*Kv+-S3FjT8*#9xTC9!NSdp+jdLKD_qI(=4st`Ta@&h) zpL!S|DGQcNZ^)ye*13Omt)r%4eBNY5@*WDw~5Na3)~CXXeJPc&8GSRQjN61!&{J87#DjSMI`-q?>Bx-m-O|7I&9lyqGV#&%7Yu58$os-}g3ocau} zW?V|8-!5VPMN}kPaeG{{`lt@1c$6(a^--P}D$@6ei7k=ah|4T_V)QM9v-o~A_5y8m zbx6sUal*gU=(7XqAh{Z?>Z6qH*m(ULZt{+F)HT_3O8~gmy6{?W!HH z>cb*hSD(3*6Lh)Slbx-G!{diGjXRJkAuNmC3v+IB{qw1&tL3cGEFsuak>3PItOlkx zX;}VCntFwkOr8A6N7yn65q@}4~{aU=gbhE z2&E3jp6?VhW)jwlKc_A_TY6!d#fjkdb(go%%uanv&k9FVX%0Vqds2$d|{skv330HP0Gejy6k5XilV^<=yLEZa=IKIqbWd3-YOG7!DYR=*QhOf# zvMktBr-f;!@Yey@H@UL_b5@koIOKwd2VSm-`7*DFMeu1dk8gdD%@yBC!E%~KX}DsU zSktQ37BalHu2|oYy9QV7IqVRt!s-sbR@Fo7ARCQmx_qF<6<5C5dVM6+x@j-J>99D( zG~G}Nqepev!s%AG*z+ygenmj2GRDt{Z|J6k#-knHk7_}@^t7?>5$lyJEnNpt35~Pa{PM??Q|ji* zX!KsnnlSz4PNbZTWH#qehVg9S1*3gPYU*pok!l!K*YsQV8#ku4U*h)i$8gVmEScdT zcGqGk+M@jWiR4>g-`9%W7u6$1Z{J-J&GXC&X_`yg_X+R4p?H8WGI*E1THmR+7saa{ zab}Trq_VFhw3);_nJ9OmGF%0MXVQ`Y=5Gn3+L5W1$KPzT?&2`IEds9@_fB*+xw4M2 zE9ES}x20X)Ryy!Oa2`WAC~qCrq2BovzuK z?w$~%O8NRP3Qw_p8ilq}xf+C%>`@oLHM5x@tMZ!$?+=M`kb(95zLNp>_F36 zYF|Gm33s_qg$Q^Vm4N-|{+rEjQ}y6a?XJe9q9-A+8K4rm&w5>G8u0vr4A3O0bj03oIOHzEKMZd{OH+NW0@HFPtiO^}OeAS>ag`(BC= zoKiw(k@_h0xYaXZWc?&(!5&K7LymnaS$6ecFEO|ip0l?;yry)5ilahV(>@_@w^2Xu?lFH1o7tp+Yp(`A@*2~F0ycw###L{CHdMRvJ)PeJjQsv< z0|x*I^j_OMOG`_XhR)F>O8&`aA)JI#agH?O069)L4I6!*z-3rekpyJ4s4m5XxQ%3o zDo4P{gS>2t+jGrvaGNp|NeA4Q9wPj38V@=is_Frp#6HP`0mYOde(Jzx|zhgQxI5)`;y zhfcU+dA#g}+7|LIx2w0!;tN!nL+o8$^Zk7etuX%Uqt9;iY z8kD;t{z-a0U`(9KGf1J1M`;`qkxXOstkt7Ds7rz1$V@C#Vr}wCjWbKyds-U*gs^Wu1w!{^^#S;ca!yq=`8)cZ-aS z9Q4nw(Ob z1u3MGZx&;!cFs!&O~&4!=$%GQJ0(bG&jiG5`As5EeXOs8r_c>}h8_rFpw5sl>DYGH zYZqI}IqR|vuA@ER#x^2rw~Cu4C|1GynaI?MlA5c{IShE#4d#no?43h>@sd2>m6aUo zw$8TMI8B&wz391=a#`KQOLHEf4NkZ3e;0hUJ|pr+WbrWq0=ynBw*pgwcvOn zp$S6f?@hiF7f?;itTh|=dAEqRFB)$ZbctJ)oNt_gkwE<{Av!PSx_~m%|WhzNTta^upV%$APMs2dboTho6;EZ|-Aw zenuJ)Ma#VpwT|`93vcS!~xT7f^WX=;?2x`MPIm_WS40U#3#z!!={(-KaE7 z0fHrxF~x-j@oj1oY&x6EQonrxuD}n3Ur*VKvE>j+X-{h&MOFB>TtIR4o}FTSe>7y{ zjd$?>1{AL%(FcK4dMH$SjnAFmiw`!?{ppwkT(!Nto%UvSLyIN;9?*4-YBn=nq6Q+Kd~_xEq5Qpd_|s? zqq6&w-y5?}J?Gxkj&5y9Zn~J+Yg`q8P4F(Z-!-cTFZkopjhiJJRszs@-FO&((toXS znT1=ATYl6B;r<~=zlT|Sv2hh4$Y=E0Jy$`Z$2uX(#O=1a2Vyl6yWsBVUl9nfDH)K{ z(KHc%&%QNG@8_|#v2aHaw z;B4OMO-2Z$sUmNQ!_Y!ae%{^1-wT>;G zJ3JJ^9@ykmus9*Tmq`q_7opYI3vT^2P?rp=9| z$~qsbydzrq{v=g=%>$tXltZ*ZC>AV)&fw}7J#;O?Z-E4*ctcYkOc!rIE)>2a?D-YV zT~HPRQhL13>CLDct!D!SnZ{N7zH>>`ZlNzOe`ublwyq=OszQ zk&iZ40wlCb=y6l$o>y0s5yQL#@@eWm~Mb0U;?^>yOOi3wcdEe_+Pc zPtjiOQh$mm_V`Qdt1_bNU~a)cV4<^H5#8iG#QtS9h{S0fOJzTo@KCgmaqhiquea6Q z7*U-b$loTKk|_^pEP~D9KW(qJ=F;mgt`uQ!8qf9TjC>Z3NxgR=?7rS>5|Hm&#s|h= zd|AD-tb3T^UjaLW+8zBB^OjxntWxOExWlYZvM+=mhV3<79mBL6fpTxiB++i)7OY9~ zX?(f=kq-j9Pm2AZvaq)XGzV7S5%PYFqsPqS<;A(ccWe#uce^Z1`Th8T>XLUH5A+mU zUuaiVWykNf0nn{YN^GaVJGUv@$UH^gqpvum7-ZdC!;vh#=>BmXjoVRv`dKUe)m0lg z8sgZ~@AVvFa!YR%W(>~wn7mV80S>$TS!nRYhO87XC3mtr@0dZ1{Y;e4o(A*msS z7a$4;Uvzb6NTDE3>6oc)b)!o=?z!~|_Sf5ft%v>A$CHVpDeC1rt^?+ZQVsifQdbj< zoqm>yml0yyiaGQdnE7{DbK8b{WurejtlXv`6b9xKgwi^2wj*fo+?e;tY2R=%X|1yq z+njqg`r7nDwM`yov5Hb9S@THy5a`_lE0JouLA;x2BFfJeS|X2sg}s!`R=?~ zEPIDjhF>h2$=?X;kCtL|O*N;RdDU-0As7Fpvcm!rR{6MeXG6t9Txfz$g=OYpZU?LK zm0R+M*iC=g1vjc6NJr}5hK@Y4zP9t=LGH43Gu3}GZQQNO7&w#JE<`ZVAD#`cs_|Bi z-$`~d)2*L`3B2qoo`~H$+_wfHwo!k!Lht|K_w$$lcUl!~+?e^fG4`;T7V*RjhaWE- zd_7#Rq2)k64((8nJavvs=$P!`(rs7;O!_GPF3?5&?bO|;re-UFdeZ{IgYX}qqmfn5 zehb&=ou2_W0n!81)>L;cp!s*A!-rUWl-CR%nk8^`F5kap7KEuPin8O$*}kcjEf8!w zJ!W7cNQsWSYA;f3^4Z$Mu64}$?o8q_BWo9D{q)vW00{o4E7gN8cIoA;iaQLq^JXHE zC_1mP^Bhjeod&X*T!Yg0@*|^P0Z*MCGc?O#*W9-&fiweqb?~KURXpg+_`1Be#?n0* z&D3bzw~{7lxe3?!61GbEAcxA0C%>1UcJ~V7MCtK(+hcFWt(~WH8jwHKARjnnTi298RL5uaqpy#mH30bkFf!-?}+{!h* z;wXy?H*6Iew(fiWEh8y{?a}3sRsDE*3I}IEu~&nom3PdtcmIvlm!vc*zKJXD2pM7+ z6?$VR&t8yfF=qJ5a{t$fyOp@{41j07iHe-RPMy_6Y_TQshu9`8iB>1FeqRPvc5pbH z<+1Vxb%r>UMbXrMa<%|jK4Wg;&_q-9EHB5VXKh*^a~aEYJ%4ssMk_fUI>r%)k=fK_ z01YewqBz9s*Gpd1mX^lscsa{`V+KwLpo6rTsQ3m(B}AFH{M!J5(#`dc)oil>=LO^$ zfIr3a|MkRFB;Giw9$ehMZ16CRd*&J<%7p(TGJJLNRKPr5=C^tVu|n1V0KLAkL}}nO z_Zn7%{oZ~`=h!2#WqmYhe$~oXA@=Y;)pp%8eF%jaX8Q0YR6V95L7HiD*)U9{d#+!V zY&x2<@z-=OCPFjCoWIo~z0~}S8+T=3VV7HYjSSKviDiME=VRSB{C3W-u$~tn`l)5z z#3oeQQr%9a`&t_5lyOc?##x(=ri5! z6s{gVhDns#GC~t>5+PmZeCFc)#rBRCi3QK{>--!-MvSnvF0SKOxK%T?b4!t+u;oMe zC1%a7VL4uZ&vk!VFGCzPjcPpn{qQg-Zef%gJW&cgGkkUKz-(UpF8tRl_UC2qCon0l zQRCx%ylY<1yHjkG*NAqt6`Q=zEQ~C}U^H2s%H`ZSlz^;?4P_XVn1jbd>znvagCSTt zO%MJqMBnosay6pt*f{5fg8t~6DiTN6T%ap5;R6xE+2O+bPb0Ise#gen>tbq-tLa(x z%}RMs0qzu}IfIW(Hzeo|Z%A1E$|auLxMXWS0c;yK4lU{mUjSa)#57zmum^&FEnI zu{6~>YN)codTV~}hlpMUR9UHz0F(2Z5RI@^?*Oqtv1qu;gSO@!h^t^^&D_~9+%d`h zKs)SeSTA<(JpL2{x%(f9)vt$c)lswkK~fsk9n=sd^mm}a-m@mYCZfG4g5-8@Cd|D_ zd*!$E5vNi7ue}=AsMw@p;{;ZD3{6PQ>1I z5`$`&Y4^^CfO0&x-j8m^&d)SKFLuh-$N^xRSL=#Wo>;sSpH4}7k-eD6f-&!Lh~U)r zT;A1KXTRsR77Bmtw{P*oEEV(Gr;L5CSUO?ahq_HKNX^1KyMB9^u}k*HZu4JfDT7{HRW#Q zSGu;53ofsx$0K0r$&Ub|Y<&`EdE5Mp#?<3{t%590!VOL!ofie+U)ubP`NILEKj2OK zTYFjfdo>w`;uS7b`n;!Xs%-Azbn5-ok;DtIo%_j~34;I87*MXF3b=pCZif< z4)o;c(*c3Yu~F%-S9N~QOFQPY@Ii$!de`v=sk3Gv^?j_X7YlDX%EL9soW5?V-81nM zIbTgVkpLVvo$tQMT+OCWCmkw?6)UJO;}{_N5q^1mz-QW3IaN}1c6f?GN75&rBgJg& ze+COST}?LRaW%x~_zEt3=k~U&6SBc3q`6+5V+{`XTS--Wd!)FURwBoIu#sa-j1XeT zcR|Ka?!N(qHoRr7L;A?dJF(`f?29w5%W8j+zqD0ff-ET*2M~DV5B{ZWB4U(wN8I6U z8-S$0xAN9xD!w&6K@uHLFZ@!J>ZaA+>;2-HZR_wCOG~!r)vXBR$=&6|{>k-wB$HZ%X)V%XR(~G69c=LslC8r} z3{G#Ei3Ay_JKx6JDG1b9$fT_pV2jCY7_uUl2~iB!B)h~f0X}BP{}%q|L7MU$3emui zt|Kz}>mtGeO%_V5`%pk2oHZuizV8JE_EgRPud?Dlq{2+FX-g?U1dRXx5&`p-ZA_8m zj)@2UKQBoD)0^G7=97zOTv2lcD3|f*Z86m;>Bg{{i-zlvUf}UL-;fkKQ zE$Tl;#3-xQ0(snkm+`~EPo`_2^?#Ka{~?$Ty|Q`kkr7)cQz;Drq|GD$tF$@d55=@x z!zu-^`T3Tg0mFZ`7DV~V`n_Yq!T0SQ#gW+@GO7EzBLKl+{4^5q_Xe_~hy32RD7OTb zqM|(2^2Rvwp0|eF(Z=z0{!`|y)Fj<%Ztu_l-eo9MkK}#`C_iH;xfpYAVb=e?$;Y7n z`GCtsk{FVW12ev|=97yd2ry?7DbYXm7688)Kmj06Q5z(P%(&hDFRgVSE7n#w{y#v)%n<)LjPJxf#k^QxCY z7#XYHqNa*)VGA93XZufrx~9t;knw@9pUWSU<-ZbeImQ=lv0(Cp+nJz3f#%}vdNwo$fQrvNoYR!r>g?ufU#x&if`4QXcxSQXwRvI#YF< z9cM=mOD?posw$)LB|?;{mSd5cRm(Vj9^pbhEeaByH3B@2izl9-yY{{6RSZuG{e)Tr?O>K^oYs8X~>@m_yyiz%9LUYu17!1vq!^0H~Qb_)5 zh-W+Z&AR6%6%-U!`;wVtKU7K{hVUnpzo1T9*nm{?xktbs$G3VS9IYVaOe&vCUqYo# z@p=!9o2E+x#l~%5=s<39-UpMk7rMPnWd zQ=8?1l**w~pLx)X8P8l%6fm!bILi~MvnOnk62}~D(ePINS*{f=I0CD9es1FyRmQBY z{%eVp`>(wWf%BAVaV&n2hg4M2-b8wSm&d>Rcv*%|HVUfw@PTdy&QqBQs`SQNV0%Mw z+jDnBwI3Dxe8NXxBNQQ%xd$NnhWk_OL=bG2!>-xb;Ag1 z?iFyC*)Gynxoqk05EWzY!>n{Z7+6XBwgO9C4LMBY z^1C@v+(`@7j~g3!7I9T6r2rD1%|U?On27R(?4q%4-Ey(tVn=Y=O3Up-NFDK=)dXIr zAGBtY)hXj??~2w>FQ8JG1yZ*~0TcY$w{N9hai<}hU+%I|;|;$`s|R{Yy+}*3zFwq= zIhECM*4Q-typ=OMOSgyq!a|q5d!$6`h-RI8Xrbb*Bf5*^lnBMqeeS_8Tzs0Y9R+YM z!DDhtsMdanL#(6nVg6g^!f5B+2?aGtiL3obe{PV{#`pD-*ITU;+}i)1(W2hfjNE@8 z94$LhI6w4#~A@9f|!|q|M_9AeTuJ4HO{e00;HdYBtI_aj_ z0*QtYtfm7{u9;C4t(r4(zks+D^2;U*`F2_+X&Ml`44fBfdOZ^NcS&st|$B24cphpP(Z1CtGcfR&Xl|Oc=;Mk zgjHeGKwn379^LNf$^dyJ-#C+c0>$MMwCc~e4%vkw9lj*rF_RqUcC%g}Icx+|;ybA( zi;PF`($9_=#E6367bVX_{r3Zh%~;AF59;J<7=|A0J5yzJ#p%TXTXuQRT5MYNs24vT zsrRgh)tj?+Q|-7a^9BJ^6{!}V{v72epVjA~-*72G|7>OV>+~|#=57U5`e^`V(&3z1 zsItNS12b_D8kgH6lx<8PnLBh7BH_i!rWzw~zj`gba?pFSa1}-g9{exNR=th`jNHlZ zvVODZ+waCo#TZEcsc;mnR^YxorLVl{k@L0}KkadDkR!OWx0a=KKy z?CTKu3JG`Ww2#ylEyA|2)!~tjYfo91V}y@saF>wlL(RA1Y{8?Vu3Iu1ukk$*hAqCl zleg`;>z&zvrM7oE$aZjYXhF3j+P{?WaOS7k*mAl0^Z3lDyD520POK&)=f~F%dXmhG z&84`l*ctqazHkY0R@YJOrO|j|7XJG0CAoJnC!fof?QBkjCcc%_Zklm>CHsKjYVYd~ z4~D+?8oUc4s+;J0acYP2m8#Ii2yQ&(1h%J7^gr%|baMY6^4>G7$!%K$RZ$S7s7P-j z0xG=(q^mTgs)+P}(h0p2s)C}@1u3CP2PqNhB@~fP=n#4*bVBch`vr9^*WUZAv-Y|7 z-+l7KM^e67#vJ2)-#O-(D5E9gCCd6Fj^TTwsHHQ{t#0uX3_V6ON5+S*5UHLE4^Q7d z)0Z4Q3rhZ8&aRu*^-;Pa4caNp<2}$!XYUxYsa6zo#+tj+zj{&1}Qo`x% zXHT4Q?dG{?FPWK&9H+Mok*AAy@Cb^5=Sq^798aLfgLi&aKWV%+u`dXC<}f|u+16+ukrg8fLwqxb za=Y_sYB50I5rg=7cUl;`?9&(-f0+j4gvw*!So_62{_`soC9?KSqGiJquSFXVV4RQC zDmkQj4>_jKndfkQG`_i4Z~a~wVSM@xO+7_r&F4uPL!u61U2Q-DajN0m&k1gm7fcoeU9_~27uIzwl zY45NW`KVg9Ojd0>o1`m67oq1tgSuc8UkzCehl%vzWsQJGz2 zlhJLvQm?pOqg@^FZDt>~Q-6=UpyS{%WGb;xvCWA8FaA_FoWu*lZnlN)h@sX$d!p-m z$2u4mE`GVRq8c;x^(e*@i&^^FP~B^-oJMGU(Pnob)%M|n3$n>srZ5u4L?1s$KUX>Z z`Kdd273{Wob9#^P`9Dm*J^c$%_G!MmiMNGnMmx$cLk*S#rU28D9i7T zCFJuP6{g%?|A@~HZupBAO=J>91L&OJS`rp5ep#3vLjK1d(*WmO@uXGJd>BZ4QNK$4 zCV`biP4UNr)DOq!R2iJ(Y0!ld$$OK{viUsU8R>rpExu0a8&^E zc7?mNvYVxy`>Fq-hXPym+)bP7uyoQ*Sh??!VR-RFHJ*-SdwXI3L4J%R{2M<^_bZtB z*C1xTIw2gN3w%aaVMb3yz*KI?`4Faiaq|P&`LW&CrOfq;sp7eGTlzV>OOh8xP)lv& z5i<{iXFQOG7d`B&NU(WR#blJqZf} z{o$;mpeXGvm0-#cfN!Nx|EEW)J9__x+dkj~_y~?0A*=r;Nca2-E2*GWlDpk?-ey7SG%4JS?8rl5KSG#%wbA z3;~_^^{E=H@=X@6%qR($Aa6^i6xvlNv!O_}^L|LpGH}SgO9596r7@&>j4++e;p_wM z|NJ8_tH_j9@Eb3OBnUldudciHAoa=~s{NF%wYZdeY)IxQYpI$Fw=CdS3ts*H?8LA! zLZhB+W_9bzZtKfWP(gN$HnGK0h96q$k4v_4gCAxp1zz89UgxuaOQCQ6Oy8UCG`6Bs zVd5GzF5p`_!@w+?^yOokKHt&4AFXZRGw=cw1;#l4t z!MIvSTe&r(((W+^)su9^0NdWLjUDbDt5i0zQ6Q$LwZZGr+Jbv?N!=vFlizo$?%qET zNq!^d`3R_MHqU}HPs0au)6Vy)3-^?F#E-N4_$52{QLbsZ^rej%Q<6J#Xt(2|E&l|% z<62d9>_leq(dw;C1^)tgnO=AEHC5fB8(%dki@_DgW2lOoeA>!h~9$PuD>5N^@ z^Q&^}5(N5WjhyqANN~?_C0*tnEt_)Ak=ZFIDk&&z`j$|{;4xPg7C4nnP5(#=9LCrK zIJO6ufAlJ2O*HX_w%`~x-ozuV5N(AUZ0do=kf~X$SvP%xOK%Lete4hve<4R5arlXC zY`-?$sVtdgCX3YT2^3U#K6>FR3#m0?!v_NH(b}Q+lF4@5R_(gJ3=3D9@IZDhs(!9) zr86w@)OZOCs%|bFvfy`4We||xZas8OjvRks1F)(pPjj%f{(!KbcAI8eAXl6la>PC> z-vo{8I@UE^aNMIA(%#O_BPV<*Ni?j?@L$vL8)V_MroeSr#X z$6JL7h&h88uJUzuku-rL$-Ty=;G(G>x%U0Xi|M3=&zgux3$6Ba7bDjEpXqu{$}o;d z=Vx`it+?=GR2qIP(!?%|@7)h3^xIxB*2fpW^Gl4<4D4f64s2SA9>CMmc2~BlhF%=7 z1xc)^IA^;*LSL5Hn%CCLtHXdj>Qv$T#tO~H#oc388d`^U4XIrQKKK=l?m0u^j(YYF zu$Cjvhg+|$J?}SDVzy9Ql3OVS3h=Z(8Rf?ZfI+aaKdQaV(*a>{vf(ZH_+eU45(F=9 z{Om}KHk$QqH3sS?Jx%c%o!>dO+KS;iY!P-Ys@&h`6PAQ{%#QZ0j}_H>jqFeOqydKl zwe`eaEFMI(B}m%)j2$j;(W}C+IQs~W7wr_;;UYsF4Gwo!Orz?pB5LzlwM^(M>psUQ zi&~CFnmUowgsQLmz<^j_b{1EviSNeK{kTgH)|2Y81jjrl^=1p>wHBKbb`D#$K+e zmt&2b05N!W3Aa!rgh_<5h{Y-@?9awwll6duvT#YKwzwQ;z3#yySRbKtK_ygu(T%jg z%Jq}uXuFmir-xzZQVv^{X1Clu z#*q!B4ssvIhEITMt3k|@?~58X1|u>gy>DFWg*QqL>Z8M8qVIA{+Ly4`I5lT|Enb+t zQH(U4nijUB3f7J|65*&3ZgOBgQl^{^)X@;cDp$GhaSI;Qlg%Dg6wVgrAabpbQ1m(e zUY%tIj*Fk&wDl_Uw^CCj%hxvPyHO~-oj`^Ow93hZY8h9|UVKvH~dGJ$~)6C~AEBDHYkAOY$}a#M*Jj_4r( z0nnlA(odJUDVpd{r0KBd5mWVirm-)WmeHwOE|rUOFz#i*nG8moRiw6cx%7(|ly1p( zTfLb_pA%=ng9qHQGuOf_5ZxmX>-F!j#UmqRq&Sn~^35b*kMPq#E6jEgxja-)o?M@xdg}l{2F}@F5-CHKx?S^S$j?;y!%YTEQgq7)^uFJN(u? zG&82hI)-@dGEOTAK`eFYk0%{AUU|1(dMas(bF;51>n(1u9q(tlBF+VBwRee&l^JPj zRX7#bWlbAurLdF{^ncQA$!@T~uCeCvSzKJOGPp8JVD?bNq^qRf(>M=R!7(L^ZmQch zHi3`PbHQbZH!@h^J$;4+H)ON)+w{Rn0x)CC$Fb#~0~d30QpN}?y8%TvUp{fod4J6x zBeB?^;%O`cNm$fotVncRC)J7^9oe5r&_W%hInKbfH;!jx$n@MWU-_M}U2?^!(`r$l zo2quE*u9R!rV>ha-XBYdtl4|L|JO@GDo;@N?MvHi;m^-#ckYiV8 z4ox#`OFGM#9!u&>(jwz$bD`O)?OLwk?P|D{?MAfdOx5<2AD&+7ho`^i>6d5Jt9RS5 zC&~KAe1m$7*0nAn6#yoN%u?Hu}=fvU`}`R`wF&qy^T=Zdp#_pO}}q=&iu|9{PxR& z&mitg4uRB>!nZ#xKlb#13s0YBC(PGqe}UyyZT@s~sEJoeD{vK~0WQ7L4Uf$-upKvw zUMULtUNip6zb41qbfoqg)5$zikMSF&maXL2-Yp*owIqteyIIWJG%3iNwr^J9!8PrA zFk7lX+OA86pJ-UZ2M<%(XfGpQS79_Gzmiz=K)_9BKCn;5N1b-#C_U%cDBX9Rk11UQ`n1@@dEq1e@B(xt6b z^#DB{IRf9CJNJ|Ts4jqqD-J|`W!jlayKgu3K0a63(h9P8FE@NJyak&)rej^qrspUF ziCazxFCzkG@OT7GthXP`tku3-%yy~$s6C?KL3vPl$ofZEh zI1P)Y+#gYy3nR~%I8P-e*Do9pr|YI4+{#(QW2ThfvzbtG&Q&>CZhSWIV_qozlpC@$ z6stM{5v0gpd42#IFyoZ9d*!rpGG!W@YOI}=NsH;|E&QQwTb|@9;u4O-)eGWphdR$h z_GIidt=$roBW$KbhL{V+jjx^wOeWl@T$Y_kQ4NRyR;TegB719t=M1f2-jz9;Rt z0AVZ&iG648aB`p^E^<>y7~^o-+OoJn0ITd(yXPgm$flxuXJCI&H-{aI$;{#Qm>k&f z^qLd${JLH#>G6EP+IeiTP>$1mY;JZ8WWUm;SGrC_Kcz461~}pU5IddVek3BayoEun zl+-)#xYvAF$BW2P)-_u1u5a_2YsgP$`v{G93lP++KhL$g8XxZ!1)dDB7x0#lPkbckxBWMgT}fcde4bP3HKVvwy5=n zir1oec4K8xf@(3AZU^j;m37_>mEo=R5{ob%KqULQoYdjYuO zcr&ff-r4M-u9o4F(O8+(Lc538;{5UUPNB2la@VWF`!-IWltvP}ZhiIKA^Wik-}oZT zW7Q8V-c2U}Wq2E0EQ6oq_X(CH^QaBNiLn)zX&dFg;YSQ4GasdYMp=BXBmHipLZ&iQ zPsV$-KkKH8uuM#!tTyvHJOPH%_O*p@e&HWd>dd2)<;t)W47Lx6C>dcjtz#(Tq%z~N z=kjN`!8G^uftAYDPKH#jEK3`?=eJFwuITl@vsVE3WVlrFJP;7Uw}~-Kj;)TXi|G~) zpr3Z}#*($8TWL&06eC=p3Cz>3kIkPM9UmRiF+GgKHo-lP>(~?zr%b$#gV~N(B$crP z@d;I0qCm-;io7sosv+wp6$&ioGX(9m6M{fj?c3`m#!k|9bz|W zl2r(b!IQ08e-+56Yd_Ri#;wieDA0UkY`@PE9%I`zC|aBnE;6Way>>@(5~YoCC=PeV z9^SL$ynbA19KA6!bVR{IG7>qz^(-lrJme6BDy0PePaaZR*oSD}#?PEM|5V@Nna|Gd zLOU%x^MMwdt}rAq0q?s0*@`;=-Z^#n}8=mA5w z1&(}*$-daU!+N|wzXA}@h;t0{k7*HK!jx^gShyxYF>6k5U7hT{F$lUmvmb;6J$YWN zz6~>y*}P0c$drP)<(__VzPPlb#d$xwRFo6D_NtD|r1@#Op4<2#M6zO8t`AiiwAZ$B zyg&DGL(62PZ+}{{SfadUq1_V5pcd??EE{%3cB)7~yA7%iJEp2ecS0H4EA1z=DO=q$ zw?7&jt77gz+CqNGKZ71X4?jLx80@6xTh0fYFKLC3 zwm7&s-Ze9PI?H~o36g6c%!wf9S?1nnfjZuOZ%luh^q7z`Zo&nbKWU<^LC`;{PMo5v zost%B*Q38l<=?;A3KK0JG1TG^$zg3yR02w7FSGF%GZr%~SS+6M0fJ>psCpL2?{G0a z3#HxuHb5=MIjHmtvk&T18W)MOmPNeB(v=tl^n7+c?Y^k@%c6jZmXMpS{z~73XRj%h z^?C%=*LjgY@~uw_G^Tb}1`?1{{FL@%_%NH-5bo(1=n};gpyHxWs~?(9KuA3jw;fvx zMRV7B=p*NQa{6i;s_tqPz$ql@CDDHBoF$mPox_<(H_6?qgtCJQXBGElg#B1?yi=q> zFqxGwyF2$;Qo?X5QI1i3yCPv_wUY(*G!oH1Fil&`S>m!+ak28a6h3U%+%)Cq zzLs`OgK&xDlstg9czTAhvQU2~H3w8GpNl`EqB@86v!m4evX&AC^EjebF;^L?#Q3qy zY4cL&mn{esp4^Z`XXeO`aV<>m`a_{NX(8tVh0esu0Cw?rUxgfn= zdu=GY{vfIoOdpb@DfcOBbv6);LnGlgj`yiQE#{c4eq&W_yj#&dW;5rnTyA_^ifa9k zi3W>j0Vhc!E4CQ1?xTKM4OQc7$#M)L(CK3mX#JL3PL@Y+>1K~mF?KgA!NawEF<5gp zf*Y}n?skp_umxPwBORVlQEVJ;5Zpd&m%9dI6j|FBZ$m7H*jtOr-zF6=Tj<|daXqT> z7s%woU?=gdMWDH(>J#m>h_jSF+P5BJxA^Y@W_P0CymU$TvN@(anw^J7 zIU{iv1At0W33w?3paCRlle+JHRLV+T zw_R)!*H)}!U<}6(he~N>k!`byZ`OBt?)DFL z=h8GZ;{rbZJr8vjk?49#!%jz9j;j0o%dszEa(G>vc?Dfp))U43&y@UpeRtTQA-*!S?!I)24GD zAyBTI2~qWe_Ef0(wU*C@sIquM8#A4kY<82Bwh<4)L}Yy}H|Nt4yZt8FhXXr>w|ta~ z0A2EY=7k@NWe%&T0&_fDcEM|Oj-`Z(#>6|fIKJj$j3SeJdKjP00f06)ul&$KR-M1i zH=Pnbb3J(Titdi=iSbMOD>a5EQocxDjgv6^w@(w&pYBrlLkk|?2KLc@Ns8kb-)=II zGbxe(cX@a2dp|yZwl)7y%6d{KJ)> zJs7%nmzf&+1vTu^MQh`@1}MxWH3u-vX5!1=)nGuc{xFa4`Eq(CY_$512c6XAMEK&8 zu80WN{m(|n16uZ3a%3R=GiGw@h?^VWMd(Oga^CEO1&q z>gS#V@W~MDy@bTedu=JaUsBAyL~Jr+Ua|jH2>gLw=QeCjk+|#po^l3jC`qH5Ll1Fqwt%Zk%Fs%+4Kje zG4kJ^4z#8I+8f&rJK^1NpYq5AXTAI_*o@5x<+5RmL_tgrmZPgJt&cAQMk+6o*m%0n zbj7~h^~*CV)KQ?&=uIQ0O&IlGf3Eg&&XqKso%O|GRDjJgTGK$Q$#7=avM9Rz2`Q|h zn|n~l^?qgkJ9qyAhh9RNpm7?c^%30Of^K8xIJ6@LtAFy_Hc+Jf_IDa9A-3L`I$=5M z-S^2Vn>7FWB-)%Stl;OBGFs~!;#a`@E2a@V^aDbmbOicEHj0ifF6X;EIVGG|>-`1g zo7Fe(Eoklu)=Uh%cX?*@0! zHb^zM>iKz1QFxww^V;P2bvU(@HywI1;wmRlrOpN#)=Dx ztq!G$=f=8>qp9w^(heZQS}&=}Mc9hUx$%G7c%>VBhxeT#!^Zn$Qk51PVn`;WrFiV% z0kZFjefgv7OBaM`;)+x_B)m%>EA>3fgW z@cc7AcmYV7Xi&s5$Yj(Pa_;G8jgBjbj8k17XM86+(h|9hD#>n_05whH9!;O3Lf$JE zo&(jwRm83h=?;~cSVSBXS-OqNlV8+Y{oMUPT`z|x_kh;A!WPJ0lWKg5>*4k#&TGq{ z7~m=v&V%Kh6}!!M3KUk9t|fk$4`m}RZSl|KTbO=FUhGJj?m%Jcv{qNri*2M!VFwPn8%&xy-vqeh&ePf^`wA2)n4-S3?B3 zOac};l3Ofo%Re-B(2$QK9?WlDv5S3F44i3CG~T8{gQnXR=)BD{McOu1 z_Gmrx0&{k?YJH#^Zf<({i=c8`<7w?FR&scQs*Xn0mt0FCY`JAGtlA8vlxmf_ElQ?VK{iDU} z>C!NezP2Upm{bK-gQC1(i`Cc}2mhg7IwOk5kjpFAU-9i-gK3A2ls)PKe8w!_kar7k zI=mf1!trVB$@m?V0nTe67C{Ow;QT;u6j8BrTYQQ3-gmqmcZ@L9*?xMpKDf@*t;8lN zE>?atH!`?({6^8^+wnv~V-VZ&CleA1@jnOoQtiQ7nyd>M-wz*6^vI<$0`iqGlnfnX zH}5W#t|;*qtsLc2i3+IKPB@Z(`f3NHAo_u`Z&62B=xZEOa>21kJ7mg1b7_EGO{YTk zEz0LD2V2iM-D@p`N+xBTxCOm>XvCe}LbnR*W;dmM))v=0>gRi8v8DdG#3gVg3m@>+ zB`Y~7qGn0B=H#kwtKPY%{6Ke}poT)|$NGj7mwJkaSUkVx=Y7sUuGAB`0{%iz3%g;+ zm_=mv&ia#8asTu*qSvbNw!V_UL?1)SK4{04sG~4W(mt#vw5QnxDF@cxj;E*rXi4cjmsh>Vp$;-1l`U! zxwMIhYdf9h9Um^fc)$nBT60I1o)7WL0QHU^7f^J%NB|D#dc#JfrG?8}-+Xn*EyEML z0E~DGmb#9fJ**D=glhelXiB6L&O1{Uspqc8zwV97%JJ~7K;IVt-H);B>^X0F`}BGX zkW@O$r(h~~oXE{hsTA0erh|K1-un;==C`uxO9P5p|1|~%Oq_S@u$u>a)@;B!P45{z z|={C2gF z@8)}76o-)n0i*kuz6V@;$~Pm+?AO&baxF6dL^zl3L*HOPwJ+Pw;Ab#|5G}puUp!@W4 zmFuohneOG>?ge^z!+}Doixs)pcLgpgoSS$BitkYFz>ifP^^(eWSTUS8w6~?6zJxAFd%h5^Etq=Sh^&ebL{aNv=%FP#x5sCqfh%n=fyA^}Im?$L zNn3Kwmu#8o`Wz^xunPH3v3xH=IKPN*g!w?HkoOBJg5_tXpjXl1m^~Hbnu~y^7@p>iuOP6s*!)x z>)W&m8=JN<@O3D}KynQkyCFgM${{(;@dM58*kb4K2Yrh1*#eBEtF0=H+Oj>+Iz{gAj_%YiTyGS>$d*180H6z2BhOjV_Zv z$J<5ax8ZpL43DG~^D-z|d>kbAu{3{kv!q>faI=5QYhW!RsC z<(#ADs&-Rc=-C-Upen1v&cJM!q5mQX9-H5reX&!c3le1IHEN!fV@u{B7W`e_4ZbOH zV1a0V-4?N$wf5*)t+xjoNzGLLIKc{cOr zuFuH~pe~1N24p#X3#k{kG9;WjH|c+6eEzT&)^13VQ$8Y7^Sm3fkC`Ece5k32>2tp! z<->@!&DD&D+C+{1=i9oyep*y+;cYi#_IO(V?LqJcXTpd{g64sA{pz!W{_+_0wgL0S zoFbY5^DNEnOqvybfg7r{KDHCtm+$lx>y))Wuu#*x!yfN7^ZFnld>uBUXj)88s|m== zO2n?nJz4%U%d`w-g}x-r9^MvQTzKLPtQ1_j2_AGnWA-0V61~Zg6u^Gi)CVFCE7c;6(&qCR;tBOU}%t6 ztmr!x;Qs;P$wHAHuYWc=ptQoF^=dtsA;wgRqZe;@&El@!frj>>?+sw|mrpPm&bObe zRaPKzd^iU7+78TbLuVp1AVGi^I6qu?um(lj%4@+r$&g!u)fviZKH z1f@sPXpiyB?Pl6dlq+OoQA=-blnAl9UEYRGmCSSrYYThXJ-TihK1(ORxlT=UO4h!^ zDh)N9aALw!&#$k;C}mkUs1}L>60&&(naDRxiN#6ScKcU1ea4Q z&>!th%%q0dj-hG%gGq*7E~2zl?a)(J#!g>;I5=I1+IFkBqFe_gqS_M=u23t+h1Z7R zq-^UtfN>WDw`mBoZNsbwOcrxi+0J$w=TR~N)!eLP#Y_@WOEOyS9gsess9y%{4-sJQ zlI2IBJ*5OGBVRI*k^#xDQN|@rgI!iO-LX(Urq{Xx73sJ^JQ>OR7c$MiO}iq^dLV*U zJW(JD9)DZ8{F?1g4@oS?1oMrfr>kvtJKozy$JK5Jcm(Jw7E020tY~Ge!-i`et_~Io z+qRf2Z@?@K4luig7(FL!NrJ~)dy&Zok(O$0^tG{)UOp#AdhjGQ4Y-jC&H@}{LMHxn z6ed%pt%Box+R4JpAUW=$z@iUerW3#JM+mvDaIQWN!mcf)iQPgNhs@s@lIhNh_%len z1&o*z9zFfyhpR8|`@9n4GsNEc4kb1dN&)BYs!>?2Kwo>!&LRAy6C#G!uDAWItQA=M zkG2G0>1R$nqnP52fT{BZ96BP2$U9E0Xq)>_9l!Xe0mr0%jm>`uC`8dH9V(wm(8YDn zZkl<{ucakKn=VnnX`ih(Mn2ocv>oB{4_;6b>yiKZjq^;epMsvxfrNs8jGd=olMISC z=GS}$&TL+&cp|R z*_F0l8N9boHJ}4Uwpk)sVsRNXzs4$4S&gi|3LY(Rh5{EA%X`W(9wNz3S95J#;hLX1 z#cUeUU69=Ox&lYJKTn1M-@KLdnNSK|%C3)FM_&|{qtgTAAD4UsFvCmP4Sl8<1$gOg zU`3X{`t=m16ktYx132gFr`bFti1ShF-RQ zpg!5UGZA{dJU)71!Bd|%^;gTCuGmwE8za!>P8&-zJ2_gOH{{`2RmnE5HxR}ioJHN{p0A!ZKN<%G z>$wf}3Ju%tIa@JQVf$L(l@DK7G&x3cmaetT>Y|OVivcAlI*aGiDBYyWF3qlpe6352 zbPIHxS~t)uoX?|l4b;5MV(@2M`$(vexhS0)z)LCOli@5tEzkcA{JrPhr)HK5|3iCz zHt>zC&lZ_owqPVsEvH;)*f-_ctjE-CZoO~(kt3IEW2~UI+!g4SV2|F$1LZXuh#Vsj z=1e2{cRxw07sbqj!fK=iBf<7+OGy)1NsO1&v|>d5W(-b&yc0Y1k9_H}kSy(xN_3eR z+!b5uU*S%;$N@j<8*>55skcBX=Q21q$*cQ8p1f~Y9tkq695{{i&|#qHLO*jB;YS!F z31@ZjfHd=VveNcYO7}U2HYO2%gqe8c>gVL#Ew#O`AWaGah0ojRKDy@ZVmX)NI|FV$ z7vk2ma%%tLZrcR`yQpVvjVBF&Jtg0~ZP%M%a6^6_)M6ID_p>K5j2a#>D>=@XBop#Za`hmzUj&=4n((8p=XU$I(q?K&r!RVe); zd#5CzsD*=Z%C88WZ!^Ept3bJMPbxrZJXI;cObR|<@82vd zs9sbukV6MuN|IsJW4Wnlct-zkUUPoFz(Qt+KY?q`29D^E!e2jv~e(%d!Jj1M0 zi^_RGE!lRRU+#8>^9pF_Q2I`geYj6u_>&V?8SknE$}YY27_fT4!>e;9RPEOEy=Pf& z#RdWXo1OOKwFQJDQGhWD646m)6}9Vsq3{ahS}i+^_O4G6|!I5V=`5kLeJ zlBVR+9T_J^`pko3K$qq~CI*yBRqPk${on$ur~c;U{S7z#EfMbAmb}M@>ZB^eM;)YI z*aMY>Z4kF!QW`3|7@xK^VLXh&V6eU<-tM#A`#mYIH|oo45|V7ZDhHJY%kn$MXO%+_ zhrC_Da`H~$0l&=+w~kl7Zzo-yg&1$`__1a6TsuCA?+C}_29AwBeU-7(cN$p#HpNmK zX9AR@E5AUKUu~I>7#NHwD@rSo84MDLmd0f;Pc@jF@9J0y>OzVsM8X1gFU5&y!kpej zi@S!SIA0jl2o5lE`Q5G$N&Q6hwe)Kp-#Z2$Q_tAwlsx~m1kKl*wdyovr~c-3_xb%$ zQ~;g$TfkFP?JdW2Q*7i&Vwbx_pXuf=jT$I#ZLsJIvCun@ zXrPEwA~a5`2!c_p^kA`@@-}Y$cU90_+ zb@sP@u$?yP=7OU{!+$MuM6s3zyC!ft< zv~RnGGs-tU)h)`5)TTw#Q8uYGQw&dUW+p%99eDAXIfh-EBW!_p&Y~@`feYqYMBeoe zIxzswcM>&qt3(}Q@g%lJk0kOAT7wlTAn4BW<%6{mW6u}CM?n%>8!rh=A2e3vq9};| zWlH>>BaGo58o!(`)Y`ErFJ@VWD{+8OOh}-}s+%>_a_#n$!Go9ic1g;r?Rn0f{$+kj z_8Vk?U*Vw+OZRgVo@i2F0$RUhZDe9kA`U=^bNY?Pvhy`U6n|qyr^xQk5+Z@U)X+OK z;i?r8iGPJn`&7s)0M=C(ynV07ZPEMFh>oFvsPWvlR5J7TNlhiigo~&q#ivWpd&b95 zbfwi%4V&`FCQ@J7+UhN`!o)F zGfjIXZ;sRY6MFy6>(hpB2&&>5C2-*Fx99MnIiN&o=_rY8z~~WcuygH@zCIogQ&2HR zH7HMrVF&cJbQ6~K0_R4353R!)+zoh7^Zd=rAwFai2GlXn4YCvTm;klM?$~%XUhMAS zV5Mx0%BvQ;RVrEi;@go!9uBO>eFqS+CfpSUTqDCi%nxi50hcy}tuX(@?W=0fL(Dy= zr&tJ>8M%e2_FS538XFWyWF^3MJnL&8d_sdG=>psT`ZU1@ zIaO=zcCE* z!gL4g9DeG&P36CLD3i50S6N3asa&>pDRhrr5$;+NicCf6kONEXWk10`Wlvkf>t>^n z%Kbs@0tzNrL7({%0887%*~e*6Td)Q>Ia%3;}=?>M+1fD+5+&{JP)^S?(dSi9IZ+@$-}Ym!qy`uhqLkth?xhluIMZU# z5fEK)w6zD#r@6IJC^2%VO5~a>S-n(b^s?WMi!qS0S%!utw{-FcL za?{<A#KOCwv|On(PF9@nUz_4vbrS9YJusx(nR8ca@{>b;i(9;xTE9w3xc1W39r)eRe zaAQTMo*s#R&~R!tMv}I%idf?UL_nc&vfM3^nmRy9z_OW3r>yK=u;VENI*-uf2$}mm z@aooO=Qi0*`h#AIe8=H5?i&K! z-up@Q>mSY1^+Q@jb8Mt(!g;xfXefSLijfG*w=Pk&*t_6VblEP`s?$+V`UJ#XP*e(rrg5 z7h9K^aPS^2j6k!yb{R&i%rmC7H1F^>S}djg+b(;e@3m~ugcYAHdi*@MXjWKSNJ zrm`~eDFuensf$tel9)XQkhXJk-YtefUsh&n2n9kqb^_mh9OGl?~wji~kjPo=+OmO4Urv#QUY!#k&qZ z*MJW|82kP-@j;+MF5q&P$k`JO=w7ft#gfyp3tpih8)>!>A9nbs@L5)F6Q=O|zw3i~ zg>j^+vak%KoA6Jw*@%*m&6oadn1e=Qtp(EQ`ufY1XyHY5Sr5!G1Z~alM3lrEMrF7c z7zB|>mGL`mHHM1u3@bCfY}~H_%doBZ7*sVpQ1C;*n4^OtiT_@O5lH&sh5f+x>eaX{ z#uI8w{qZ;By zPOCi}Q2uJ4RKj^6Q|c%xr7VtXRo;laFxeA(0wrhMW~0n(2gK_9-?)vt#GfwzbiTl$ z_}}Kx)dEl`D0Q=i1j;>>r`9jrNY^ zXW>G4vEKq9vr~6ihFFKHF5W!*T{O(CIA2xTc4=E zMA=B{o~T}Iye6FneUOM%s2|^jA6&jsH0KtO44_@RnbN1SNwZUIUoRtMo z?Z?RD0KuB+?*&NNpYCzfA7x?v9N4?t!ZZ&e!}5$Q*jX}RdS^J&)+EAy!*DDWu@zAO zY*1?n-lXw)ERlckTZDdrFcxPWk;CaxYdLgQKdhrs@h{u$Z}?vP2qOF6AWjJ%L$_fp z!@i2Fi(2)C1jasf0j=$4Py8-eBU$ssln+*?0k_+9RsiM}fLjnkML?+{T;Dvr|BGOo zxdSti4Q{hpG=mGfK!A(MsP~fsRhQ@jgJ>`sG>XWAfFKBF&ZSFJc7$`p>Gyzcv1ez0PN^jMW&hNFfmBq1bOFsbxa^#FXW*`YQ8bQ_*UKfp zFQ~pOTX#dcVJg|2T;bgE1AG4bL4>MHl&?#Gus?Lr&bLk{6c7_l;-3Y6>eR6iY6p8J z@CGS)VBcq4snB+v(9I!NNSf|je{IW*fv|euA`ox!*DE+?n?(8#HS3eL!VS)+%DouvuOBDN)6AO}`C~Ly0Pbf}drzSgQ zwPjab+W*>64-6+B)=ZUYti=wMR z^kUSaRT(aR^GPFvqSL0S9FIijHl@KQSaNy*lX zzsklNu6>LE9(R0IaqYK6Qywa$Y`~Ajs|VB?8ceP^?}7}wDBFvUd)lCmbyS)yDP2B4 zwB3J4P%Vbqd`D$sLQw7*f7%CT4)pu0C-yC?0VMKdcZ#{~*?A1E1LjmmYP79rBGwHg z#FYz4tC;;130`jXop%X%H*($*X)@8(n-$5`hA-ei^sl=ve*s4wZ*ht}07Ze-aSp{q{07ZZy`iMYyX)k{=B|2|#+@_2NiRFOX{@ z^*1czWVhtR@+iUf*c{{lX-AYfs{y6xxjz9Vecz;mdTR@H<)6r)El)Q8dwr8+QwBA) zfc0A(lB}VH5das1Lauc{+Ujv3V)Jy8JfsjPM04q%V9CwbC=SB`}(fx;Djs*38sd zZr(UPRY6JC{jG8whmvS*YrI(VB1K-Fe#{bSq!hV9`je)#_*p6ac43=>bLKHbfTLwm=){gswvcle&uCuv7N zj{&w_D8}x_w%r?vBj5y|V5^gTm!fgjbQOAH|0W7m`jgRo8hr1E;P}(?WfA``K;ak3 z{Tq12)L;b^&Qi59wHQfLdNMSrmQt{TXEGxlmlkh;NuqQljX|T?Z0Kh7`B+s*ckI4} zMcxPEW&c=n8ydHRGw=MGr?&_ol3JF)p6=PdS-^iV+W!?)h5UaiegbKK36d}V237pn z+6Bakd2YBXU~6kB#(+xuq*Zg1%3b9*skowBqyZqMsR3wTN^^IOPn`8yfuXE1u+ROW zoe5J4=uf#vp^q1x2*K9)cV1JY+8S)-ioC||?5NRluBygQL=&)+W z%>2r9)X?Kru;-S1ZuW>6wRU~clMkQwSgK#pVEEsiaAbNOm@ZuNTT3S63<5n`*7au_ zu4>r0<(NaFBnn_dJGUD8X@d`FaE2Y4b+Qu=DAoVPWL<<0cs!FGghbeo@kM7ts8I}2 z#D6My(B}XQ2_)SI(mz=$T4DF#rDyvfeFj|~hW1AOv}4T^CvNoo6Z%(kM4Ht9+wT8e z=ATMJ+9)KRT3nFAD35^i4ZfbaI3;W8V$*2>Pwjo>6Wc6ox8^gb zf2B?DYP;*$`|=g-Lif%KnWG@dJFa9*-81kLB|g+yE5E~Zg%_vukMuV);X0$gg^LNQ z1b?#xf0IOjj=aeyiW~qa_c`3nx74BK>;b{D+q zm4|SR%AR7YVCMY4NOFR0)=PU_73^PqB02nS1%M6c6#NaOAPRg9t;w7l>fhtPPRTE> zR!^H^1peRwfkXnhh7UK(|KVridjRGKE&;Bh&L5((rxYS%56jaGc<&r#wWHOw zJg@3fA~kLp977>o{jWluZt?ei--&WV*Tou{i_0DjbH%9385~x`FiEsv+Y$*Wp1lh#twq$>8QB`!q zWSs6nQrK|F+}VKLkE+}hy^$^X-#}l=9Z>nUDbJacOO6X&*?QMLdg*oxTUm*ID-stB zQtCB+Gk63HA2PHzAanZ9Nxpoqd_Y%#d(0Pt1tuBV^L5RCN)CMOI_aQ9xNsD|+unMe z3*juc7CB2xYy7;$b#Yhz-}n_DKmz;E{Tsz%1mxL1!u3~wISVB~%lkv{1Zc#?TqznN zq=NTQAaU*(gk;Ezwj(bp?)@Qc;0FKEcE_zN{ioj)Avup<{1O(oz5$N84#*C)_2pb6 z;~bXl|Bim#hJTnS**!Ts9%NkWVJ?y&F?J|^*W^6VMlz(tr`}Mocl*;RVDlX zfL1G4VH?(#ZJyrsPx-0K`)~b8l9#`5r2{BTS@en!J*mx4{0uB@=4w;G)Biury$4j2 z>DK;zW*o3ZP*F&zA___mq1RCqM4AXn2Wg>%-aDg6P^yabCPjMh9g$u_C-kB~=)L#< z6S{L|&Y79_UElkWwPu)EF7xCr`@Z&XU;EDanfjQEDbrmK67%oUO=Q*rB_nU~_Mdpssf47$N%(LA^1x1pF2w7ZW*X6{U-nZN=j`qkK zmu6<~^fzRh$Jf5WZ;AK$tg9W+?IUc{f0Lb1-)HBWX`DJp{z4sA#?kwXL*HPk14W3j zRlt_Nr`7?c(tKiqvm|e;*6}-$=MsRSM{5QyhpKx0EY(8)KCRxbBrgJjUe|E2Nth}v zc*yX?&7=qE_Y#KJNQ6#a`Vhb%aX$)1|6%4JccM!MUj=Fi ze^<{pBW5rBL46ijItEG{-2m*(D+Hq2$90w;LJ2Ajx|!;ddm*Nicd?3MC)s?A z=I*{6{xvmFz^URdrG>)#@UBn=#>*40v-a!DW8AJ70*c+Idul=m|KixmaaCr+*odbl#B9G){_RHA}PSrSz2( z#ZUh~%|{5%jL8ky+V$s0w~)O-l=HdHcA zJfnoQyBM3K0kiMszQx&4>o#D79K80|O{)MLDFL`uwy^V+`5+o1kA!NTc+1r7slY}Z zpMo~^v_Rdyh8jHyFE%v0P?edrS>P`lXOCt3p`sIT8-cNsp6%v^P*o!^Fjmv|49&z7 z=T9dT2axG+Bou^7uB_l7|9=6LP00-Gn$xr$Dlo{z-X+GD^9at${M9Pu(#-2FHB00d zdq4JLu&82fnF**MI8TFeZQY_vY!ipmW|J|H`gml9Ot2N6*~tBg0Go^F0NrA1*vT@q zr*p*`>vi`b++`s$r*Y6CeWl~bx(0gKF@pw z+b#5ovWj}XmAVSJx7&R9p%yk1=$n3yb$cDb7xC)Un4d0@{im!s?x&*yS1eNzoU-0< zHlwAlgsTAcs}1UESm*Q#p4O>o`Cnz9!1&*keU%7YM70yiWnTaupuO&$IdM@jCv{F| z<0DSqee9@yF<@x;$NDd2{O{>MfTrl2f_B~$8myux@8=3wh$BP#dD*XQe4X^X@Iy_S zVtT|f(#wM)obr)iA77Hnoz zf6EpWxnTnT@DBj#p9?CQUpz4xmarta#@B>SZlGO=?b9V@G4cB&!NfFNDb_*>WbLbR z;yDKHlA5s+E4U&JgftN?5W-r^590oK?uljJW)^nqK6fb>GPk%7yJZWGWUQ2RAnz-|Iad)E|5@Vlc z8=VPLu{;lMs&q@>uA;F|TdWtdQqw)&3;T3p3Duu6xm?NrnO+8Jki#M@(y3pb)LfD{J!$G^|;#X2*k$iE3x=X(pbV@{B8%_hQg8E}qve>c=0 zy{rKk&OT25tx*A}r4zzzC7a(=}#YV=V&hAMj26IdzAne=7BuIBSC!~`DlllA&Kl= z?gQ*2MetOsJ4YbYev<>6zssirwZ5YN+FLZ?`fMLG^0}!PGO=De@FD$H*4(^fxTnKoov^PU_8Y0Zfx^bl`21Yqd-X9-R)+&Qhw?&N}eB@u#ad%U?fVVjz z6u_W-38%2dVf*bUO8mJVI+SfmC2=MXU;faF)0rcE-6Jb^S?KRjx(C8&J+$=DNJaTE z1?zWKG2=RTyeCO7OX*fGoZiwem|5q?T!E4M>mIGwfU5*;h-A_elgk#arjGt#Dk^?o zGiYDGF#`IH{jcdaz}wdN_Nv8)eR#27fB(Brx-*J3{WFKPH;l2#x^XQ~ITx?0c^(6v zM9=IGw*lME%d^^4Kue9jOI_(JjY^@f>Ohe=*)=#tN5TG#3HMnGEAlMs;yAl5R-fUU z8zSAWfFL>vl5&(?oG-oc>FT1274Fqc7J2Na5?L!P*FTZlDq~RXHs=1shq6 zfQ0eX`@0^tm}@aqEJ--gL=8htKf2KB41qRdMCFGEIKY}Dl+(70_{(MMzZA4~zO&h> zp?FAw3<6m>h|4cMkA6r*S&-Q+UK3A4{~gRx%~=nSt+B>;H5|vo=lfNm_UgVL4MjrI z-)P^tT`U=1`gLH46sH(d0NAsB$44mdKC<9`z<5d=0$Zp^lH~B#I+hl#^-R)J+eyV5 z#q|h#-H}{)I})&?vHOVF$>Pm~){L7Hm`(!cis(oeYPP^8>hk;gBrmhVP!+SYHBWz3 z97mO@zuMiPycTrvd{Dg#aGy~VjbBvP3>(=~D}}4G#&c2Z)@4DP?a#NvZpyN#+ieOt>^L;^Z0_aA&OBiU|kv;s^Ohj z;@RAjO3{xbu_^Ox)pI=PF`@b^&HRV4=qPzEwAiqprQe8K&7`;DXr+_FDve3TF|zWZuL zoy_f6`Z)40@5>25@d;oR&+#n}$L3}y8bF0XaI#D1K-?)RJ*K76ntJKx2u%eRFtyFA7Bx34DCR zc_gN6IS54zMDfgHYq7%Gr%t8ARRy5|Z-M|Mif?JkBPCqr-E=uRqMz(@u#F!h8)Si! z+aiN?1_ZNPypyU}QT56oLqG}dnFpolJ;=T=xypyzvdsw-*>61G2bn(}_)S<@_ZuT1$U1A%2_D9l0sl)1@9jwMiLh!s8oqj$(?k9ua)by_2 z9Bk*THK~L>o%xhgt(Re-Xn&K(B7x(PdJgA#&&ISzIX?OY=5k7x@Ql3IR3)xspLQoX zOa0NgKM~MJllbSWsC>V&H|F}Ny`AyMto7to!p7(qbs>!Q&sd9gkI0whEW+4RP1OUs z+38dC-yo=S+wX;5dzrl1k=5AB5g)2~ZSlrX3u!={^cN-IQ$E~K6xLsR*b9f|`#}S4 zV6zOM#SC=3Fb@{Uv83i(33@mf6ReUHzafUs{w)zN$stlHEud;k>@XG;m!VgFEe;PBa4MW&)%f8$It;Ey7P-xG1W+TUV)v{T)?nf^ z_{rNlh~B5K(JMrKqZ%4o{BlBSV?+G66Qc78aM<%bG5TopL?|)aUQK4LLCY4^kv^rL zYH;}YmHbTVEoZIh*`p;v?gwIxx=SFYND;JUKpZ#o?dqAz@7C4Ge zTA+}q))mY#f1`8B=ENprYW@m0p=h>`6KotmS(c^b+cS4~m9pWL>>pS?AlUCUX?-;-79r*9*)8|uG&0v7n7APyP z1ejA`X-`$IXB7GH!-XC-wE%A$ zbzXyWvlABDLTwRydzP#^inV!Y^cSJeOHpXt4uIQ?wIqv5xKHwFLfAJ(rk&bMvRa(T)MMjX!jqva^kd7rb;V02FwD zLRm_hKY`bNse6Is?5*Q7^0bff?{^)`KgcW5=4Q2sD?9Fc<2m1iShXzNeK+#Z2@oORCpTP4sLTWy_o{88r}-VcSP zdOUAu+oCLLTz+g8d-B+MjQ|I@iu6VSzChwnCoG9|nvLMk-Bd9F079H%15Rz=ewt$2 ziTivyZ_>{fWxa(0e)4{6sctMS;3)JJ^u`tp_A8J;tgUB2H}Bv~RdOF z3-}*LG5A<;{!mBzD0TOE9+R)UU@cTJgB0eU_S;EMvsJ5XwFl1ZoOoZBq)sW9KbCd>c5?-`asyn^!_Gh6G&+Mxe;Xs-?IJ46F`kb13AiykBDJ*J7tFHX zl=gd&bHo>bTvI^cM@9R`3=hDTM#(Eb+Mck{4X_!aE`Iv|1m+!Is|K7W`yA(#JUv$5 zr*zZlHF|5vTEV|lA|NB0~7#;9MWfSh%|0YtSuAWhF3XaKoA>IXRT zSoa-yN`NEJAnh+X^1fTsg%aGJyaikXYyWKd4EBgQp^<;-14tIb_pg3KBvb=M9~hz7 z<6zbP{s`AgVFtuGm*cLFx z`b!HG%&>KamL4~9>kX%50$n;I7jpl1dWNyl<8Ru{f59l*{w;NCe4OIq%-Gv7{-tq)##OlB}Rlw=S!Ol?7XeDDD`Of(_FoMvxgFVzEt*QLqPVCI2Y<$BqPta_p<&z^bg zU38*}z7sf1@+puO-Wf_Ol)p;n10g}osQfqUV_PWU;a^mDvTOM?{;%&la^%*Dj2#e_S=gk56` zspBTc8Dnhy6BDP{XK8~o+-d7xcwAvDy2sw7bgTKgax)pF!LPz#h)McSXM}5ht|0sw zM0!5Wm@KVqHNEAkklYQ%`#(4AeCJ*&+OS(hali(PlD4#*1l}ubp{$BGFg}tP1w^>3 z=8OVW3LzQr?dR^aX_)g(3UrWg8}>w7MuKO2)0)N^Q5mUQpIR+LB4Yd1X*wlLczttd zF3t3h$GM9v<%(o(51MYu%M2)l0V~z(sGoPDNphh?!6ZoS=}L$@=Z`Zwyhdd4jb~2ULaqtQrJvx!c-nTHV@$@6FGt4CS}EN;bjSI~$RhAbu_4Cd+2Vua+Iw$+=&>oQDT-SOZ_U zHL?bD;c3*pDCl9ZG@ZHDn^dBLgSJuO9V z_sW@4fusx2bI=;giZ5H`374JeZz1I*->~I62FoIHkQDCL-E#d}pYwrl`!#vSH^sGTMF09{nl^V~N zh+5y99_hKOG8E5yLA}*&t>f#WQ~iXtlnufL>1D!+>qw+@UH$U$>)p(omxC0~u~Uzo z@*TBjZ|)IZ6-=V32vRKdOk$J0KKdZf#6}x|n#-?8pt#*z{K+@G7&@~mXk!^PE~0YU z^$N9;^QC4`gf1kaQKjj%@8j7>LSF?K&!Kw1JTFXn>p=!0h{D~6gtZ*-^{4Hh+~>N_slIuiisQYG=ed~3W&a^X!E77XTi37b zczo}mgB-}}wSir<@Ktiu&|RcW_~8pwRN8VzgLR^)20P)wj~}%D!=*jEO#6eiQVwMu zpp%nhx4Bzsdp{|Er8ht!b1+Y-{R7R}j!vESsTvd}W!vCO@7#7x)Zq56EX7vJ;|5C* zKJPNBiFbXaE!<&RI((z&A=B1Ol{JH>saZoBgXjB_*FA{Ziv6pPEU;ct%TgnQ4_`~oWHc&u}N^&eQ} z>sOealHZ^NRCj;597- zO1yU}4BQ80OoK6dJ8BJ}oj3QqWI*X*ml1|LacoR3r#|qak7Pk&OJ;ff3*=1QbE*a+4%WruG?B>3m6>zNXU+Xgc-Z)sg(}+K4IyJ+8Q`iNQh31XvD|($U{kBb; zkYiM4p|Xrb3c+u}?HQ3&n#!EwNx1*KHvAnJZ18mOBP*}t-?GC#=s$eav8(l=p1aD* zhl98JShRRgaBZD{E4PF}mEX$+uITu^d(aCAQT7j9ZSR?1@d~%se96ITq@Bz)@}&ZN zKf=aOyXETRTpZa!AqYnnJHHK& zhmDdtm_jSeX0+`l!H?AsWl@*gBghB)F2Vt!PF5dAsjdBO9x8j-te2_`b}cu>C9JBy+7C_+>R-b z;tkc7%7+nhlQhkaumq?TW^~kK$SO=aln%-wN*1znH}W1NvGgtyH0|d2Szpj!FoDoW z5V1W;^$e0r6z>$ASY4iooLWBbB=NaT?~{@IKnWr#%C-PZj^VOl@wg+q5-o{-?s+b= zvvV^n=SBT*!$TNM&Scpy%2zzpfPj=P{(b6cLn?Twr4!^VdHo7Tt-moWO zn%)^3F6HlmW+V;wih|ae@SUNo+Fb(lP2QndrhY2@FgbcPWW2_m*ZmaagajZ2>T)V4 zhafo%Ta8M0)aB2nbzok*vM%YCun1nHDH787aM;Z)P#m5L2`N+8S!M+_zPR_%t}zzQ zi6n@vhfD{`!{4QCc_o@ZAeUfGX+=V9#!DZQz_Ob9hgQ;l{&Cdl-l$c~F`ogR2N-ph z3kBw- zoFZRnw<&5jZjV z>$BFynnWd35erq9mvim}sumB4k4wa?55>@VsmvEgh>^FhRRNxVxH z1;uc-#(};ABDPJ@FWxEg7DdCVB|Bs#o}FfYBfJ7HhWCklIIA(fJ56DiP@p}(#4iXc znQM_1MIc8$(20=HpGq!K7qk}-;%m(>z}YlW>?5? zxWziF4mX2SKT9o(T@3YnADpc(m%NcuD1ec?HVxVXMt5n4Vtc)JaOWFG>58+cp>>ou zU9^ZqVuMM`!<5Qgk&i2~*9Co^>ZeaVHKEI_i|Iz<(;3|`^p@fY73TBQ?l62){x#sE zXR50~YuSu4QLt};b6{Ag5>F+2e5xp$b|5_JMLU0wdh6FL97ElMlK=&ZLe;K}0~XK{3O*F*$vp9FrBgrYxw8T^Jv7QkF@aSqoHN znxAc<)rtwai%U=r_IGKJs<_1Go2iQb_CTZ8C$R1L3#?RDUA<_`pOsRlp2uH^&KgiZ z)2`}CFDa?f%gK^&sz)Rv$X4=kIcv^_eYJ>>_DH~&rJCq?u7Sk5y&hq9aWz>D0$|v<&0qW?f2}cQSs^>*!0wgPhRNgRhZy_$RjE`BBN|n&7^S9yx-&z zw})X(@Mle0kqNG$APGyERSOE49xvE(mLfJ`G7PKJbnMOLup8rg3gmKDNuTC?ELgT% z_yrCl9kpdl`gs&q(n*dV$2cWt)_=1si`ud>(x7UYN<8QBANB&%;*<&)kS zCFn1szre!W_4djSx1qPLG4lT4Gj4X|ecOyE6X-Q=Jk22RqBIBvWEN=cnvlXjoH?0N zVXaa4_!cUefkN-FhLCQT*X(1~Rf8|FgXb*$-^Q06XHHWra^2mbjag|Mv-Mn(h z4rUQ(NH*+ghKHO=t58(vQzwmR6nY?sFGJN%vqJ;w|C6G&DVMzKktiVBCU!M9&S?&0 zgm&aalfd1I9x`;rv-U~j81e?EAZ>umxTP(CIj5~Lknm#8k+#ojpRsZrX)$u#OZ0Y- zy$u)LJ%jJC1jBdSS=Of50@4-BmU_qD>JGNX`$1+DEl*Qj3Zic*WTbFrb-Ym|bn;5& z7cy`vxsw(n8CaD`mgSzN%%(DxoHjhPZuOHmbwDKS5_L{_fRY23K`vIg>t}A-I>?T` zM=;DT0teGYqo*!aG>^A+F3lM)2wDan3f{C^W5BKxkzw7^sA;&|_#}Vf+zezD53e4z zbaUJQze+2{)2=d8Blt)Y zxtGlg$MvS15b+F*=&~{#{u%)B3g=ZeR0*BbkpW4im^FY_&v%+RRyQ;|`Q~Wrgct5k zsj;2jgKzEusy1No*G=s6I4~i>ael6XcTt#nsQiITj>{j z5=ywK_&Zc_42{TT%Vl~M5RTP_bDmaECrnwY(Drq^MxEMC?`E@kfpe{Mlz`?_tKAd7?m_S65FQdo)F(%$GU{Ywod>%oH zg&HmrSg29{bnmK1G=`+)mnbRXMtZ6e!Km%Xv4+>_p`c)c<8+h3qHSuBY);+lHpVK{ ze!gN$U@NDPB5$>zb0d#*Q~=Xwx5S>-9 zKyr2$EabSlcJQ&gJ;BeNe@NmryP*wxTL;-4Y5XBcFle{Z`6WFoF(plbKR>s0FloCk zI%!WqP*7tuHz+TF4wjKQ9s*i`CWm#kfJAF~JFZIi-wDHW^c2)6@{>d4Xg!F?m%AU@ z*>APINm5_hvj(6K*Zal_#EpzAD4&k#3Z)vcfUNAnOB=nvVeU5Z&I#}i_l4VSa^-AK zx2Z0_jtyOU9UHz?pAhPvT(x?!8`#1je7@sXePY(=(~9$`XvIE6QnXL* ze)VW7Y^Kt+k+E1-KEsOVY;AMc7!Q}vRF z=stzyrf9;={eK+Cy`-*x{bigaoNROVqZpGDH5SWC`^BI7tH)b=OJY-@3l{X@SSfW; zz_*Zr8YMt?!INoMTMhV;A7$CwVm>A(M+-$E;H8UJLM0>n+kIB~!#lKX_=nrKDtm^J zshq5Ye@ah|&`?c=Ml+A`-j=ZwNCQ4D{KN9eAb}>~LXSa_x5CoXJI9k{#+csMJwDi> z#e9U*k>&W?-})&wE6C=G*XErmN{46d^tnvN<^~)TX+-vRiq7Iigp` zG%eSPdBKC#`z$r9&s!Kdy1i90Kkv|lFt=oozSPKYywpAq>_ymZzYS3w z^kbr^zMsh;urO^@z1{nriaUg}csz1?!N;3Et*XE!8$%GgvrZKJmW;qB#`{9sCXUu# zS{|k;gsX2YY&7FE2(0%i8+gsL89fru1ZJna1p1k=!NqlfC>H*vo^%0^-$HF_k#!8beN7_EY(Pa<=FD2>@N` zCTBupaw@pz`fvt&BD;ke^VPC3Ydemr$s1Lj1DN5f(3za=ip7<{4?8Cac9otN-UQNeL1>Vz9GvgIlVedKp zo(0!}lRREkbBNkrn*Cl5$I2h$8G-kGAe$QWIa^Kd`ycD>YRpgLXh=S^LoR~rAaG71 zPdZvSdtfo#7}q_brHz@>8AocCD?I2MSR#Z`J53uNc<<{hPfF_*8_t%z$0SuF5qq>` zR|GBiG^M#%os)e@MkOofL92x&6y-G#1?@q{IGTo#`syK&w5rbxopBE^RpnWon$_gx zbu|bUAJ@C7wMyhMh14*pn2k8QcEE0sK8m@TZNe^hrX9A!HUVoe5%+`Wko))eR)J;$ z+RV}!D{dSE>5h&dhP-ONSyW;QTT_Ed%P!vg8cWQb9a+yqqX*(_tm*#KAaBSs0rJ9-e5cV@g|EB_-J6~;WmMe4-SqY!j zewAXq;osEZeC!OPgkcKUy>fn}3dRv%pV&&Kq zhUZ?TLPnGV2^&&!U z_7wzOFA;VM%7Q=Q|8SwL>RqXSlB_oQ^c{Gupy@meLn;0h$SmT12Ja?O1Vwm6Y<9AE<*f+;IcC(p2 zQ^n_{5%Vw`BrCVJiG>~cB8T@@vi&&?^?->WkUbKTT6?34xs45?gBu<@c_%170)ok> zW^)WfwC08m2kBRbgn#B2q{fT{DUqDoS{Zt%y-`Vr^z^vqkW{wbDYdzwPxjBc5ucxT zJmcY=og-q(T;~T1>HR7Pt7NZSSI<%Z)FfT3C&w~qe~DkT}glI(BAL8x9Xn8?XZg6 z&FQj;&-3L%8~0wKcs}rJA9<7|x3?{EQ$qh`R~P7A5>@=(Xy@KSpz>*P7RHAyJ{YvB zdnzp5f$G}Qz(Px-+IvJbv10NlA$fjTa91^WH4#(cv_uLJroqJ8TRWz(aew8#8v%!i zX(u7&e2hoLYVdANQ)Y@-j}ol%g*tdR;~keE)l>xc751?&+B?l4El@v=l`-;h z^{rv`G>dwJ-DSMSCv4i@l)HP&OElIxNNby4x&b)kdZYOHQ)k#MHBA~YzgwF&`CX1A z6v?PIGrv6=(fW}mWFd%kMx2`(Lgbt*M;)6_EzTvFJ=U!OEDcuv@}$n_cD&xS)D&?Nut<*WY;Nc+B=ZJ;j6EJw-0G1);{44UBj#_B>69&;+YbmszQ^ zcBQQ_B>9!Ctta!(XJwNt&lE8(>TO@`cUG|##PNZG$yCvPHAu)Mo4!BiVrqJ9OIo$H zfN^KQ_uA&!yK|HB*(Bf7%Uj#Sd^mC=ouegmkkql5Q4 znbtl+JQghgO58zYuHx61ffr$~a~DkAD9K>paLqcfLg^jvbDAyCTjA=IAyVNw8{iM9 zSUw`H%Mb5YImEI51N=0YUt?eh;3?;G(wbvnuW#$MRnL0fg-1MA_~5Eg$ifQDpeBo8 zeE)D&)#VWyNKgbPPCS~l-n)!gYgdqSDb&3W12;xu5mY`GQX>FZ3_;PLGCO#H8V1oS zbM~oD((_4}rn*FmYc}ANII5T$G&`VnV?J3*N=B)9J5GNXs1FHdkYtju)AIXHJ=5Jj z`&lzg?=7}yc-AOc{OnpgHvoQnKNy1jj}Bptwt;v=r@TEg_lY&kr$F|zGk8yS80c#62j^qJj3UKgiOaxPw~fP zk!g?X?voBu$x9RE}7(x>l^6P%QR?-UIOBUUp#7dHkw(!4XU0G4lO; z-&gRFf$DcWc3F?e6oG;HZwat{) zbm+BKA2Lt(h-Q-;Z58jr)pd~H63sP1eDS0K5jnm2>gN^-G_@ZIN-Q!DgEEzUX0ldp znVN%KM&8j5tKVq|biE-^*$mPh%0vOXF%XnGW0?Yeb(dwr?bVyOcZ!JrbcvapoV>~vT2uLPzlFJ}y{npk*Bzl-S?&Z^imAn`#qbRn*vkS4MWgdeDP4&wXH@2B`~af!6&vJ zl_*W-=|)*+;T2fsxHipI3gj`RkA*8=jNQyl_6SfLq9r2{yqv?dMH>iFI1^iPsDhmh z)^1fbFt4z6XIA&U^a#XiU$*l0PE8)9ZfY$C)TZjw4St0ta9ITkcE!N|YHSkkEg>t* zgSa`2u`_0A>r^XY)I#6fv!p)s?T{!T@R%TT^F#?%tR&wmM+9%<#r3R4@yDo{rp+mS zB#~9@+U8dtcZZ$K|9&9uZH=?=qGj#9N?Y_0B0JhIH)6nk|8w40<9GGD7pfnobJ{PN zhRkel&DzD{&0osp3+b!WcQ5%Al|Gf1UnRE}2vePhES{>QSru&!1WaP>ACe9jM2`Ko zWQpk_0er~peez&}U-|DQwD?T!ZkhZ} zknUXN4ixzC+kV{Xs!DcR>W>_i(XM5Gos4Pk1VHGK=n!8hEIKjfeW(;vhC@ITPon{J z-7eC?QK<6W_TI9#C#9uk$~!5jl4&1z0b?rgho&;Co`kvUgJ&SEDDm_T^G;1W>_n}C z^5bZu)B_fSrhB9KI^Vp|1WBv-0Z6ZDq*;KTmjrutqzf~6VI`-rHMes57H>Y zG+BIV8k*hm_m9heNLCpe^&x*7 z_Cx43@aVjX2+i@JWhJWjjJ^N5b`V!gv|&XEEceD`sOoBo6IWbQ3{Q~r6Bdavk@`NZ zTU8uuy6zXUXRT)C^nH%)S5G;Lcl)6o=@r{4KKNnQd)kARYiBxD*0?GBqeiN;?2HC* z{epZ{-IaTo8Pm}r@H{9SB}%X2tz8!;&$;CUWr<1vzuEUdCNn|B#I>WWz7sB;?Bk*jVf&Bc?U4*H`$&Edv~U1|E|B zLdp3H)8G9j4M$tQ^jDXN$s=a>5`R4Y8uB|X zz897tU-QM`GEs1~+-1&TYnD$}ZglqLA*1sCQ3;q~xlfeqd{ZI7r z$h`XFh%V84nraVsYcY%suDQ6**{MWjrh7mL{B?2hkNj$A>*96JI`)&4%wWA+#l&)>L{Z8VOnG$BwlZ zF7FKh&bya%r(r3ceZP9w27rO4esT@?k9C6|&3N*U{>b#CCn}kr31v~v7zG>|C0Yrl z#swa!Y~Fp9n|i3Zy=K1M2aU-__5R)(7=w+s+D<&EQt$D1gb#>@*K36dtp%4X(LsWE zV%N*xwoMh*+QBNLH?$vOhBfz?Z?%xl+BKOT4l4aaGKzwIKT+3eQDA~>Rl{YCa|CQO z0sKgGH_3J2_$C-EA^Tbbj_xP$aQpUwfKT>?A#x(6s{v$pc2vsla+?Z%$1g0`9#P{; zle@kGkj8Z3&%KM#Yu~SP%7UmGh2Y3rzL}VNi?S~i4Uw4Z*>Av`jg0{ibn5@2?dU*`Q0bKKxbCcAanSTQy#KI*AW@L6?+w8;;t3D5TheR z6=4Wa#i>NL(z&&*4^G1fqa~BKLYVC6jWrX9%9)j(xVPCo=6oLwCBqpDB*N#%WVn-Y zH~nfa6``g0ltK7QA-oWwkBPReG>Al@*WFVH2T8>=tuH3VW@d^jFJ7gbtJ*iRP%l{A z#dL;ggk9Mm{e_6{$*&y9C!Fc+i##{=L;bO4BZx=DPW}|dp3gdoWP{Sc5Xh|z9!N?q zk)TUg4xOg(yV3;En%>k4csEVTd8?~WnP~#_X zYi1iWDKO$>JO(Br}GSzr$TA!wmT;Q{E3 zwze^D<-4#@#J_dfmq9j{2KU z^i6dzCyz7i4sv;#6;usOdbI?J0_BOJ_E%9a|AS5%slQKx&#Ge*4$Q{5Spg zg*m9|-Ckev=Q|?GjYA+p=Tr+##|I!O{s1WSnt-_DKswZ%^c5sXZEyg(nfhfDH1QrU z@T41gV%#BwDLVekC4(3OuyHaF(eRMu<&ZCG)#?We|E?)hW&_-NkqKa4}G&q))h&K8Vcq1rk2AQzlMP-c|11 zBmC`rfB-(=L-=?WssS3M^pFatd~4sx+pZ3NRCDkVNqbmrYR6u$n|RofUV_Ym zu=(8~xHsj2kKiDTV?FhV%I;Vd0l8Uy4+{BNeSIxJ$ki3hENlU-18sCg5!};k<0O*L zaK@SG4G-Nw*ifl4!2|$O}-B z@WO1>=k&@clcInxP&S1F(e_-Z;>A}cD&;+z#4<<%Z^L!LF$`P>Ibv1jMVH3!?D^Ji zasZ)BhqRuxUjnRFwyZk}!cr|zNeV%>S~2LY2|7jCXc>FX<*E`3b1l;naFy!Cdk(mw z8lZvR!~G8II%wG1Spm$1MjSN|SZ+CJA!2yDstE7zUeKSa8?lFaloGz$1o>5gi*kvs zlz-`lguGmHRp*_~aRLFQ(t3bmJ3!lu^7?)i^<*xKLlhXDM;p!OWq^N_r+pmlA(8x?OXCXkhOFy} zDXeEmZ|< zk3{X;JMOJx=gR2BNwu^Vt(A!_6FCD;DyyYZyAdY}aFAN+fep*^)YuAn&g$9szDaHtN ztF=HMbYLzz7+^ZIA2mMg#_ZhFuyK0gzUKPdnrjAX%eiWC_Y>h9{!erGTES~)Jx6!$ zB{MiV#T^ugqtHdy4$yIAl>)=gXd^;F2#Aq(cl|i}R_Av(_<`W4u9o|Ji7kICNN^{& z=n~he3<G{ zRpgC$qt!G_BWbrGtsG&iNVh{xh49Q%FlU`ZFeZEnqTxj(YuaPFlP2@UWLDCA%4)sp zN@krO_nIKX4k!gmI(;Y z)N-lUsJ=AFhv>Zxfg;nn&P~E@y=)3}wFhks0J{r_cm@f)noW&)U_I?vH6VUT1x5h6 zB7hG0wd(;0Zt4X-&@2i!wLR4I@qkSsGGvCKq!26th%+q^tb%FryE9>o$ewOpFo~eB z3|t40DHy<*#eT&(FoX&T><+)+2)`PL1~}OH6A(YwLu_iQ-^~FwNVkaL=9oIc)oBm+ z2un-i!%qclw0w1)0}sxAS-C-)0ow7O=JeC zefV?1+XZ*F{GRpC&(zZXJ|zekRg?C&xPxF@l|C9TB~V2t2w0g2$1!r`!Y;J@d2{ z#?~h_+E>Tie@1M6)aC8HS9q@X*>Lv21s*&vC!%J}S9iJFUa0eU+;yJNJLQ>D%hW8M zd*b~eAnUu&O&$Bl3jEt!4~SO^2l}u%lB5&JFLHa#a1-%hyAYCJ0XUu9C_{5tvF7%2 z+8}i5?I`tgPBaDCbFBdorP<330g!1_^w@>zkO=Sq#5R)6c{HI&c>ps20b)s!8hP2?pd6=&-7Z^Or}Ql24PdhDKfmMo1qKIxgL?pj zFJp7gxd%9ap7>M&ak3?3)V2oM8^H&}GR9w2dyRieMXAi}D^HD~od}&qQ$Ee|ndUGx zV1sh~PH`%*wdL*4R`&8o9ug{qXk0IQN&Wp?lCue8Nbc0F$VWfbX1uL**H5D@7-u>m4YK|qQypn#MRYLFTc=>!x=Afb2ZB_yQ9etRF}&|GMG#|`qytAk?zHnFFEP2>r| zDdU&4gxnJTB-9(NDcJbc&ba=CSszQIe&;)TivJ2RHIg2?JnlUGGOTp`3PXqWT#EX9 zkg?gF0e|x-y!L$;8){>@VR%oqN{%b_BK~Tpre>Hlu1b6%3D4j>swnSPQ2UgIluA2T z^M=D&;S)e#h5Yfo&COc8Zo)QRv7DK|_=Zg&1JBpgZ&T}Jb*XteA{O~J+LZNFeCA_| zNhYnytPPicK34M+IKIMJLb87c`vJu{Jg0=>(T573N;?=fe)TW^_CbJoFJ<{zK@Nam zS>y^D#}M8C_9e#&;3@Z8#i*nMX&jvQCgN`9a74&kAL@(kTSJkv8Ha>T8bs%UNPImAB-JNkm z5}jm2M>Zlr=UxYR4li6QU?ADwliWfMFf&Z5G@qw35eHPU5K7OC*njNC8t8RcFwNg| z)mJ@uPxl5@w_@jv()G8FvH7^;bfG_wb*q0x8Sm5d8uOwLa*oq_+FSERZIWmyg7Py7 zg7VZJ!ArweJNur}e+t!AtK9VYTM|`VgOrJ_PagQCxZ+kHm2zd?x_WR{3QVV z_zDTxiavtt+y8jnh`EY>NisXrr28dG0k-Y3V?eiDgwhW&=8yZ`)>!M8Ao|w-U$+y! z%Uo&{$9_$6Ay`mHB4-zm>Az=$+pH2Ad6>yZ|C2}mWaizyyfn`FeflCWqb2lv@AS_S z`Nj?^A7No>Vr7|Q18{C;TmG38c8v;cQD0v&|Gss7k6X-_Poh@esK$rlnXhpuziQTtM7^tNe{rSZ6yOu^T0 z=ON&A{TSc`Rtqum!t7xiAAZdM{SH<2J#OpDU#4|Iv!&9N9B+0t?(tDOs$lC`a=SY1 z6kMDqE!MBby#$p>YX$&t1r|&IT$mWTfy7Km{eywITLoeVxhjlon|MAzZ)APHd`w?jn%)3)HZDP}@(=ifS7%M{*hDyf%rdD9RC$ z?nr4nu4;j<-bdr=M%=TASo!!>S%x_z&`{)ZlEeY-|BCV9>xW$EeM6A&MR39pP z-{_>k$VmjI3bPy-+qZXRx#s$ZU%w~CW){oVXeA~C$d?e$>6spF(&{;)caD21InHa% z^^@D$BT)Jk8>x@Qto}D_c(Cm?^XFR|B5(dtk}2qykFxvYMMWiUxp1e+uH(C9WQz6J z1U^48XJw)Z4nah%MZzjB+W8M~DPXpPU*$aCF+@LqcW7ziaD$59lKW$Z-byP}%ZGEo z;kNW00!znvYx`V(UqPH+#R#Guu$BP)z?ML7g8~UHt7Ycv=Id+{tlTSZcXxVmFlPD+ zt2l?^Fpoeqj7lw}W2y>wJ(2-V@<`jmr_u1C<{0^yDWYQ>vOi}xBWMRiYZho)aJM<0 z~_>~r;#b!#xnsVup#HSboy`Z&p+T0$DW;&1QIK= zI*w?q6{_xD$e-ZM=lIs(;Jlx3G*8b8|pyV6xbC+J?x0J*MIbqb-(7S zwUtDfSCJp_ZWL0*^pv_yHV;4{!huswCX5? zeYz27pgrWhpz9KWLxu7JVRqF50KzKYm0aD-`o`ok@2-txP1f3vzJZ^DdwFeqUEcH= z_4eKO`^Bh^mb@HDKXu+HBOH9}D_Ll?kKS(a1i5#gAwnVE_QCCfnb@IN?65ZjS^Bh! z17M-XEeZ5nV>|h3MPGL|IPQ@ir)QsXwf4C$Nki-s!Bf&{H;-mnu@2WNDHfhmsU=1c^L`Kx6TL5 z8f%}KnWm?{H`qIQW>>dk)}Z>Ya;uqlnQ~Qc&PrLgu=?}i1nq0;qKLaTtLja1?DKWU=UM_l)~DrW@)X(Yh7%UgrBk_ zGZ4aYHJx!(t;mNw7u8_w$AcI{61J!>uYN5`uaXI*Vc$V9gJ*o$KUw-&?fg3AFyn}# z#{S;ZzGQu9@w^A4tri3J=BR=Ype7?D{_1y&0ahinaR+UU$&II}^2% zWi!ktg*h{Gv?>r$u*hdc*G$h{j9Zps*Bi(eGCmR+xe#kpkU1`X16!@JOHfW|y_8MJ zp^npgqtHXAhL!u`n@{ar2gv%QNA<&mp!PxJPiw{wR!u-@0-LKzBOWnpNU4drD+2O| zcRw1RL@#_d`0m|ut}kM@y9w7<|GJ@At2ltX)-XCZSQi!;fIb(~p9<;eE6;TNIN*Jn zAuO!5T7YkkE+rAd=eFTE=&Jr_e9rEI^70n$%0956Z&_>Kp+)rrIBuQT1bKh+;jb+` zx61qm(_NKn#8mr_8T(7J=5#yjVDVYnafn|Cf#!STje3%JsEyoh_M2sP z zaW4<8d!#Y(w}4!$VR)+XsSU*eq)x2T&NrECr&n?W{{Uh99~X^@`CGfZNAKVu<}+-` z`{|3PnQMT+IyDtQdqqwf#4mNmjfpCdO1}+9G#&xJnA3gyheBlntEq%-bQw#6jhR@$M@Ui$ zoiCPUlc52Sa6ez0frqD5_gV*9_eDy2*GJ3KFdptTGfSflsdx=Ev|fGIac4EstHu8Z z)F0|}sByvan1gvnNvUSZk-351+gq|TzS=L-=q0W-O8{I!iGCR1~{cRqZqHH zL4ZT6&y-r?=7(R3eSB|5Z&kc$?D1SK-x4K`Yo4lQ!VU5uPVTT+`uXtdDL~}_YeP@C z`OTXX#^3(oQ))yE{sIcW#b{G;^saiNAh;JJ%qR*0RH)(L7f?5#{dg^gf7NXh-w*D2 zOan_L-| zIO78c11?*0?Qi-)TPN@s#NN)bjM3lCxr;*oc!7!U_e;0hbQ3jcyHH__J=q0ai;({? zi{<|&!vEgA;zGqSdYRCe!O-x3+qK+E(*GAW^#6NL^`zGg#_pB7X6DRyRaX8UEFeT) zm_zc#VB!@1f8g?ds4sYM`61_QN#g(Ky7p*|FRl%5lQe^vz@tz~a_#Yy&v{|iyRk_( z;|s>UQ3g!*F_ULz!F|!QKa?o-)iKnfE3zr*hH%wL-$39y(~})$Bu#uG`E0IVhX*(q ze<_M%U=W71eDu{x{;{GsU%lZDWLsv^{SzqVY$>14+XQ{?JB!1tdw?yi03$|Gl>b_) z9<3Re0+p>$y0|x^Z!Dil4(afLjbsWUjd^wRxbAI^ARhryMEb>sRCdJ8}aek?a$3RUN5m_wv26hpYXDKb*cTt;M-YJEoVE1W=QUQ|t;OpYQL)OmX2?CmM4}o7L&INZ{X; zaE0Kj3xxthZv8F_-QV%l-_plHN1t^1wUX?STPuJ8v7&wdF?R~;p9U{0bQXN4W&Yk6 z4U;{4m%mW|zv62P|NmbTYR^<%imO%E+Ge${|9WBjSsDDC0U79xwEJF@|2@{{2kLk4 zvwr*4aQLt6&QC7-eam^8W~&4bGjd#i8L>KPUc{BV`R@OOdu>wu^aa>pgG~^>kvt@v zTGClLu@c0Fo^;?_>qL9^T88^k2Swo|%}d0XOSg9%3B-<17qpU-ch1d7&v*uz{O^W& zK^RS)4VPag$e`x~ieWSs$i?+W889)R%-*Yw1-wv#UK?taAGe~3oFheDAh)V?HGOB1qW^igfN^H8>B9WR_D=;v_XqAMi}W3ZQ6WEmQs9cV0J z{jJy`?YEvE%TE6}im*RG>#KFs%_&}7K_AvE`y8DG_V5Jyf?krED;+AD1FT8HIB$X{ z?xx(6%D6!Kpvep;U!5c& zVC!5?NEQ8{qpFaKI`XARp%(gOaue94&IP&p5>mNT#NO*$$LOPA=1%W$#@KW-qT@qX)vN326Ew$a=b_LZ|ez`~csfv)D+*n?{-Ou?WY({#VJl zeg@~rx+wQ)O~DS^aB7k5zGHJjTF?MSjA6qLft&qk9N=sb-j0pA!3^wNCc~Q6Ma^8B z9D5g@v4qk43UWM$U2^=0AkRhrKt9Tjml4Z;BZon&OHGOHpMb)WxD-?|_iA^G<0`aPSdVk_r zEx(yhrGy`onMa0p1z6s1Mq~7H5`3_VPMK?6ZAdp;ET4iKjE)H=xy)DkfSi^U(qU_O z1Nqf*1JJ@wBn3atLn#hl@28icmm|aJf^-#|CR6H4fyVRHu@=#$`rb}?L4TeJJ7Uxo zO@vWxNQ}iDz68|1B5MvttY)KtDAexo4_45~!07vYlWw0`^g^gX<%AY|*GFFXYFj7u z6{K{DzRtqlJsH`eK!Ydvy+nZT*6h1fxYUrv2gezK?L?k5#%4N~{mipP#DSW%Dw@g$ zh!O?yndGe`^uFxygT+Mvp3DSw9!gBXm9sMIt4@ZD#GK!oe!%}H!#XY1C?B$CLL10Vt9qeE2Pb9&2)&g~>Zgs`fI0)ij#TPe?ui;psh6t{JXW3+!*@tP}Kp& zWgCJ#@=b0PfMPlDB|$d7!QgNOau!*-{tQqFtN6nN`w9ztwtOprelmDs5hq17y{2ly-TYfg*M&0ws;`W)otW zxM|_uvpyYh;TOJYsULT8a%=tgzbL67NvwOKw-$8P0{;r<`{7$TpC7i6-(UZtnSWC+ zdYKOB=`us|HC$X?_4JYMAo9@=#kOsX>s?`5rr=*R%MF3z1iHj77AOtw%>Vu5KIYA8 zP=fbQU+8*W#{rKne5f#5G^Poru58$2!I7lUTw}!aPotOfi^eSL!o0F>;kkhr(JuE0 zhQV0*!09>{^I0!&g`}UqEXU8%2rZF9P4trsgAWw+uyG8foA=K5gB@lK%rQK*v#GD% zn0Tt|2E&R1dd~!MiDn{lk5(NTNjdN@|E5)*wjaCsMJWG>vy;l8D=}C8cm!?lm&t|K^YXK?3R*#V-Yq+H||U#3vA#g*0oOEGhUfH`qbMK-l}YBhQj&=KSuMzz(Os@8S2qqknklQuoLEEc;tcUzy5oE@Un)ZL$|!Oui0jY;l9F5tz@w6bwIMt6hbj;4?+lL z%~zF6<|@Z5B16k!WuJ}Rd}W7WH}fW?cJiWE;^IXs$5v_#`dvTi$(VU!vqZ`lqu5PX z8(EN^HCJQId=^wiNXcW1F{a*_t1;!%4`NI`Xf+0A^ASxVWZ2n225-8jFpK3+ys~&+ z1kXe$Y^(ljA^`oZ*JlNk*9m4ZE5_VTKFgA-bG)gKmHh>N@T2!dC?@k-OhEl-irvihlj@z-dAB+5`Aj+5WM(%AJ}P&~ zqs9U5wHnk4rlA_F94qK;#gx@m8TkAIRV4Og4A^Y}i}o8t@Rcq&B&{H1V3d_253uWO z7JTM?Od`@Ik9Dh{%4>ZAD}Il5b_M#i{@kn-SO<=V9g@ zBAg@D@7#1QX{{sOzN@Gc?9*xH(d$&l69e|1U<{(>sKFy+V)rElb+5*EGv75pY-Ch6S0cx1XN`xoK zgAw||#QXy5^e&A;q+|APd$f6}LG67vJJ@|Rl1^V6@jk``WifTfwg9z%;B?Z3xFYMO zW)7ANDL7C{i}Db(@{kR_QVsMGKLuVc?JOUY67`!=mD{lBc14>#K9H<+A=W z(ZGywL(a(0zuUpLTCX%)I+Y_s?F)qXPV9>@r9}w$d(!0S)9QS%mDzX@DPM+)cdQ`Y z??h9=MSX^o`h6SB(0TMlNa^P`mLlY<(??=7lfrh6y)ptyo0n!EOS)jD7Y#i+@|Jh9 z?P^wU!2w1-=XT~W&fg;Z#=1qFj%U;z%$Djt5#9-GgkZQ}q2oQC!=aA8!`zw)7XYez z7_L7N&Uw4oC@fm~`M3Dh2P`7F+tFT~K(Tvvh)>gQ-qLk8v}CN>ESgShh0}<9^p!f$ zYJ+BScBcMD}Rx$8(}<<21|X**0qCY$Z@^C#Fy zl20O{wX^-1?Ef*u#~J!nN22$Yfv?Uy3kR}|#c=ab`Ei_yqhFIr-(qQJMN2@hFA;+y zLeXTXrr)FveFjY}=DJH!NTN0c@=`V7$%a zeZ34mRfMbf=mvA$$ri;X7>(*=u50H9?T!@aTn6`5C>F&-c zofLwimr_M5=HIh0m=Q2d*7>Nf;IFrj>CKhW^XhngI%q!Ad7|*qCiucT#lU6QSg(iQ z{76HjN3UDwT<=25l%p@Urt{@;W2C48Z323S);=`{Ut02jt<22PKFdWaX`-hs$077j z#6&t7vKp$IG}X>?Ij=PH+8W31BW~JJ(TW)z-r0)b71JY=D)S`u^!K^Q=N^k=6vw^EvQz@!pD-GzphERfrQlCbRX^@=2)<%%S_ zCVYu~ZmoX2e`y`^mh>{odmX5Vw}Wrx-8nRImL1~Ud)442e9!?+CTRAq4Ed1Z!?Tb$ z-q|-Mm7a5g^vOg` z8g{OiSaNvTck~?JTBG`$?|hgKeG+iBX;XLTD=ebrGbS4eM}Iku)G89 zO08=_&jMluUwLjoAB;lN$Pn+gQIJQ~sllwI@Hg&a9V{8(!$sqkQ%n4paB%{6a5Wk^ zIX^@C4F`YCI{R~81eh0o_FUbgLZ1XgT|)=T*Gp;56wKJoFb}zgnuf5DgZ=aRg@!;@ zpQ;a8$%fEB*a<#;9@bI+dT=P=e(H52@#x>zW%T46Z#jp!3t+E5YY=NwBd{buovmlo zxN;g|jbQel0yX3uXLw!nC|!p@l_df;5-()LWwrSu1E9{kqEq<2)c=~aDdhS(Al}RY zU6D$38B8^xuEnE23kM~6Hzd((gOO~APS}zqd8b9SCGOM*8AR{Bo$LP}R#M0-5D zcX;^DFExZGRnFqr5_NiLzJsIVp!F!U>tIyuz}tEfD|k@^C!;r^?w^wc~aeUUz3V&Xu*a%&?*ZVP_|V!u!KY`kqakd3}cD zeq1&I#SpslI2&qL>eiZU!+0*fWZu3o^5oY%B6xf)1t#&vj?>axa&96UVhLM zmyO^lJC**j*ggDdgGl4+pp3}3DgsK{wM(}x0M@%AQ1&6DCIGk(-x}5%ohY2!%YQ3B z`I+)z9YLokHF9uJ#9J;RZuX!!{=ng*Qr<&CvC}ubav!~B@NvDgjq{fE2{>@&xoP;c z#k6;5;l;5$U?X;*b44xDV?h{l7t5UpubD!^rpEi`X`<-Gut3;wK=>?#Qd`gpqD>R; ztQ8U2M9Np3L(2yiiHTlR(M!Z=LG-{3dXd|a8m{SuZM`&+pCmWwKX$4hGE2B>)9~f+ zL*ZZfW#a3=zO|Pqzpix@*T8msw0n+61Vw#0vYUnBeQMYB6| z*aa2>p;n44og;;3_lWJ7DKGMQq=rh3mBiuROUWjVs!ZgcG!#-}Fw=?14EN1@b*H5=GWY{co3KMc;iVmfilQ)bxir+>~<=jr?u*(Nqvm=5qRwR(AQRQ+XIWS0~5A(?A+nO2In!F1mu(^NWp`V#xjMf_8NbY@TE4vVzl zPn%7gC}#9B_o2hB2&l8P`@n){RGWKW{Rqd5nzMS#nY7fGfZ~aIaV)0+AJV`bd;HZ) zK)=TxuNHr0IoHrFV*T#~=Yl(c;xgN_xsm+a6FyIJNc4)W#|I;83yr0+2SExMFBBCo z>9|jeu{GW_4J9Z9JeQUfZ>W)tmkeE)AGYh56}u&lZ8_OFVt>|Pq00xD^)DYJv=x4^ z|6_(%QJ}y=DEnYRm6U8>Js<(Bv1&yUvf{-7YSMqkP6s&)Mp*MQFYqPh1 zY4r4-F5$(0XY)8sAD1gBJ><(gf6Qhl)0BAk)HeA1*SG{wve{W7KR9nnuY-3L;3{s* zedt=5fSm#Uxxn0Q8)jxD)jE4wN1~)yZGR@tqOsyC&e}Y@ET$8pjoQ0Naac`uv$)(6YpG{tC2Ci zOH&oCtWN^`z6%U3{$q{sBf`96m}WY$Wgl*S}o3-0L;|vbZ0%F4wmZ z)=$Co`+f=rk7#;$u6Os--tAzc%+AqfqYS`aGl1vkN5bM(^Mgg!J`$7YT_*jMhd!YB zYLAMEK*x2&TraH~aFyk^>N=>=_zT2P0>WbuuuNS zy7VvhEPJpQz(2oia(;^j${)K6GT0WS9jgiY*ie;-YYOwOReEii@Io?)W5e9Po-OEU zX1@_%)T64^{G~;Vgyxity`1wMCv`%QSYYO-tweqBZk=Z7*t8~ODPhSpfiv zwJ8zD0Esxx&6EgcPV+xopmXH>EK%QKsEA)RHyBP7MtavdQVBD~^6NZET9gcW$Ou_J z>E-yA9Mcb@>g1GBO!lxmPKz(p`3MyB7jT^$GgDmf*y%S?1{o}p@7H|&PCJU(UgG^aNpCJl zKW4fu%yS_BS?bHXvmAXFAkbAA)le(F$f5`ADNADl#ot$EOU z+4m=TwI4-KDvFjZX7>BGnt&)#)%2y$h&i8?Ap`hQL=0}$uX3WC9kkRIjP@9=>93f` z=S!qTzLoc;Ir`4jplK8A^24K|zF|92Rsy?yW>V7KYV*?wHw|S)@UP$QDJ=z@e&yZ8 zo5PE#LhZpnZan#+#d8FkYb@T@fj`jdJN;QL6Ts7UY|Nvgg+i68xgs;NxskcCp-rXQ zCSL&NF7zH`xEJhKT@p^M5r(aNECTrsM4(qG!0VXZpp|K1_{w+;jK~k7BS06|Rp-`8 z@gi`24i9{kLB(2&Oyyj1_bhdCs((4P`_dv7*t4~1Et|$!>|b6awywW|(4){WtX{t- zxfM=gr(+Bf7k@UXq&ye&onVKNpFu@dsRfC5F~NzBK!G6ToW*$eOf&AZi?&9~_N*ux zVIZ1jqsma#_S~u(5wR&|?*62eTgpeRAhrS$g;V(1qmjo@o9qgdz%Tz<8VEB15qHK+ zwtG+A00R!w7OTE*1}h30{{$)<+|5?GBnMyW;qxOCMEh4Cf#B=yXnLI}g>|ePr+JARl(FWo*?jK{Csyvbk_*v^NuuKv^v??&)k~h;yCHMRop!AunHTC_`{!*8lK+GEgP3<42!xH( zTt~cbv0HXRUt`69NC|Emy0)y>m&#H}&X|JD<70e@eGp2OjfmF@4}EO}3STILuS|=+ zTSbH0jYQatuz}fP`Z|a%hhEV!Nc0HXm-`OY@)}m`u#yt+aa19>!~iL!xu0B- z(WkWwd%ItRrw2#32Lj1Cj}SUX=M;GKV2!+1C4Kz1&K-BG%WgAM0{1cpdGADC;-8D1 zzcTedvli>7Ke2Urx+4QwrYK!`Qurm0iDeCi;k;#9up?~b z45XZzZD2-elAf+9rr@XgmQ!Ua=}n@3L>mBNV8&kl+Fi+Ia+0OR2hj9AKqQQsp0wPQ z)>b@5FPyy~Cc6C&OJUug)H5A(+>lW@X;D;rT*798a0@14dBZtfRt%!Hi6#J1Y%|a3 zeR9igAb&dVfcpSR|eKA;MUJ!-CmEUJX zbN+F`EiaeakerP(BmN?EQ#@<{S}t&0r7L(2^

k(_HC^hp&mk*CBpn9Gv_v3+}ww z2%nGTrlo`Y8sNl6mc&^__Nt$%ff@%`t9uJu;$V!0(F^)@Xf87lNPF39yw5&G@ zi-1onUCUL=)unK;;NmBapx4YO?LAv{6U{9-)s?n6W&e0Jb(3m*?6**{F;bTLD%$%oy!~-1~s$SvW%DN zc}+FadSjpN-en>FH;DsQMJMzSoGh=OGg9?6wf||Tu+U=prH_iz)+ghYfQ=T=Pp=O-v-6*qkMO46kFMPN}!hWAZl!H_? zY9gn2*(ve0Q8llU_Mox@W|z4Ee#$SSXiNeQYc^rDhWms5j_(NF&3wjCb3UJNfT2Pd zgGz?^*fW$!1lZFq+!wI3L-PV4!{<8U)+e62l?!{CIw9~}PMzKBZ=V_$B+fj86^3xK znP=6+P$IOTyBH6?GXk0)M(?-33$U({1Cw=CfYW8Q&ziP}gJpY3WB|pyEBEfgDfG#XIDlF16>+b!QC5&UxQ~CK3twEs-n;yWeAl9RB~ZX8 zU0mM2Eswtuu_Y2-UeofdRz(}}`8 zF6-;7^NO(E=VR|EQWwTUJXT~q@Hj|x^%MX?0mhVVw$8U=%k%3K`o-L;JSG7=Vu_j9*e)!s7qm;3Qt7e}l> z=M)3uuwXutl+_w9#j-}?aP=^9|p*HdeRk;H!O z1&a{@F8h7^`bz6K#b13le**g7b_B{({jNCVO0I>vg`qn<9_}})D|=99eIIV?)* z{d1FH@jb_Es=W-V^xen^hwrJ-)dMoz+jkYgdBI{x6{h?~Y6s9hw3D{Gvh%0CeMRRO zDE)byLd#Rm)DA}WM7JeF7Gosy8i{|3aiZ{y5W4F6BZ9f@68F?`c*fi=p8PP6o#Bio z`E%3TY!FH|{RAASI-@WkkuV9&K92WSTGbVx!OVYZP#-w!&eA4jsHXm>gk8`P=}Z6I ztd5xmBV7PpYvMiWil;5atljx|!bCB!e7cU-{>f7%5C5uYCWBKWb*-2RNTyZY^@Tx3 zV=3P^277hn*9FLK=?j2`mMwkjPh3K8(v1a+TiZ1NE~_$G?s9v9ANgz^r41bU)8QD- z)SPV}G~8rKHip$eoO^?ThT=yCHKru94fHw7RR~=wKVFQ-1alzVl15^2r&0Bc=1rPq|zf~a1Qfwf1 z9&2gYi-!L&)!%4-ZT5O$S%x&;{o*a2xxKs$WqW%Dk1D#>189_%NJ(hd@C!_Pc(mB? zcKf202*@Ej3^3exgiy+E7^U<6zA#|L%i1@%9v8@GQy=o3){X1R5J?AY{}%ukOLR=6 z5pH!)JVhicg2}_I>D9apzRh(gotp7W5VXbRD!tMSyS(tKzH|F@;m~qxDu4q78p`g~ zrI&WDY)I~)u}kz5c7B;p<{KQWkIPdp|E3?656fXkJAi;75n5j3eycSsUA5OBY?s`v zRHvOx4WHoEopT;F#Z>Q?&QV+}9}QLCezLF)6$f5y`W?XuwAb}BEsOq(w4v3{SSA~} z68Q;1G5>TG7fGA6|*J18XG-lYtijR7I&eRsnp(La9>@^o=$Zj(EK1hWOJeaR33NU1XwVJGXNZq zqJ8en5O>9?UPf|_1o?LNmgOdeRTY)ie_ApgklmX%?SL^bt}I{~Yz2!)(NPPZ*vz@8 zQCb^|Q_4wI6t2e_&)uBMwh^h>mMa8?*1jj{UfEnMjoHDvOj-bsc{d5HGpAQ>?g7Z0 z8)CA#5Q%}WfVB{^&A%|4+Gaj_1+n@dbzyRVJpYyjTyA;tC#te} zTT%I}^p!VKd?sATNC&p?vICQU_O^)72shcd2V^z0pm)yJ>OeZ;&PiAv2Oz-8VXIsb0P-*hd z8++%|xm7~9kLQl9$2r`4(u7*0ub}BoK&f_TiK4M{;(7=??`{WM#A+9^oZQtEaj6dI|1Ns;B)@r z1*EpakilL~>3rm4$`irNTKV(#*eLK$>Ny7ySO z*5*6A8`;}V@TX2^PGm@#pl=tML8TXrB!FZ8>YhpJ`5Y#7S7ukphD#iebGWd>s;xcl zdh3HcG4OTXr@tO@-s@uxg7q2H9~ zE6B=GM5#Vvm0Pf7F=a8RpylGT>^C>eLQ6+wv+Gom**XRfeWyfVvI3N#_rD{ImPJkY z``krd|KkwtkA^W)$i`fl z>$R}zT^_!dk4xz5UU?$8reg0(jMJ(rJG3z?=9(F4Jw=jJD$3_XJ81T#g{uf7z4F60 zUb3xR6;=w!k)!2Vl9~woXCnnWrsPeq?!=P?CW`~sWJa6<6p2x1&O|2S{*YI)u)IVW zWTPjEtoj&FQv#_T@Mi$QgeIkpo!^uydGitZBUb%9#jdO4QjJgFYt}mgEb3eu)?X?<^ zkGF8-acI!8RC>`^p%S5J?Cv@IXjPjzR_D9dRWp+7V_Tjr(v-qta4qK%v_vPO=aq67h8b^N1V z_mel;9HibAJk|0q%=$IH<$!JGUrdpulC%Iq3OLL{s_v8yRDa<@&s9GCC*ASwjvr4W z3nn89qC?edT_I2QZSbA$vQ!|urk7FyF96*$E>aRbQT(LdZV3bw`^-!n>aB(actm`h z!z3;rfge_0Ih=~yvoVTyZ5f}(g-ZZs`4lO|o|?(#f# zDD$Z`OTM(C<)KfyU#4g}Id=Nf!~tI`mB!cUQlGklLxYN}Iq80wu@6R!qA#T9IVWRc zrP}j}MmAmvzZuQwVpoODT+=W{ON3&9HK60VMf}FNP%QZu zMTV#LsAK#7pyIUX0rIHfBcSrN?X`+8?u$>@s!O`xw7ity3n@6KgN>W9%dDiyW$P9V zd&&lYG>o_-pT`8Nn=V_~)|$q`9-WetL`u~$G-4^TWV*E@PVsl`ie|+OLo-cZ3tv)J zJ5Ic{Rwk%$@YT>v6Ut270N>0-gbi_SEOhTO-vIOHmN{3my{3PcJ$L5bP}j2t&@jER z!k(T3>wX1MhL#AtD-SZw&he0CE_qDenhkR+TqAHmSVvnx0TEOSwpa6pxMWM23@6bI z;LkgEsiNNPmy@tTtRCg32@P=6)%JEyw;ttc z^`27!V3^U_A}T4!kuE?o->*fjT{AxEQyvGTyx8v}Io1!e29AAcGR$7B442KFP?1%L zC>k3Ak1gf7LtH*7elc<+1=2cYY2S!&E#WXAWfa2BHQgSX>Xs`E;>l7p>WxI7d7k9_PDMb)jKEcFo5^O?4db{(ZC@nMu;_oTzr%(>MSV4 zU)z6ay2kdocPH)hP4#M2++_mpqNcd54cND4@zT%T9}u_1W@LG7~!bSKDTKKZ~IdO0<9cuQ+2TI zh{I0sf4MXMq;KR^Bm=k)Bxn?O>kb2UT>*?X?qi1`XNNQVYsO_CQrH~7k(8)A9A7j> zAMan4%fs2f%m8c{F|P8f6vm$2y^@;#^ES61BN!TyIECEFC%mF^vw5Z7``GZ=S{&TEsYc8^nVD!@pb(EnmK1lC?XB2us14kB&wm~wHa>8e@go-^M2y8|EJq4@I1ih+ z;G|{`2wiJmKOcbioL(#RjHl)Qo*4eNIB z`(Y!SD3c=+g#y6ywnaC?loL1l69gMyx@ZfW(t+SvZ{Ooa7=B@ldW20hb{Tfup|y|epVJZa0#cqQI!3dPwS zPeUn$m9r7L@x}!-R`XZ5?3RUPDFds1EiRD^?VjTqWiOf*oq>woD}-%PStce}{B{O) zSq9M-EmeYymtzuRm6nh*OC53Tb+`N0<675Nljbs{x(nK|aR{Ed%lh!ndPAoiw=wHG zcl5F)QJK(N3`)Jwq3mOvVz8CrFZ81lt50`SIZTQ!U|g~>l&Z=+f~0Gq=~ty zWvALiVAy8vt?zF~$)ex`M4s8X7#|1!*UD^>?)G+byGK!J%a1dkPIkW*=5+VG=;0IU zgpiLpacV-O_BmDTzLnC6oLkbx>g5hqbqPB0( zKvD0beDBWvWZmi3 zaf55Tk19TpEMly*oYeAea_IP!YMHX}#Lq1AH z*;LoC!m?@!ixrj>_pA^&8EvvBBapComz<1yYRVzcQhi_YERk1m~XVnu_T=vZBi#L09}&tGHmq^ zy*jMK;8UOQH^;OO!jlPJZ1E}4K$uC=tmPFi~^zH4cCJsLW(i;CiwK6tK^i)bdx8dG7Y# z3^=qvyWi?zsQ1+5gsnDmcjpkXg73B4XeEE@diTeNOi%>m&Q>T??LfsDaFe;!6nYAqpiwDSKNC? zwfZd`Tud5{8mf|BG#0sE4}Bq&+}~!Db(rn=4bBMa(q)l-r<&N#Yi}=uv0m;{99tKS zi&y0@BJU3C*zG!OvzI&F}?Q#-exkzUJg)` z8`nuM!w*Q$TVF036W~7TjthHRQqmECRkYLGe3W_jx#`NWheOwT&SWJ>To;N5SE;eY zT5(EH&Ib64a%kN7O+-l}0IkTcVLU5_m-kh=mh;XyU)`R5DM>SGU&b+=w@&!ETl*if zwF?sC1$RU|wGXtcOp_I2%Y8nL-4V2V&JFj@)~$%tED;2i`7$_Hh8Z zJa2?R%knz*w6qO097FM{n5WiWbwY{Rz7W@S+0lL4RzH$(=ZcZS(ulwPa}z?2e471r zJvF_htJle{oW>60p_qabdXVCSc70YV;*G!VP=7&wOiEY2-aUg+cX%N5nuDh6_Ju{d zZ(d%O(!3J8dVW)SYYBGO>L1A%SpRx*lZy;fuJ(5NN`CP9)5ozTREC_BLY5Qx`emlS zAA}KsXIumILzRr(7RL3i{Owm;^1SHmx1}z4ov}T}yokm zu^x3X9r3`Opt7b=4^J_=8_QBFgRFMxl^lKzT4=<5$e^#!*k*_Cz=m} zHlB5N>FjLfST(ic;g0oIgP2p(weduwJ4U`5R|osLvt$aF#i|f875Iwci-Nn?*LT~7 z;{BoaaKyqU$C}vO1bphXo(M;%$Ov#Y@K{F$^8uJ~6Q}wLC0&+`$7rpYaz*hB1A`{lw~HK+ z_g`taxTy8ZX7232#B;gF?-xmR2w3{P_4pvnTI;c72)E0o)3GhZA1FfwNb6`H!M6*D zUnLq=WUD-i47#ZJOsBOwa`gI?y{-gzQB5^fOSh=@gR!>&p7L@3+qv_K6|VGm<8s+T z{|{;J9oA&_tqnWlSP`&-^g%?VE4?#?_L-rx0|_j>b(S1^!gKYOoruY28V?frC?y%j4$zW}Z8V(Et( zJ!dW%a*o!k8&3*=Eu+e#Ym(~{BPXL>+8sEh3KUd`toAR2MuN^Id`{fU8K(Q> zS0$S`-?LQxYgw17Kkq|JE<1;*m)&OT9+HronkX^^Y4;=>7TqzpJXgznF_TZLc*r?R z*IdhCTYgNUKH<>Ji&-B&Mt2l=x6EZgKC{ob_>`+N6*}{>YE4`gDklxL3s>0sM(juH z3Kx}YMsc+X6q3rHac_c_IlYZ`#6Iuf!;hqA*Ox~SR40k9J92TKj}~k-uM3-uVU*x* z@~_}NRnjKweZMaI?TRdiljn5_a-W&*sH|_nSQ=|p(zdZ5H+7+9?I{b*aaD4*|ItF4 z-fi<+52pZTodPAXUx9aBY|5&0O|FWGdy0H#WA+&GjOoICO&mul<+|GJlXnA)T^_)a zTcUa_H!i%0@N|j1fuP3pAfEdbvk+0S>MCJagu6POzj}siflx_?&cMBoIKGVTGixiU z1qhw$kunq<5&L5iBG5c!Cy&~HFr_E>oGDDnW}bMeJnn&Wn+pv_J&k93Z_w`Jp>ju7+YQlZ$hU4#vmrn&?siTUle7}Db)&sBe zm13*rRv+!3f5&+RYmxHot;Cek8Tw@9{UZJhqHL zRQP*V)`wg_+}!4t!qm%mry+P9G;n7_KyF@#l@_9n+`NYq^gXW5wq&{{uxCTX;gjW6V~C@sDsk=Avoa*3xg>baoH}fi zx;6^vQJO$6uDn7u3Rp{G(*`_yX1$=Lw`4SNmKa@lk69>Elr6cIKD@f((`(yB%8|CZ zcPltX?PiR6`sjz@W-iJho;F46W?pxb)L4RdwC5CD4l{VEvnw#vLIlyZp?-3LNt+{1 zu*D3D=!&W|L{|B7xH5tKg zfjM>iycaGe7aoy2CO0i~H0hLAuPrAfrGxdo2hdpNI=EI@KGNcgI)WWevm@B)eA45J zG_c@*5vs17KE`|nw47qMsVw^i)p~(@_TXgZT4nK{^-4pzmMWA6Q;6aNe0PwV!7GEA zTL35CSW{w2?_YwgSkrl_+K9_R@K^HY85?#ScW<%a=QI|4@1@zr2c~03vc+Le1yfW( z;^~Co77ADVa?)ClUjq^)LcttUa|5Q4DRrN_SM7q7GOH~8(!@|RS-J_oKu7hu_#JPPkFN-uDJ#zSq9>UDWUhMVJnLyj zGJVJGYAVqO{GclV)*FH16 z-NpIfLXHA8dOlq+>kR6<75{xUy{hLjg@RaWM9v85COSu*$}Qg-*x{bpV?oU{-0qjS zMXRsf;?*vp0Ugb)OMPTSFYnLEvXEHEAH&O{cTN9V(4|;;K&a7_zwM^WgHg2WODNbF zK6+As4lea5Q)I$$P@nP?VBr_%LpUFmb!Nm&j99egXPIj27V0I*dbvty!s?3yS?5G1 z0kHkYUB+C;OY!v6b0R3?rO2jrVP!x^bd55B-!4?k&&&Sw-}wW#*+@{InsSq?Z^fD> z-z=f7r0^h`=G^h$pGb7JouljvJRP$<^8 z)}VufhRPZW<9I_J;QemcXg0r)Sp;I!2F>reK7L@jj(JrpwDgI(*y9%{0Ctp5{Amyp z zmVPZM%Q(P6WaJ+Mu3weB9Y#=UwJ9Y=kcz})ylA{i#xU$mZoGADuK+20jT;P>G)sTF zjHD;pgeo!V2KRf;l&9Uf66l*Nv)%khE}?WDxBduYY$d9l$^8j>Q#!J=E_(NNEJqta zQYVe~1r4~&JV&m)_W^YdS?rDw!l(1GQ@zBLTWqXzywUp}PR{IuR`s1r8C#rL(eRKj z`m98BapDBL!+SCpr2|@KnsODm#CYjyUs~6_%lBciDWawGF*9PBol#oD@pV(H6Vi-k1iHL_g+*Ib2s*s1wky7#LFnx= zW*U~UW7VhI=1|C2s6{2FL$rV*4;?3C%+l(H0H8XagZJq=qZy)wedfb~H)KV8eFx@T zGVSF&Xx5&n_$|mC=3J18PYyC6SV(|VS##Ah%M^ztFCX9ShtTo-eWf#$1!*I0E)TeyFy zY+EXdS+%%O=st>ZC)e~Lw+E<(_xPm1&lIEN4PLzERjPrNwr-%{6TP|kYC6-yEe?fG z!7nQu&YmCc$MLE^!oIS1;M<`6a^~X|Q!nuSglL`RhNyhC_s`Z;RP!f-(Q?qO} z6kl#<@t->w1|?$dG~I)_Q%zFV=E$w7KS)(afBuI%aDV;(PeuN_Lm$Xb5vpvhf%}j% zSJpD)PKA^*_%EF`3mayJtA_2v&)Ub$%H5Ed_5Q^8;H>?pybe0HGz|6>= z9ksFogm)cU8n(#Djhma4!CQwk7rjz%v~&fki7w*2n48J4cK8_Usjo{(-?Nvhu*hSh zc#LGAR7Gx!3-8zADq}3TL7o@geT6cF-R{WO0fQKHJYIN?3yBG|ub><=HF9dexf0O@ z@}7EH&f$j?Pe0VAS$e+dwskPl`0ILR$hj==M#NF zHH3UVv>{#Gd2i{P_iIC>&MCIUDcrmYC(#Fnw^l3M{x3Meq<4Fe*5Ke4Gn0m^`d^%5 z@-z~ESp8w9wQ{P{`3&c#igo2Aq=>1>)nM%`qLg&qctf_^#d1pJL6zjx$3<{F@QQ!B zxO^@KyII5nNtUj2#Z^}wlA>LDEecvQjMV}rBK!4-P~j1yM*G;bX-Ma%&X<3bA;IlV zkTNUmFO;biWT2GCkl*4jIU5z(_|=K>SUuN>F!0cl9tqh=-ut{~=1aVr-X)ghr0DE1 zso`EGZGiv&0iy*)#~H<1 z&Ok;m@8y-fI9^4w+3zDr*fc3b4rg5JO8!bOwu~pW@m*Mop&MSY*4kH%fV>)uy)%;f zs{OS3qlL~~&|g7z%$jNz>BTpJWCaDzSCr9Yx)RGmj`!A_aCz~HLX;~RQP2j~On1Eh_;z1aF701^f^&F?`iUAWVW6Aw?8J{mG#@&fnJ6|};3Q|g&{A30* zzt8e@^cL)+?`oUIWi2>aPjpA>UMHq%RvHwq(y9@xMp1hiRg5nM1|*k#sgdpGLk->I ziu+3hQlH_nGRf7kCf~~>@r^?!@_Y2GTlnF!P8cq_ullZxrU(AIHwC77Q|B?*p5^ah zzAQ3cDFdrW(f+MBN{iIE<`VHU`zl&`a*aECCuBw#^gadk=nF0AOcn!!^Lv$!Lu=iE z^MJ2i)#bPsn#F!m1OZ^poHn#=+@|mM>TzfBj?)~DHEoJFO!{w5f*)Pg8AVI=JEv9# zN$mVdt7?p)h7f>aMzV(&GPC-cV+H~xsG zvBLjycW+o9uXG2<8&av=iSbhrl+S5CP4OD*?ni@~~hJ;35W{j2ej^9X|Znm$#ZL`far-Rf&TlCck|G6%B`n?&}95k?Fz?_a8sP&K|yom=eA6hO4Vaq zJDu^#F*kN~F+Q80QbZb_pc}=z=ei?%yVxPOc9iz-Y^F|CzVHln-ml{6H<0w6%8(02 z)VfrxtjgY?-ms>?JSELpWe^~YIZQn?mD9SG_m_K;veJFZqu;-A$t^1@@p}CDP#`5x z5*$k*I0X+^_G=R}s-_b;U-7wE8Iu1zmu=S7%Vf0C;SSZASIu|Qm~th#n92)+GowT^ z?eLk7j)n9*2&YpCs=AONl5#((BBy0>_ z?G;0Xpon3kMo=Fqm!o#GXwvI6%pU~Ru~TdBC;F!mY9e{uzOt2{tw`$#iN6QI|eM;Ftc5C@|Z?d)X_|2RsFc-)B7xBc6w?YxAeYS?*1F`=vyiD&EWDs^zG|s&SMkYn z<`Q$gx1_!K&W)`gD<&l0PR1=?dDZ`piPUu3xWg^VH?}5hqRM|?R&2v7|5>QR-~)&H zzE-BQ{9U@A-cg6gV1AU!jr7o+DSk?GsX6N-$QO=!#Cid=7vTmuvofwI!1pYe-naDX z-WNSn^)18Y)qerndD!&l;k>=>H;>NNA9(jO0n4K0Sqd^7BfTZ8#cvNt2>x&ebjFhF zX14%SE}WfwU8Ci3iV-F;qS})RDGQf0uQ?NI!CkBn{;u?}iAf7J@&*jn5p2ZalH7Hi`VIRA1l*ShWGrp&f5H}wBe`jx?q`Wq2-m205WMm$An1%=sEf{pil`H$i(aPI$7U0O(H zCE_buUZoS(*tD8Lw7 zLI%mEuQqGIU-)T;$g#(M^C6G{Y_<9I5PPriaBoFVi$pFqoA~+>+v42EmS`!HId%1t zHcl2QEtxqyL_$Gx&VLo&H6v7IBZUutr&;60TBMDpdHlT5r(BLl3U&48YIhLberH1O ztuyNmi?h44xHenJ*SgLpB|eVk&2Di5Yu{>tfqYT@Si-ne2B}m1ZV^R5TIyy|7kvNX zP?k521vWRv-n>xbSB`0Dl=X2BnNc%;xxes76afSgL|CK^tr2MTjU=1u!0}T}OC@G? zKWyea^VkD})lAh@TYl6&mS_8zG`$c22Te`ZMJythTsxMB^fA)aYGvWE z;A}9xB*or4)sr&BCfl_XsUBN5OP>_3?$2YoFdsOC9(9Lwl?sidG!-43y$Rv=YS-KV z%I_dHK<6pMmGJ9~qx9#09|1Chv6y>OLtUSvsF~XBm}k z5h{^yPxu}!l8W}dq_^7V`)!wPVdB31sk_n?8kt9WN!yb~?w5LKi(Tyue89AD_}uK% z^j9>6wmk$;)*hgFL?0*QJf^usCkMc3E4id5AQZ7 z=1rWMgR(O}n8&G;GG>@>yw35M`;g!c(~x|3J5{_B{+pwPv?>11i{90&>lOsm*?ds{ z%^?awlWlQjEtfnt;XC!NjTO>mJccXjmR5W{TED>Fr|1_E78f#KR)5f$HZwcH0tVZnztMe(JlFSz(()N8Jrmzw^?U~VPfb7s3qCAx!&7IA6c5U!u7?OGU_gzVq3 zRS5sHcrznHv<5x^WtzC8r;6JsT`P3ok7g6sNBbw=RWV!zK-@c|{bq}~R<52b40Y&- z02NqL@k`wevosQ-KQf~+Y135s^@Ku{L?TT?zaTB5sF3^mf)=Lj*UqcvFK4Z zAd=DW3fyp`$&>wu?&<0N0ye)N<5*=yaoP6$mglZ^~HXpTvy%1GMchhAe zayUaUpTxnbXIO2%D-hqx<@zU#XPD>wFQwsxOZrb2pMJ6&!h9#*57cDD)I4Kdv3F=q z^8m1%xy~P6t5R|J4RCwsNOKPjaDM!==qF4m`3a30Yp?Pa_Djb->RA%yR0rkOoMZ99 zFid$Xh8hszYz1qR4m9^B?=rASC=g}3fb#uPPW#^RY66CZ@GHL>K3N2pP&oD5q8t+L z7Sn(%PZm53#8|CUM0Lau%z`T@Tn;C;6OptV9_jPI?K<}TBz2SfQ!e0t5#Ih6e)n&E z{fHCIKX(6d=ldd_N#c`)`W9_89l0ag<@|oCQ>&8}9X&mX&>$kq7Y&wrN-62TO`>S* z;E~G--it6v;k|ZOxfs6gHloAq;1tY2dn#@|-xKfc71yQL@j!IrlXxz$?2BmpfxeDZ z4Q)tQa_{oeTQ+!gA2pm|XOERSsY=SJPpuy<4Zkc4YZaVU)ovfC6@Z^rQYD4mNM&8! zKMP7IsyfMRdZx#X-M7?X)GYDs{*y_@Iz}4PyqQPm%X!>;o#;~dB^8Y%4gtYZJDLsDAT)3?`PE+z@D zKXvw%Z1KHjkDK+iu35CNw_!{f9^_no?CEeBY+?r=&s#*u-B3)eOMM}gJL3Yw-A{Py zl6V=Wz2MRv1Sc)9iQm!^a_2C=dH8-M8f_yY=5XKmxs2CI$vy62ADPKipqh{qwF9l! z=}7j^D|lZYs2^Q=ZH|tt+}BMh02W=e(@#{sl_iy8^w!MfNyjFPM=ALzY0W7G%H21!R&{chK3_+VDsaJ2h32@Vj~Z@4Q_78PP%us>}D)AJ_{D zf0`QzgDyONrqjVxJTAWckxqj&@h(qKMudIPU_ZQj)2NS8oF`37?54qf59TG!!3Q-q z;y%n#eXHjBfEp?LAzf>u#4dLn@rG-?x>L-P-k zN5cP#dlm}}@-9}0s@RROsVLUrJ{|LC#ie_4+5Mdw%TF<1x`IpQq1|o@(j!UAg@$6) zD+QbmRY5Y125)rg)Ri{+K#Ltlr$K$Mo+P=!Oy6n`yX*a+i}Y@7zZvyzU8;SJKLOdq zU1QZeKPzrLBUEmh!&=B&&FCuFm3k1X4jyDuJH)jx)B-gLW;def5nroESTqOYt*F1K|9;pDZ_!Co`2z{a+*D1WX?vLcvRlRLpBzrVD7dO3LzxGtKCI#G{1>8?6gdJJL(x1 zlNJ>ND@a^FRIW&1=qkY-36s&6k1X`7?Aco9fdsWC_YVC}1__Kba}xE+d@-FFMA@e| z*R3HO(5=vXGp137dzfynv4e`T8YUD^cEyJzcF0a#5c`9y^!YpHTpWNH3xu zyS=<};b7sT`64QYHeDsN3s5_?5qlRNA<^vbJ1-(}4Avjg7`&}EZ^oxY=%s*9_-Fab zWM7w7y)9HeA8S4s-9pp(OPQ!Mr4&K`s_;X*G+Bd#B^I;0#}16Db7spikHtHveKZ1N zEvUacv!2-fyT#&Pn%;lv$PlD7S#^EH9$N1VphaC?yT&fDH2G495hvydq9eTArFKA4kWtEtrC-*sry8v~Uwq#$~eyjoI5^l;_bCKgJ zy$jYKB|EXn{ekcEV20uRD%J0=FNuC+yhKd-rsc`#5zt)g9VGy^+m}Ae;uNvXO`Vbt z{YR1spK)RU&AWxp+A-FbN-NmIkJg3C=;BUTze$}qEZhy^#nR|r;$WlGuWwccQ`1dW z(IVAM28!r@%X-Wn!^P3$^D=6s>P*yQ5iY{no(X!w(`d^uhTMiFM^gpiP#=(_WQ*f`grCsQAJ3=ll+~9|qa#ATFOK28`tH>aCEFQE zdi4#K2$rvPFLVNw^aa&_rzc^bPdjpKq$%hth`&pJ6Yx&bRNc<(n+8hZ+GV zbxVpIRNGtJblszLCZVqYC1~7B#is>#>+Xrb`ebcDG{Auy8%QU=*Y&ptwUvND{i@%b zbR3JhmvvKnAEcsIX$JRjJsQ-joJju3ce1>`>6|PsO*ub@M_P9D`Z64>(_{Rtf^*wy ztb&2}1^H+>1j?YZ11ov^5^=hD4i)R61Q)Q!?-5w#=r^S08gJy|NZMzcSVR@ zJwLL5roZgv>EnApn;E4?Qo)D|Oe@vqK9N~1a@pa(N`#w7{-LJ4u5uNNwOeOjLT*5Q zHMu=b`I%iDFV|D9e|IVVo4Wi5rt`Cs*uP51lpdG$TEa8My%4QaY23PSOlzv&I!rxb zj%yV?!**%K@65@{leJPY1k)y=ha=c$U|%P9x7GVE`ye_UP2pR7oiDs+yoQ7oSMveXwHv z#Scr49a0!F7j*oZp<Q1X*!38@_X740sG)m-+yZ+gyq`C4FYpIg0uHeI&O9VgFb z3P>XF^i3H%Y_r3DTRYk`LU|$VC|VTSxKVybB+Evoad8h`?HD@hlij_|!#)DNQ&yC%D3y)=8}}+$5)29w_st(MK1P zx&T?vO)=z|{k{**x2*ZuAkZk%@{-MO;}=u!pG+WCs--9S-Cvl%)YqEW-j8yxGxOrR zHUDDHt)5c=DxKdwt9 z=Quw2OYG%2`Nsii;TPs3e>?fxeeTN__&)y;e%*{PgKY+s7#R{|3idKqrl#wgIns(u zVIV?M!1BIUMF9>&G^A_=CASlDEgNli_rltq?ymcmU+%slrBFW%&?Y~4mKv0bNuD`8 zyr?MR?;641yoBw;%m4%>4+URGV*z}}^GA}!Asx_JlLf{-H1AR(JkVYxe01ol4e@x- z0(}dmM#HO4ieKotcZFVO ziifH&l)o@(ZF1VI=9Z^M#zASSY`cg0wOZM7;Hcu+=`aa^ogz>Dm|_NQ(j|RcmGzJ- zuAp0T>o7w$U2eHtJ<(uDF4 z^TI%*Mngxo+cltfeMZ8S#x6K(Q{5;4*}Xg>X)QU>nb)dovAInc7n#l%8?j*$L8QWN zHmdQ)54eNKd)`j%7%-l%>xS2lD7>DqkChyDECn1mKReV%^s&%8Wt%UYvlk$#0Cn?y zCq5#3_^wM|K&VHFGEB0IudDq&b$i^Go~DnGK798G%NTTuWE}xTM+iOeUS;rQ8!!r}88*L~0|AWJfQmyxWL-aIMm{w?NiL9@Y?(-{B5^&-r`E)$%4QwP z9yC65f&z_r%wEs$_0_>=CHU|7&;Whfzo*xH+{6vKnHW{8Mv%6Xo!4g`87E3w$_w%y zPBu2sRo6g14^DyaUupYNHG+iU)&tD8hNQR)t(rb}!#rL%PZF5j6u|cF8+JkOdK@=_ z%F-S|R}m00pO0v(P5JhHzzQt5$yMU9MdMCDxW*!ivadx?+^yg)K-FG|W72zMpsQ?D zb;JC7r?-1pDFQ4?1`iDA9ZJVGG##6*RQKIAaZO&uz6cOV==4I&Mvg8(IJl%Xv0lT! zur9M7cHr=ADDSVJYy)sPVjVuR)@b;oF*0YgnX^owA#o}#AD(>zCIs+-iSLV60Ab>} z&t1U15saDp?Xfr&Wcu_JcRKpw{?L6Pr0aBap7nQ|umG|05GK1Ok4DCY^9>NUCVzg! zBFg7o!MdQ4c<}7+0u@8P`K9g{&+Lo_@AdU<_nasWtHtH;JV^1Cn0vF>qAI}K5h+04 z*5}}O4yI+fO_pgy3IsvU;MU)7q7s)FnI$AHD?ZK7@FE$|lj9j_CVa>ogF!==0ukq_ zU9e5IVMz1^jGomS0?K-CK@6vBkDo$y-(M$^GRV)5zvw9$cT}i2o~aXoW=(%LuJ~PD z`@8*>y$$kr`>Wcz<*(@UMYjWqmXpiuA4nSBgb~0Ol7QQtnJiuIpauo2eyfI-ngODP z4dCtN%#~*y%Qu<_RUq@vsh8Tpv5_q|w|Bk$6=wL0Ewu-dtixygzi#vxNiE6bx=lvu zA1+~k2vSQY-)_-CDs}^cQ5HQVef97$i&*{LQQ_Z9_Ti{gtIiJf>;8@iog#-IN&R~U z4-VXX%1_Nac~B;;?fZGVNOROMyv9lx%P;4@E+dxj%vvwdZ=cR|B^`G86pGg!eDBxI zZ$|r9?207H0=kWm1%j6d>6p+P+O}?*4yY0#6rI;cux#XdEHG z)7&T?YjS*Np95;h;Y2E6mK9J_h~~GAq&66?z{_-K7lShXq{+{X^H7g4+l(s7B2F}p zHFfqTwfQXKXoY{#B=>2SCUld)dE<1O^~QA-7*2Or>CKkJRz#q+llUR@6LYOi)ekep z3ftft__hlEPV1^%%7(@=|E<%Ezldf%v62kcyyQ=Y^!e7CG`Y#SQ}#rOh@MBlu*_$~ zi;R$)ZCD>C!z)Gm(^#_t{$^(DJ?DtKkLK{B(9PY%(dcQbY00z z0AQ>)GgeV+hVWj8zr~lu{s4T^&5p0kzMrN@RGzOg_}_U)nzzAu z=H|jypzFTc=VYBQx}A5lNkG6F#gxBqefLI zAG*D+z2`mqdh{6%75kv?&z^jHal9$>=?hj8#f82H!m&k$31rsH$&%<`#leK`ML*X~ zgWnlr9nKm0Be#m0mP<|LM(iEKNaLc2GXg1Q?a9N~n`J(u%c2>}?`z5_hvo=$XpWv* z?_~c|WFQIpRmNNR4SytD|)g+g2eeTgSdO zIvcU==sr4uEoT(kYMs$suaaT&KPq8i5&&7dZ zpsQgDxmMleAyTfNL?n$a38>$L9?ag@7>jFK7jTk15337VH&0>1PYnVB$zq@;RO;}6 zuKa}Oc3p?X%God7T}|+pK93AZes-M zCSyZ@Yf zBb+f`26Q5Q>pX082l|$}sfDap%k*V~B?okz*+<)4q9)*N$lthsq}-9G<|clWldF*q z9!y}P`|Js87dut3DwC?Oh$@LS?pNp1#_snw?&KxzJ$Js#;jFuzny@7EHg3zH3%I7+jjJK$+MFV$iV`#nZYz6M-QJA?++M*o8`H~Zs1IX+&T68Q`sN$x z`X``{XL{bgKp#8%MDxznoIt6&8hE{HwVO=DQLs<^*01voVvZ0?xFBdv9Pz~`!#?o` z3#vz}wc4>kAi131TX-ZzzVG^Q(cQz$L;Y(4iIO9KG@B!K6Ytx?#x+OQP3kE6M;t;wYbigNqR@Ho)}D ziy8feGdnV4XfHvxmx&f`$XT5%2_v)j-4lifP@Q0|z9jW15^>pki=Lc#(%(^h(o z83lVPt>!wOWcI$`ix!cI(-CWSZg@=e=&Xw1V6slg;4~1uD{U49_lfYz?{Lu{K}h!; zM~)BXvPUdrB(faOsH9lBX+jfx4ZTil^ee>QI>M*=T%@pc2ypYndOc|yrA+=FX4es2$et6^6$jeB1r@FM9DW=>1P@uSASJrk8le}BDEQBu%X=@s z)@|DtNcKdxUxBA3(WI)ck#Hp*o^#QRiLeuSju(0Ms*{+4tY#zI_k+jmb)T%gi4`sp z2YTFVH0JGznr5L^XacnuW|0oxm%?aNGSYDQF)@i$4XwCQpsE|o*R zwk0tJyU#pd7XywGYIBWR4b86R_?)lLWb?jCMd2RqzjE4 zhMQOSo|~SxptGD>Vb2RWl?t49-hYtPVz?S8Y*yu`?A@g-n8>LQT@u2Si5tf>p)J^J zMt)^^5&HWfQA{i0kpS4-$+!tXVSf%3R`6I7~4e@Hat&;}QC|r)W z3np4oo|l<{3sAQ*0wuP=g?;NC4|V}Qrovr~DR}CUPA3$~TCpHhnlI&oC1J|N+I61^ z;*V^LBvf^!P@Og-72?8;?i2lKhCCe;=5r=ahDqPW`>aiZtlMa7-o&cx2WY`+D;mc( z+G(=6;Z;pjENCw<`F&3PTKcW|#OUYzt-iY<4W)T1uTd1`?%##!eI6uOJWsgeK zjDI*fUGZ*Ar#z}0;cg1tbN@Xu#%T1=?Sm#SSE48Ipa85C{ZhzD!x}vcuQ>CLZ863 zPp#3A`-AW|bmpeMn-1{^ec0f01$OVXQ~6xx@I4AY%OeEH@?$Yy6 zu_b_#L@-II21PUl;@q7T@7r#y+@*d5rQ83fGr!@0r}Dr`E0yi10Bd*1YMn@Z5Xl^tc`_}yL4X+sIZ2sCV{@MFeN#^f^WxJ~OAC0*CY zoFlOU_S}A>xBR3?ntiiK6t}w(X!OJu=A?eUyK^~jb%SZ&H)({dVjDmJ58NI=5rq~4 zN7pCED*JPPotN_^f^E}s)4uds;s6qzI8gEj?7q5pu^*j&%C@(ML3kOQ1O??p=q+zs ztQ3e?bTGTE1RIi5@djj)Acu0%Pq(`O9SQ2X4aC@y*%#Pf+WwHr3tIL0dcBcR=sZ03Np5BzGJ zXJBa;era@_O;Ecy8K+nmF@}SEYxB|IMbfq5)_g2>2*}DucM5O?)%6z%Wk2}DtE9toB1?euSYEi*?(7G zIYDKQ?OPNP?*}zzzT+%pWQP5aFiGPb70yLWj zdQpx~A}$)lAtMd9MGV+{%|c5NF${~?=8Vzo^QHPAn(G?*{5|PJmV%x<5BFCrkRE`@pv=4t=GhppmUltAod=p^P6xWf2t|~7F@5)QpfN*UAh!ineD?`MmYil5sek1 zxP)`6lO@R4qIs~vz_>nXe%kbu?=-T!>|uPol9zn(z5G4T3WQ)kK+U#u+rEqZpkTKX z?0B{DjhX9;-Z!@62Q{Dgz~e>nIX&n6h$M4#`F7jl)|(sEjY)}HNrMT$e9pa)>T^~# zKBd~+534jYZOI$plh|_c%y#ZRd|OrVPR0T;R%5?$41-rlhp^oPHd_W7grTR=ibc8TgNfpx6DWphaM=fQ?uctcrIEso# z{mJjte+WVUj#&Sq#OS&iuOK7(kpB7&A|j*b&*AG(`8D=g@pieE4UBQ9CZf{{wR5IF zh@#gS@vllir+TuoV6Szo(@ROid4B{9WoW~exrvrCY@0jO5m?SQkfES=#HO6@^*q2B zSswy@83WOM+>7WA32LmVU=dzi)O1e>c(7&4A${b_@(;kmni*8C_CcGX%mkLHv`$^q z!^fZf<;v>|WA}1K(ExFWrf*P|9LJ?3!|gYhvu48O{d)`glNU94c@J7$FRW9=soLNI2;lkpcl)Eb2f@crBrEfFhDPvz*=Lb-OfSRmD5~Cfr7$bs+_)83ij{)Vr>0wUl^*W+fGEoe` zceZS(bzx5GOnXxb6kbuCU z$wKq#O@h9@Bff@DMm-NW(q^hw`S7qKwQz*5J?PRalV0SH3CN^}~~>hch5 z$*re-_jiU}{3TCUGw)^gUAibGo%j9RKD;}0$MV^UFAG0YaUY-c;H60zsuZfAd*6sW zboo@DnTdBl_b8Atq${;2XVF6}Ux?aweR&x*)|jZn_<+fG&~tr2=(<{gp)MZ<7= z#=pym)^{wc8P-FH05C(QkSF;$MxH$N3g`RrfT^cpT6OT06HT(Bv@2}{)V=uk{qvuq zG8)O+o9%hS#96DiOWnc@RR8}{{sK#(GS}9imfXQ><@O(w1K-kkV%L?(5f%)>;>|5r zk1galQRGl=G6`A<>1Zq192Dw&0^c;+4jwKUgLei4P&g`XJdQa^wymZ~vM5?Y;dty%E0&LNBx@9iv9kgJab28{Zflxr-yV!-z5k#^3{HKcs~{teaIwE*5b`+O)pa*ay#d&11pm zq1XmVZ&P0Hj-ar@T-z!(M-?z6j+UxAT&5_o^vW!vfHYH!fq3K8V<&l9u}aB`00%Ca z5aE&x<8yhq@fL%0RlO)QYQRlXYSMNMhupf}_(8ImD-&LbJ6Y^* zL;57w1}TieV{&rE-=EaqT6s5LHR9BwNtpY0b85D5qT+~P=iCk)t{z*hUUqD#g*x!w zjX5URd=$bF4vmP(@EAiT3a@qBHg=~dGKYlBC2q@b56F%}K?k*L#f*gZ`!$mw5rhU_ zW1V&`wQa9gXfE*OgbHywr)|(FD)>SGeJd{c?!FBZ8t~)BuNe3YyM@FNHf)O66{4)p z-@Sz?v&Bufg8CwSBq$Imrw2ma9b7*uP{>61ZTpG0Oham=WXh_*s_caJWadW&@CTo* ze;u9n!Pu9gg5<4`hse;5mTWW1p6~E1-A|G3QHASKYd}XfwRB1XQl2ABDF@2@!iIF3 z9CH|M$YcOn=GFA4tNQYg56`3_DEiHPuXNx{UDYGLCR<$T*s9-ce%?i&O?D^4-E)J< zF@a&KS@`$%1wyB0ex6rJvARGXN=OjMTY~!`y$NPkfs_Zl-zb4Ny`enlLQ`fjcBLkw znXBJtd{YXl$o%n|deBHwF0b#p$7=AHp8FUSZOc&A-}tm{=pf2DgF@fSY9s>W8!ZT> z_yliPu=L=apa~TxRuBR_u%w!08ZTiVjZbQLIEbX|P%t<}%4+z1IJqi!>kj6+{!f~r zXGx6-Pb80+LAbjftn`@UjCl-oY6Ab-hh~E$TXaDW{<8;q}?Jm8go04c?TTS2%HT^J|!A@D(!z75jOoUQ^SSKv!pGhi@G!$ zwYr^bUhfBeFzr+3j1YP~YoG7Lw0n0P*}tuiMyd^)kFo;~Z+;RLNKL_W8+I@LN;aA} zepWu*+@vZlk6b?Wp=eK{ww)6-`}j7j&2hv+7aV6%7pM28#Qq~^_?!Qaz4wl4a_jbm z)vdA>Du=c-tRg0o_pSJ+%dlKjc?rl$RK&1wdP!N&fjcn7Hpf4Jc_7t#pBVqT?nB{ za!_rXxa>AwLMVca2oVXj$Sk=8Tl-U&-wy!9c!}{ehyXRIq5d?{te|Ic93ioO5W2Qg z=+J~NlX{DmOK}dx`#0a^^IM`u*59;QTCrMn@{Oky-aTdiKEc`kFUgHP$l(0eli}>t zGT#kHlJxCu?RflQkm!iV3|;ZiOIjAPhSwDU^XhTs%z8~*=u0eXy%r=llL}!9Skse! zKSPiJg!~XCN+4?EbIzhmbX&ZnAb+-DgC2f~$z$VRByA+9G^^5LZbqV~2XiJtT5I~(;c-|>(H7m5pFQuV ziAnVMGV`#{oARdSU@S!W6ybH2E#O@)89G`&2 z^@M>YIXlNRe5sYNO-M?2^}N-v$Yn3b_m2+~4nebx>L+?l3JFr+*UsH_a!}nS!K*|<5 zl6Uz|{nB-zw8?|mp7C!Ub-xL(SqiT3M>fyd0JHSXVWFK>@3)@#p?kTyj1(7t1}rqq zeg-TOIS!DJAKoJ~O8*kG4!U_wF8t0rWo)#`DHSYF zktm zLMNnkt6&JLaXL3oS0&AzR5Rn?4iH>AbSOM1bcIOaNF%OMYL*CSkt=_BiJFJV@OTgW zgbo{~T=X17(*=NOhTLdNXqR)Pj)!o_72+zl+|Mt3KgUUTwl*9(>RhfX1wK1OMWNsS zk945jfey6zr335eI?x{SA9Nt&0Wfl2ZbAnJmujTvP%uvqga4s`rw8$oR-bV?4;krXG^${~e_bZFZ<1&)82D4Y!{{K|>SuJ&bOvg? z7QsGBkB>~iYAE7eaLZzDxN0||cB+tc+t(vabaU_=S}Mw zY?sFV>=#!0eu^Gk^s1|@`c|!_ugy%6G&WWs$<)K|oXMGFjZP6h9d;X1q}5WK1PB+1 z&0REu)RlBns;y)e*NGP79w*iXP!lGi30Ov`5+QaL(EGh$nSFZN`ByuF!5!sE)y1mG z7?GQ%m9<)lKCGa$l7r-Isla<57LZ-WwhlCn(!NMv<7AjXUg{t9QmW6m&%EfpbbBrp zz2xAZbca~Qe&`cyoH?k_7?s`>bM2shc4yYqMm{Y9$v-ksPK$ht$0}V>v+0tdC?#O2 zH;H#CFw9)f%R%$J$*Pnexz^lAO}$ZB={2CZ!E%|4-u>3AHjnIG1C_ zU{j96E(CQuaXNM5iOt7d*7q3hmh&ey+=LtE_BGqTUz9@xBdda!@7eUYO||j(Uy>sAxGV_`i~~tB zTlz>pNzvN*A{U$`#mceMvCe+~ghiL(6vK25dfNHB)GxHPk#GR8J^eQWI_y57n0;KI zm){2UF-&MPxp1E7c+>=S5}`aVIEdK%E~L`Jp4qNsDkM)SN}2*LZ}mn^+mk)r3f{>Tv7O=og)XoW>T1ho`#DA3mdiCYnFMlDmSRI6^huo{$xe8-D1_%$RXLn-Qn6j z-i(x+9V^#b-~zX$i7im4pOQIdBuB3|6wJYx1bGz%3#;vwyZj+4m6Vi!if}B$ORPZ2 zawG%NW^UY(JXR1)S>uda-5R6lg|wPyPFvY4L|ZPPorHZ`5LljcS?Vk~vH^I0Edgo>5i6k4tk z3&7WfE+Tf_ynZU6HiZgZ>qnsSOesmY*ES;!HSx@S8t;s4==LW;co!V-%R``5=yv0R za!0lV#C6cn^2wNZ8X5Dwz1r9Vp8nN}*05`2M-+2As%Q^vGO0T`_M zlt2%qjiPHezEroDQ>|8>mry|u0yDpb-Xl|x-#^5~%5+%UD8+RyqeE`B@tly?0>=7( z=cQpscQ36l4hQE~xHqL~EKgU3ys)gE>MS+0NeLO6$evQZl^6bvPjB#%<7)qe;_ig` z{=BYol>C0g)A=CTVR_Jx+h(dA=`k&<(+O0e$)s<<;3k{u=zcdV^S+eq8^FShHTukh)hLs# zDMy#)FBMl5@_1cOGFh41kM~4+NKj%$`sAV_9p1ioA)zGVZ5)~!tDqiWvZOSY;uLiO zW2cyFN$A>0OwYn5e;BryXK*hFM9M~OE8nJd<_E;#LS7bS@J+#!@aI2oX;^NBh#fdUA2H)} zI5PwQPAnNiXQZ*cbHr}%2v48EOdASJ#ELQ-$0oVgVOjL3QxyXO5I2{PEqB z2z5}hCF=(iXj86uBL~wbQ=aZWk-J8_&BzL9RJ+50e|=)tkZYWo8_Sig=3p_|=ub#i zifgdD-q!9?bHhxmRciGpsVLCVTC9Lo;${!TCYIAXaeT1R+izs{wtL2OSbB!JNl}%2 zoWP^y>t!LlFhLz$8^0e#2$C@w9Uy56NMw|X98veTbbnGV@u1A?cu z;ot^jz}WOadyL&jHH-MR{)=v%XXiSBgrN*?M)1(Qxb#POLsb#Wd;FJnS&0a;U zU#Xf$T2)&X&WAP64yx-i5hbU$GR|{!!aW(fCX)Eb4CA}6r=cX*W~KP2^<0milQhba z9FE8fND1h2+Ait-(58GD(clDQG<-R@(Vc`8KVZxl$J3dB4g~gYc<^>%9k?S{k8%fv z2VHIRNMDm)-{30Uv5_;yQjfL9k3(@n7#&Eoi};$HQJKyZaw}jK-hIDUh6(@7>ZZOz zdbfxlgtUWzxUT;0!nwUQajCm_6!|o@HvfKJ!Ep@bIBB@!jGP=oesTL&w_Qz2nb2bFgzR)4>%ivv>%Y{&ftXqYdZ-{!x!=t-`nEgG1S zC7~Gx`@Cjt%Bt$H@JA`GXC!msTp3p!U3Csj#>UBNdQt#XwC8RW{0X75ZEsi-EV^3k zMg@rw>pIs$rcf+((kKbXI+#ljK=I+j@(qaDglpjx0A(ULKmECh*G+__ym50DAi&=! z8_Rbx&B43-)K&s6dEm)eo^nF)WwqJ3xAj~XK5TnS5ZCrt&9JOB4n-C_d zFpUeNPt>T5Z;Vd(1sif#y)A%^K~vWl>pFvg@jiCtZtT6pygtUpK&jx(g)5@B^CWu4 z$%$!;IY#f+8z7c37NQ(RnX>1c8+~T)VLu1!60S2qVn@Vt!#o!uX}w06pcKZbnaa+} zDAFOpJFRAvTG;cv66Y42V9T!+?g;4z)M`*te(H*uw1C4pHD!T7-nIARK1EIQO4RNg zZ(^4*mr#bK!@`@dS3UQjk9~5!KTE-tqtT*WeA<5Pnpp9R8Vk)pnleMd>XgeB0 zP@a<1odY_RubIs4d=-6?YQU|`Vw#%|FBfTPd#hLCdrynHvuqPPkds)xPlPolXKbg3 z@J@ajiob($-2bIGCV1NjXMwR5dn#Y&DLihoF|&TGA*DZbdian0UT_}pa_G4{ko+zz zHCPxnIF>Q(>cR?)4&xhf;9( zZe;(qN%f8#>GQqklnJT&4-^Pi&C!gdV9jarZJU!_XNanpS!v8`d7s;^idj;*pm@kK zG=0Oiy~(3Vu6Nw;RhWTv8MXh(V;_zotwufENpPY!sL_KgGPPEcLn;uR7DNh1s~0mN2ll0uG`7;&ncZs;S+n{xV|j3dAc}ba+@X)oUoo@K;!k8N!@l? z&PsA>XMIGkwS!W8qU_uisj|Ix6@qiBi4r(W_P)Sk@JFm&irn<|vH)gf4LU2{D(2R1 zmkr<*J9f1V+qSqNI4IFMMSA~&6iIo{`7xL1h*Hf#^5Te6`sRL)qLMLrRNnn(Tkz8Pf1_gIe@~!dSvEQW0SidAws*dm4ta*fN<%i&VU6#RHP>#`&z-25_FOQ{;r`Ug1a%0wzE^6s~ zdt#-%0Z&9wZXKXeWX3oH-b>->By{T%#*@I?CHgb;xN(6V4Xq+nbxI4zKWB1z*5pjD z7{TyJU8d(i;iT-Hlj(A)?JTM6v;X?Z^bxwQ*J+#jkc9Hk(Se6 z!yfIpz&@BeI%)!vNv$VztXC%3C+fa5+OA#dBL-ZNbg)Vuc;i~LI9IwO7~GOblp5XY zP8U@-iltQBMnf-}Pt8UTd{Md0DRC^tnI7`s-q8!bUv zqw7|iMsG#w&U~*$ysaiV55Ja59)9zHktz!7LJnG_8q47fg*msUjglJVh}!-G^NA;0 z^vr^9+U-F5&1VdB!tWq?UM^(B#Eg_Bz`sq^Y}Cd&!wRU)WiOoBCR%(lHg{tm68+md zB!Hj`7?Hil{k6?$JYNpN8$S!2zT4Em>FK3KuL=D-R(V7YoMfkWA7470)qh>!l5@Vc z=$Q_9pY_gOWAdW^oz8qq=#X4?XO$U@PtnlTridywigSK?c0)1<^vO2AK~7%V|J!_) zN^Y2g5?XRk{)xzl5zG|h33FZQ9CV>`V7%K8GtVz1>xP~3LN zaXetT5~q8hT8@N54~m@tdBw{%UYp899IsKE4s2W}7d*bdzzs7Oi3(6pV^5~jmpqJUh_9$s%|4E{7Xq)}G<-^? z_`f!M-ZpBRc{J!zP#n3d-!_fJSL5U(NR#Zyvd&Nwd>%u0NAIvKyPe0l4QE$AfFZDb zlyqFacvza*USsU~6Y<%6v3tX|4Q>B%H)h%D0%ft%Y8u&48`X5g@|KyIsxsG>7x6Il zSuOk{4|UvY+q${+1ye{CuaS?dAbFgY?P@)(kh?i?Pp4oaYDs@I9nt6ASe4rjJS7xe z;LfoR2nb@itS+tIfkm_%vKC*((pc+oB>AZF;FfcC;4=P!QEylTN%7 zc2cCK;%0pLMsJLV4#8=2y)hJyJqdUhGK)Rn5&L68|cZHe|6Q+atP^lX*us#QUPwEM-S@`PbB@J*|&71uWcF>yWl6T$PkgA_Sv(O*b;^(u!I|se}$41oN{4b&=|2dac znc7KQ$*9+0>I{Uwd-DsX!@OJV#e;x>PzY!R=oQqvI%_;) zC|axr?_b0C&PuROrlx@&iY^Tn2C&@)j*8Wtl8=_F)x@Sdxwa23R&Xq3R!Fqk`F%n} zG%mYzNM;PyMnu-IK5lnyTphVTu~iJm4kuyL^RJ{DVPnk-Mr%C$OK9FoP52D4UJFE_ zo1zx3{&K3Ak)x(x^D-M3+~OSBH^-*4!A`=*iE*75t4(T;Vg!BnIE=x4U*=k|p&FNn z*Z^gOYr2S;J7xtfcqUsnp2lOO{@cKW@?x^#G2>eTbEZ2fh8yxA;Ae=CyU)$JsDdxO zw;klQ!KZyFQQm}-aV9un_foWv^CIPNv6H!g4>mEXmL9PhR;Mat9-}+g%i+2II@jg*=%FCIO7scV^j_PsoL;*u0j>_JNAK)Ms9`RCgM#va$CSE-mS5^kL*rnJo_tOhV9 zpC4TJ$5eVqP)80MT0d$WB$m)`?g+QKvANj$7nVlzVb(#YDg3yVBCv<`iW zfa1EtuXVk>oxjy$>c+S}hK@{2uD+N`TUwuD)^d(615yhE=+-iB>8n8N33DZMS_C2X z;VDy0B@j}VnB4o5B%$XQ{-S4;62=u`fQ2hYk2t(tba2#k=ANpulh*rFB@bu8t&-onsL`hHNH)|orC)e~77-%CBZFYyL zpkY?}&`w&q{AlL#9$MPS561jrR2k*2bV1?H&qsXZt|APl+zCttdKL0I^qu}`<&TUd zinw&d;FNa$si-mgHDmS2k?#V(LhnaHHU1g7s4!Ovq&}5RE1ptz3+Y`86bgZk&fYFR z%xWL`*yLk{{H0?^x2!sm?8sNYs@RSMUOI=6f*Vp}h$%+uv)!K)zj^xm%SGhAsX3;Y z4%;ednO~_8`S*a>F@wKrzK_KI zGa%NN`R^rs%#J}worm{s0{42?rOyzln!D-g39{uCE|ulK*#!WMUiamWdnd2`s+X-! zoN(zNQfEE8Lk1ULw#|AMBu;$u4^D{>Z`+(-|JuQ+CH(8^y(7M$zZvto=lbWjySeRY z^gQzxRWk{2HxHWA1+Wl4B-q$XI$t*5ifYlvS&YOX8mExcWw4KJ9)!RA9NN9}jIC^5 zqS&U;GvaH&)9sM_;lpbJj`+4`(#vj(znYsy;##2+d0I2fWKf>A{e|^UB zI&rQ1ORsA;U(t&>T+Xjn*^j{<-)+47s(fwYQK05w;LA4er)kNgz0WZ}c)2<5c(^c` zc6vkvq)R_TQt+iOuTGa>%jaiZZ@;7rEJ;Bn!pl|$L05e9%!d(D#HEg>6Oz||ZOA|a zpQ5cu+XJ1I2|0=l^iY?7cbz^^*{v=kdC#*B&nmCiI5L;`xwLs5{q-L{bI!a2QuSNg zXH9GmAM}ymv;S9W=*STz(f_sl{P!&H1EZ(EdCGy>LI(FPN>2Cw_M1}wt||wL{CZIj zTzk%LVkIo$WZvH*x53ner>9nXr?U3TNyP<8RhBz$z8g`kN8ly(fnCzj&BNsdx`osC zS+F@m7Y;t4J(|@$kzzZuW(CF9a67A3O4WH@42p5T~}Bu1HFv^+(c9^MFp_{S83Ri^nf!r8Zd z^nP9UXGX=3x-PPuh5vfE>FRvdP!PdH9>b!XFw$C=ptPmOR*<^2k#nxhOTUz<=X+T; ztv$)416Ldic*5uX)-f7jPBz0`rS_RLsk~m|{(1Wcy$}4LsQ~C%ZK+tDt~d4ewk>}T z=5(x)bE%dypSSVpH|{9V*jxGGCGH^Y-i3QrOyz<0a3!}fL-{qCNQdI-r0cC2KuP+X zXuk9alZTE81e>N#GP>e`UedLHq!oLsXmt&+Y72Yq_V>}{fp$A2~hWym=k%T@pi65Qpo_obPWFro|0jzyDw#YZt6SBUl7!msI;K36osH!t&i3 z`w(>&PtAiPzOODY<#_w!?qKDz`*wB7n^hP-Z?R_e2}Z)E7Hd6*KgXpRZ)e2b)g7cH z_Va*-7BBpiC^TfWY9-FtV%#PyfX5A|UB7tQ(46jbhirl9@@3&&;V$}QGKrZ+qd``~o-y5?5A#aj4$ z>1cT{6PhowGCeyFMv=F_ae6LV)9;e77x=U`oPIP2wf5C4_k=GW=+if%*VnF;kT7o+ z(DMDm9i631ruNjLXR%LU8sD&(PKbn3i}T{`c5M`u{O#oa+o%`r6O1A()Msa=G9ylN&^iS^-v1 z6zov@l`1C`%4)O&gzUj5fNmi^b+JWV)hq+-6n@?Jxc9!!W=0#5xbl0^+1h6DkW#z- zf^C^LQwPWOXl-I5C^j0R&nwoLv0n!~Kv#NOw$@$C*IB9MnX6i_(*Wv08QEjq)0x8C_Cb3n>5ncsY8QMMK?sRdSBOigjVV z>}OqSXxGA5TFO$0$9#3gm7J1%J29r*bs-xIw+#PsBPqlhu9}HB?fF8CxK`l+R}1>8 zRRZCx#=W-?{JzdA)$SN&4?n#o;~*br;{f%sw8DzA^KXfv#t+t(*I*`5EVe;-L&8Ew9&(uwbNj+L=>HWi6eUL_PHr$xLW2_g=j(nT)$+c`YZhciHuHpdU~7h zPTiG&hak`glLc!61H@b8v+m2~014)EIocMg-26e;?n)+k7{Bth^GS|`nxN2V)f&F3 zh*pkPs)|FOHDfv`+;9v*n!qte{ve1A7PXagTDQ%$0w7Nta;F!UafH~lo2Su{r}CF>dWm$c~@`X{hr%IZrhT zFHc&pJJvd4>I^1@DWbW|xuc)#c5Kr1erlFKiYIDSCsdsP!JQzVVAX{eyF4+R7hdE4u8b`X{eOfy|9jo}FW$j_k8b`I(Ej(2o&r+q zY9sz$?>qY7>N>CUzfS9syP)9W%{#vi!;#S12Ab69-Cs={N4`Qoj-K_E+a1t<84t47 zRjzY#+$ihqt@AC+=$$firs<(#y7p0PzQMKW`whL4_r$G&7i$+@9}Xcna%Ac2QS`Ja zDCt|53u$vSEu)d80mY0_J-0WhKv|(0BWx+f73?)&9@aQ@LX_k z?Vje@J<_JL32Qn&Wq)4Ir;7fb&D-2SqZP@7m)5mM5AE6!-}C44rIbPY^&l^U(@p4G zR<$g4%OqARJ^Vte3eI>rE>nKHwl6hdPGYq1@X*j-#OTsNrut1Rom_>rBZ{jk_gaj> zHiQ&QMQmR##-$^tsCv1E&3-ak^>A&W#qJ2gPWgJ*{-*Ni!TY+D>#X+Y`}|10J4(?e zNIxMykG>RnfRy&^Kiyj2YlnS{2QE*ycD!-o za;EXD-oI!!5{(-r&foJo6}&US<3arU#AXl~>8;usd#ic>I7zPm{Q0l`QlBclX}-Z?v20yz~&ix&e=TZ_q*xEZzItJ+r5OEWZ2C$A3AaQuvf1 zxXTd@U+(=1O;_a4CvM2FT;_IW_`X@h^Ac@=960*D5`wH!JN)NWEP9&d+v@=n*S&7# zTtd{uFIm}`$(Oo5UnsR7-RmJguJY;GulEFc_oZC)!KZ|*j_#e+P!N#0aCq87V`G34 zpHV=n-u`kd=kmKJTU6x@G-&&|vWI;C6xyYu7z_yB+%;O;1z3I|`ZQ}UC;Xs8Xtsf? zdcT>g%?>FvWRIb4eN_@)6eIKp{t;4o#C$Ucb!V9N6v2clzlSMvLuNS>jAKK8@W&#sG-m%PdKbrv|64gjrf zDYo{dhLRp2@E*4xig1qYr$xRxVBxBi`xH}Fnc2ePB|`CVzMO%$O2gJx5_T9u(s?e7 z#(Ku~)SZ2U)|m61At*+rTeLemV{(cu)giR=)BA;4BA?tv?)$4IlGOGIO0H=>wlO`D zja+UCdbS8cb@eN^?Ohsra~o7KvETr&3G5!UyTx(81Gc+xG_Rgu^jl1Ie1%bOn@n(d zqczWy=g5a__N$vC$S+f%#qtvxE2TIevo1fIeOk!Zhll+3+;-^*XI`A{=p89-22&s2 z*UKQhCf)>?pHJe>mud=f!sWb|vfgES*i;AibgJ&=v)k^Bn&?Vg7S&VYHmr7MOPp;C z;xgC=N1(6SqeNNDQrb?%RkRoL*0kf46z0}`RgagglUCp5C=P-X-bk()tufd|nmq(jnSB#?Qm<*4 zWU=6vIZRs`GW4au*CI_`+_=AzMHG>Z9+j2!5f1+hM=^NC(%XV&_U(c(1gn_6o)cu2 z5gh+)u*ZrHH9XfFh>2QRoc|ibkR#uhWst?kusL8o30RO__UKSY4m4U$XcKFy(-(Bxyo(?(JHDBud!`J17q(+)tW3%^*63*-(|xMI zIB0(jL|kW3-cTtQFwOQMbX;?$bQ*Zpy^x~gEwxXeFAo=9FaO>NER$ds56WP z(X5pUKSt+-OlA9Vwk_0czO9@67|vOb+G+IIdC7oz@apcVZd-PcC0gF}LYa&Yd}c<+ zBW+W@jw)ZbjjP-4&Db-xq~GMGO*fUe=l<`TOPr+Rvm2^i)5UTxEbNUe?WP|C=pdpm zMOb5;ma|x32&C#}>st;I%G~no_#(H^VB*AMDIseflAm&f-4X%iJ8ZJz;UX;lAeRN! z?~HBh&g!RP4J;Yt-n%^wkQ92whK5{FcsIo5$PG$;XRUZ{%jwM7f{8Vw7=pIJF+DC- zKNo4BoAI7xjB<=r%+8F4vgf zs!dXsYB43;3qmoUE?26R0D0+qdDABvgT}kxAWjpsQ~yZU9a88V-INdEk11@Jn6w51 zmaj!TVl{g6V<#PlJDI#vz5e!OtWQJ9TVj!V)2C#nl3s-(OXdIp=_J8km*+#-1}g3O z$me56NsBVnjq&3PLj2f1ztana-Osp@fyOG5DZQ;vCk8CsiD=I;)pNFHlGfZ3OuVnu zUS0tWZSClhDgClA9S>ac2n1$JgUtIdbgmkl_)qIR*Hwo(=lx)4Y~9KDf`kj@s_$Kl ze5XRKj0&zbLJX3A59i|jk;=1hE_F8hG^Z5&#bKlXIiWDD02O zeZA)PzuV@~u8?y1r@660Pm7Q~*Q@SeY{rjMy$7ekzI!#0cdCOYF&f!nZ|MB~^c$80 zo?dP^HE5#iT|i|XG|7J6^?gV9)o3m$-(2(}j`U0-$oQF^o1*zyV8E=A?5FA@^d$gQ zIZa^v9k`qvpI!~pj^I?p?Jy?DiB{dc%I<|oG`^tGR?_sW77msE+SP8BgG*a0O=f(C zf{r+c=mr}Qb8m$35?aw*a3dh|wl{M=lGBA@=tTLTg7t!&Vb#kRu0K698-1FRn^^gs z%w)TBI*kO@xeUIe()Zh@#?`ww^neJg^Y(L~me&}|QX~{@0;@2Vbz0srslo0y;<;!N%#0i>&ay@Xm@;qLKfuxM7$;K zB8_@K*ub@R7w=eDKe%Nyu>u;uYpEPCf_FN{>8iuSg!Uy~O57CUF?pwcV$gr2e=D1N zD-XHXWa5@8ps5EJSl2&p=;wL9V@6bIul>e5X42MtGm|Tss_(r3m+_0v*f!U zFq!bJ&G8sRk!pj6aN|Fi9FHd{eF zU9vM3(kw+VO{ilSU$bfi5?F3>uUtcy4He14u*j^WW6V_jLh${Du|xJ za$gV1%Fyh_a8OKDgDlIbiyL!vp+m_uc|Y*B!%q)UzPUzD3zbyZ-7^?!duT>SP)^;v zq($qlth=YAO1e=TUY$h0y~G@G?-KlcY?Cp_(S^d|DE!wI*}+6Z{=SHS3-mc>4gMpm zpjze}s_!;^?Het|Meoj8vZJGqpGdjc)0fpW5K`Yz9l5))k*&-orS=`w)TbYV2k{cF zf$cai^X0?T^-}}<%!aj9xJ}Cus_ue*nm2rj*;C?_WuMv+yg|fK)f@LOI9_vU!HskF zUh}eIaMF;1+qqp=8D9LTAqpJ7=O^80aPQ%ha!O-rHf5e}$h0IPMq?xhck+J6Oqd?SWI7`Vn*8x?&b#Rp80Qr}f^3V%g$94vV=6T%vi?atc7 zWY$uR@R_3SV4M`}!T;NrexVjyOS^EKI_8YRAdfSFet9ZI>~$?VicGYdblNQU{%0N*TAy*w5IVcTC~y84Tzc#TTd3 z6Z3syRboj)_-e?*#Qfs56?2G>vhK!K@zWFaSL4|F@_T#V0A1$QYX{L% zu|>!Ytt!}1+EVs73qy%Xu&k+|Y4-g;QcCQN7srvTx%~;8q9uv>JJ>Pdk!YLduOBh2ptZ;50n`5qC!%H-{8<#?BqJ2i08uem*2@@CV*P_;rOU*?VcyLAv7t|U! z5t^>MB6Ri*_*#kXvbSP9+`$(tr{H#pwo+QhP04P3#p_O%9kcyB9T!3r8VD*2mdCsT zbnoO$9Y3+0mkGU2mvcgNt!Yfdmq>o=<68P-I?{6MC;Cu04OW9x_?+sXI*#>SfB5}p zdr!@RkLOx@q}_}`n?PfykN3Ktp;(OPhdD{+6_8}z6Nb5A%hwo6gj2*~+307OS2d~_ zxh3>8hT}5){iclggU2VOT;kXz&NU<&SEKJt34ju&*8>UvfuMfs1`bcsECN_d92!a> zA8eu~A5%|ft3C5vDZT!QI1pX(+5fTJMLq2A3GY58yL%VexPxgkypdGHpa(-vv}4TM ze+j(8vBai25iRo~?A-9AY}rrc9AEsCWD2jccR0I1V>tLQO}2r}D)W)KZFcJSj+ZoF zm~chZo=%-mZ{TxQ(mlhJtP%};-x#F|=DSQE@F&~Knp35ifv#*&d6Ecu;-2kvCu`qyH|_ynHja&RHwmcxqJ zSL4;jJUw>?YmWTnc*Ax6!8L;OxVa^S=A?UTq47gHUyRTzb+44cvUg zvny}t(kXJgeq*q(_!zm;0IZo3d+GUo2mNf80`UobE6oN=SEHB}HD~uON6~*}ev%`z zeIp?m4ZY}U%4x|!X?UP}r}!3-S%4oP&lH$Ox*}Ypk$Y+RJ?!qO)2XxSO>EOhR93!$%XLYCM`p_5S86eVl;6OWJ z6&&bl7Ix-;AxLU2?J~?}hRcM(gzP|rlp(4G%#yR-baTo)$2^+p*%X^vatXv?!MZ-> zaueZ`zj*xX4&f-46Rj<6F~>oU(v7DnakKXoW_k6)jyYnxOZ=-ENZBxfPVKd8ZrLP8 z{dv`_tBXJd&#eDfkLI4e)i9q=LtUl@>=+FKtB@jmSV)FukEe_@(msr9vOZ&Cmg$X- zsR1q|v8`E#EKJ1Oa;>vDQG{MqY~^Q+^YoehMk#E)wwtGPa>^#^nYtJcpRZ+aYg0-1 zij!1kw>Yx(nX3}Fkz|ICmL1Shwx~=W&JGylb4Rfcvsm`d!ar%mU7)AcEJa=tO@l7i zi#|P2Tuy9*b6>|FU6QKZr0$nx?6#LUH5$5_l9w9y5w$7hkZfoJM&lVf8rj6SFn!E| z<DLIhgs7&{tYh#kcR|{h8Z?sU8dBGm%2{@7=d+EeW@3YUGW|CVaZ9T_F^K`D{Ozq zr06crz3&bavs2OouS1i+Z+vU1(0bEPAi_MnJ{oMC@J_7Oif$JhYM3Vl?lSI-w6qwlGgo*&l`!dm&*US4E?SxEJ$Wn9Phsbr5$$i9uTP5E&uo(D_AXk| zO*;cjRCz+;6;GH@2(x1_G|G+nBnmrDDyl|YS{+Moi$yprpOpf%pIW@Ey@v9=u%!M@ z{rrrz(LAD1hSrLc{ghW^{_LmSZa$C1J>Z1kWLTn?IH{7{rqIE{oLpuXK# zBGph^80l49{q|B|>n{Www(}JWt2e;F?7BBP#s4pP|NleYKYgz%=AK*y5cjMtH58q7 zZY)y%97J#uO~E8lg;&t)`q>PtC_%!QdrQrVyM>9{ZstuSgdA_cQmhXXv4kJD8rt}D z-rLaNIzbLSR;ti}`T{ZUu*7amtTi>^T+Fu4YY7&dHOWg8G60LYcZ(NmS$o$o6Lpc@ zxGkp>F+sdj3c?+8U}kuC{DbeP;yR3bL6f7I-VZc9W_~KyoOQs?1woR#8|Sghp|r2O zJ=d_X8Yc^SnaJRf~FmE?on` zz}Vno2H>kKW>-wG9KP}lo+(4P)R(V49pk=yIm%*KfLqJbAZCTnVfC7HB;7jR@sDtf zYk)Nx9J>P#t${d*GO||jRlq)0zkRK$t4{|SPnxnQW7g;?eOm01}0%iQMpbdEaRk`vnW=a2WI*tr7J$RM~%K<$+^|CxNE{z&Nh z>wP_<7=r)yA&N`63bdtsq=;xH4tbRd(m}C)3`Y&eDPUrSd(>sZ z_1*~I9<_JJPjq@`+pT%R{wKt_FKz#C0nYzKXq$NX!Uo*nbSCe2kw~t`E~_G70o42P zz}lZQZlD&DHkE|_ZKPB#6+&6P!HI4sT_c>=ut1d} z%fvy9yZWS?D6IsqnfzWFy`o$uK}LXlv{rGfx%B)152faYR8 z%aY66@W_v40zQ8gbeGjntCH~j_N)aXdL3*kfF&i}We^4G$0$iIh`>E4k)MG{cE>@W-mut7^UzV(iDJ-<^t>S!v>-w_&et> zKXWvgziO227;%8<$<#Id!AQ>pK)TsNC(Qs1d)_Zp$BAG@81Q~j$QF>O=q##%nU{_C z%b5ezJxl#wbZ6a_^&5zLXW~q0G=HRcJMGoqev&BwQyJiWvB9rE>AhQdz|qK{2h8=> zUVr=>xPDy9$+9e0Qt$nuU%CGW{dvBsY+yCQja%qgS607Du6h?!__&r(Cz+C2ewpqn zDHIR>N9R*Uztp*r#YAZm=`UNck!B5k;`*WJ309H+ZHQki9Xv;j&d?or5Ci}2Sa{CH z_^IK%`Ix1vfMa}uUkNJFjF8@n7?4BXhEied=Rxz*YKmJqjy=0_U5I&OUhCakL)Kh9 zwsQBj)0C!?3SfoV;_;AjvhY~u+$YbA^?6Hw`zT;|(19xjN4j;S^hm~4EKxV>ti(1q zLktncFpONt39P1jH_Gz9sO5q?GiIB+4Z60Z>NTG&H<`Vdg@k4YbzGMz)9o=8)_ux} zSCo#lOMAC1^fVhsOh~$9UQ7aNRro#M&o?~KQX6Q!U#l>(Xyf0iAb3T_P&I6ou zrRA+XoD?{&@dx_%;Yn+r#EJ~y4WG-tfkyMo&)Kg(%{9#-x13K(B7dA)VHC9)3bdz# zV40^dkH30#!iT#tcc008HE>&#anfU`+eYn_Qr#lq(2P81a#9D8dt_`$P` zqbK5SLK>w5_8^T?D$kudTm=6|apxM<)Ro2YDS{{}F9lj&!J$-n1jOR6&%tiNpv7#3lq2A-GCJR78XXdLcmr0un-vfFuxd=h|sM zbfzC>&6oLp?^^qwz4tx;y?=Y1lLIx0g3=+2F^>HvmBV7vJ$iWqon`SdTpW?UBJxD$ zRPc_1fNQ4}V~J)}V;5Uk>khx0 zE;x8|kmr~1Q$kg4eBHhnOBn;IB*_WBqb1znN^wp*{oulfTMTu*o0z3d0!}yzN{%j| zFx~bvW~fDT{KW&W>j7C}<7Db(CfT!ataH!zmyBV;oiLIaq0>T+x4wUsbg?~wn2W_| z6KP)L^ktIE03_84P7<;{znu({kvGF z0LxiMyI+&(M8TgxX51C`Qi1wWgES4o^*6WVO|ol0o`j9-)FsO zXTG?T$mLf@`)yWKNJ5cs&T z7{kY(o+`vz#WrXQBAa!`qB%V@D)V*O{s&q;IVCt54X0qP6fZ*O`kMS2@}i55uA>la zzd&KSuRKK=P`g=bV@?sur=fQs$G*fF=x;lZ1hP$ddOltD@08MO z!?S1@^9y)HpwmE&eF^CZRAlaFTBjhy#-)Y-78DZCr>GTS+&zn&wJP#?4ZHZiaW1f0 zW>ITXxZcdQc>l%R8+RX_8Yi538NcH~rXmWr)KV(Cb(tQpZ*X^L3@m-4AI0SU!}j3e0M~`_+ddbXSr~`E>$1?>o5a>0n%c>di(2vr~`o-===m3bfT6&^}t1 zj5K5YV2rmgn>Uqn1qflwkQ1?SlCKoFXjWUn)q2ekC7~BD2d5|0)%?|F{;GUJL+}qv zJu-c^kp{%B)oWhYbAxR&!S$7+V^xUVi=r4qfxeWH?reiC6w8}WM?F=o6lPFLmAP}F+A?)LuhPrm()`V0yr_lJ!&JcYTsc&=#cwHmTOMS8#5M_T$#)h{(Lq6Zq zvc+ZYNAjb{H^~n~Ijzty`CoJ|Ed1q0f{@Ovi;#yDVZ}x_(D> zS>W2yfc|91rJd1`mLSa&hf(!J#>LqWu=qLZt|-dssgS``pBjkc4ZM2K#blo|6$Z|1 z#@#0iXf~d+)tfy0kcxgK*c53f7-X>SIflLg%l6J78kh(VLBjc~DTy@}oy<#SKtHxH zBiRDIm)+3{WmCh!^WL2J)Kat#1S-xUQS7AVZ<0!D_9mLZ^~ZxVrJ+$YvPqA}*#oTR zO6TB@QWLMK7|OCB>=>+)P?)t%EQU)8ce!)Co{9P-!cF>?E*Y;X@OZzbfMPunDkk@n zw-IR(6=Ye)V{<8^o#+hhs=pD)n7<^DS_AyQB%cs!-&hC!FU<7+^yYW1D)j|?;j-+! z@AJq#0%$c5{Ia4u!0BIQW$o^b3nax*3i%B$r^Cg4=P`VwCw<3pEfByM8TJTy1JrTXl|^%+oIPl4vj zFmj5jlAU~<8+in%rVt{|tMFN3CgwcS1o3Ov%zH3GOrA*Z3jigfz` zTvFUMP174@9P4zqOQO15(15S_>PkEIVXR7jn;8yGHSVqY87Vv^qe+?s`QuXYxEQs9 zX7%149LyKxv>&~aE)?)w(^&hm4;na1LXy{Mmoimd!xJ!TI|2pZF^) znmX?6MO?TJWyLdl5mdq=!P&I+s)gbQOTuUHchT%wV2$RRW~GdnA#!|8sGp<>Ib;__ zKE!>B+07C?Ts60HPKk#0lcIH=6GlWnwC#U~16dE@N9(kp zr++h4C-n(}mm^Rb6#$*2+y_gyrP`2&-!IDZ0pZTSxJN}w&`#l~V#B<1+xGQtIbGH6 z1EvyF{LHWFjbAAxZzxjO8nQ3Qw2NOK7i@<5vcOG zUpKhWfl3DA4>s>Js3n2o(BdAARvt1+cj>Eq2 z3Fds}uC>W{lrEbq72k0vjncK}y$ZPcQ)D!LKaimhi@8N3p}%Y%`;|tps%Y(AnV^8p znDx0{e@$C{h5!1H|2i}*J)v2nfWLw-{nh!uZPjzFnmL#{kJ9kM1$^s5I?`Eg^9U^B zD~l$;^$8?RH&GkF{nFN4B3Zsy7s=xyQp;;B<&Ydq9{GI%{3G>xp#1*BbG6W=qZWJx bxZ%^=TIIn{uNAzXWc(~RGN|RivD|+GriSi< literal 0 HcmV?d00001 diff --git a/peripherals/semaforo/simulacao2.png b/peripherals/semaforo/simulacao2.png new file mode 100644 index 0000000000000000000000000000000000000000..24d07f2f3ecd287988fbab943646c0745c45a99b GIT binary patch literal 137470 zcmb@uXIN9))&`2Qg)Imuf=E{ZX#&zaQHn_Ky-5p5C`#|BNR=YJcj=u#=pem@&>>Wj z4xt7DfsovIzH`q0zWeL`xa$eYGv~9iGMJg~81Hz;Sc%Y7S0p2$BOxFlAX9oPuT4Ne z>_b5C*W z=g;4*0hbaR0s>s2lKg93Z*#=*UH^OAIO5|76Nxw;dY5t@Y_s|qS7-y|k;916m? ztHvG53!^(BWyZfb6ex^mh$=Dl_FBJrc|7E`e{gWQKKV!#fyuG!fQ^lf9gdAL!5L(Z z=B9Q9VNx(Ke(v!3s#NyLT~g9=z4GM0@YdiDg{7ecc5b~ggtd~4EG`9Ca9f-LKmF^q z)NGr>3|B4jzs~yC)2}wl-?)E<1XZ#XwEqm3LJS4}88wmC0{a?N02`T*}Wf0=6PoK>Kb*RK>pA9_mC52 zV$b;aG&W9|>4%tuFcbCfb!uJeypC2BnFDl#SbdS{i;{BnwKdKh^b6x2Rxnl{eGqfb zEI7Weigz}+J-tlsbbWAxc%9;1O;DKO+}U3hIo}?CLU*Yd!_o_R6X+v`A%^Zx475`W zA@Q)RcRpVmYb9pBGy}|gexKM(C+JZ;qJ}>(A#;+^5iEaT#N5E4CC#os7Q5)9sW(tY zmkh1UiP_|2Y0HmZsVFZSlb&gudio#pKOs*M_ruyZV*yxucnfr;-LJ1B>Yz^6^DSa= zZI+IX=($XzL(*}Tt(5cfSxMHj{Rcx}W3QCi$q2wBSNAN|JJImCMr+mYVu#|0X7|Q$ z^Wher+yQe*c?W8@sBO=v(g7}=Y@>0t>3WzcT*z6=^~IaW$d_3FlgEzUk|;j|K*yGV}M0iNX)E%o9B1 z0$SKp)0y`>+p4&(K-cHmaRmb(C-2veRM2ycQPW4bu7p@eNQQ+Y?SHZT+5G=HIKHHd zIJJNVJUyDyZbK`^ee1xX!G>UezgMrR(rV-wCERO#-tq|P8h5;*t~a4+{4jW5&mHD8 zK`z@lFSELML|C}WAD-lejHSn2pR%E%a@%?x87NPl**Dl1WH!98Hhb{ z@hOX_MHb8vPVqQ~Cw`cQ+a0=>JCnxr)f+!9reTJBm)c(rksIA+wI7Xt%<0fFxiqog zhvSHAe4>ir$NavR0Ml$d;h!y*6_5Ro^#py%5=)L!^3x31$l#&GK3vk^^W4YedMD#|sFn*Q_2JMg*q8dPt>iQS@lqu}mV zjeq-iR$0XQX;+q(MBm3nbv>pBN1o&mwjRnKqxJ!MUfKJYT&c8rJU-7+=IzP z%ldBT_dGt>^$^)*ZDNLj_Z z9KQjs6bnN;c(Cb9=$o7U>@P%zCji1gG?2Ws77*%-u@tprU6o~GW zAs!_CO!d#18+E7WOBOH`mF9BK=ekVw7kVuKRY@}V_Df4vrdbHsW;!^s5$vj_6IFLD zJ94);ih+1z!eRf?wmg;Xl;!lCK~>9Szkv~ z?p%5{1mifzwg0{3_NA1rBgj!=I}E%UEcKFj-`EhKbE8iVixO1PGc+U7hmv)e_n$=k zF7j^M-P5iz|Bt;K+8mm;u_f-?TFGOYkEZSuyNlC+P;u7iF;$1;2}iic=@l)}vue*& z=h6Q8DDBf3-z+19fm&L<1FO)e_4bu$mRpYAE0GfOl7og=bo-;E(r%9ePi2()=Vj!2 z3GvD}+M~=2l+*@9kB$32YdZY9wMs~15a`xZo#MNE@7$Mewt^`b$Nz6XPC$_RShISf z;zf}q>yo3;Y^Gi0@9WD;j?Y_{m)^r-l)ZD%118(rK%$=Y;v&O5{|r%?fiz-FuavI& zbLJoE5W2GkRC zK=EAohYo6<9IGcwe8;=Jq)MR-d2NJud>=r~ew& zsBE99|F7SVytkimi;hB6lE2#Mp^*rKeX<8V^OQrl@6A1<#yh9oVpq-)k3d z`#9M?m)&zQ=KM03sOsjzqyJ?`pHC|-zTEi3v!Ih5Tkg0;Ey`B@!GC562$oJ5 z2GTj3UU+VN085Nl{GYcxAqLt1b0&e<#u6Rf{~FKU2NC@<^m;mb@1N1(|4-35T$u2` z7yZ=w|NR3CAA-z9rw1zZRO=EMrj)Ur{^0_LH9J8&5?T&czJDWwTVy3QAuy()b{VpD z3Knn7gcQcO#DWZ6_~C-e$6lA{__K+b^mCE0wX=Cc!WkArkw&Rxjrm~v$AP!i0Y==1 z7vGs*s7D~HKMmw04oKKAiZ{(?db{{y-W!7h|IQ*l@Am$;-MLS8VIXI5q#+ZWmYVgB z!a*YLNPG)R=c#GJBO?`sLaj@!#wN;qMgT@bU4IBNvpXqx`l{inbo*+&;;sZ|| zUrmBFK1V}Z>ytx$UtIo8?;w-?EVe%*-nxi^PGDm^(Vy&HZMnT==I*(QjO*Kl1`PX& zw_~;K2eaYr9B0y<1|!Mdv*e-ek+}@h4kpzcrU4++UN-I|(B-3ltAx-g$<`M@ z7Twat1C679;4l_6#1D&gYSO2EMG+Z!+P~hiXE@2rW@S7W=D>+A-qgmOtY51rOYvIN zl<$l`@FhTnli7_W_A@RH|1sSeD>!4&S|Rng8*y3 zI)xhebnzZnosRu?^sP%4q6_bmyr!ZUwgZRD?+iC!|8z{(C`?hD+gaL3Wvfaz0RDnX z>T-Te#%Qy|Rdonlsd5Ao#&%@0ZlNUtj5mtARW?s{%(iv)p8Kw;g_7IOOt*6}rZejW z%<~V>WZ+%e>#^Q&OU`v&CivDlY~0(>dg^B)`KH4dnL*03+Y>-ON|5Z|D-tPnV+dv- zjE6@6D(H>9e1fi05FoZ~8Uf8KQ3b%>>F&=_gUQnnSF!|W(!@J|A$S`tA5w@+3B5J} zr#GyN;s{Y5AH$5xH?o&ph zdSW6vlf7S|OFlgFyVA2k;z9h5?c?NO#RF~F9@)SzJQj)(_gr>RG=vSj)Q+&oys?lfV5i3;SXuY`arNZU$J3;S)(Rvrg!~ zv`!s(AJ`#M9kj{njR33rJpFoDMm*E}E84&4-wyEA18eto;NlvkkGPgjuU($6t&Mde zcJmPJ`a^JFgrc{{0E1(C7b&-3Y&7SKDGK`8!F#BQQ_9cd%-_A2vHb;*$!Xe~lc!y} zIaT1pTi$H_CJ7o)^?2*k-SNNCr0{N>$kte zC_Ks&!Ah8zDTt6}J-zL=|NGIfj>kF0Z-H`4dLi?G^c5Qi}@;Q>%Y2w~91@2Bn zZzjYv-w(9RD$$QXaWHk(!Vc!1aqN|+F)_Bh&u6EwZltnJHf2J#>{@%J$r{Oc3>?Ov z?j}AIf7y6A_%w39hqdg{@#-wkg!_!eYI`eA5FECp@7xtHkd>rOyOuzl2F=! zx|Qx#XWqZKy_Dhwp$ry7$&4*--RFEF8YhExS%hzRy>DPb|K8;s%E~XF?cWYG;6-+_ zd(q$;*NcWq`E?vsgFPO^mPQ@O%)X)O>%ZJ27)4SESfk}WPHhGa^2X5h7Vq)sYTQ?k ziLmrRugSkk1jtRP$_;p)D21uk-6Z8M`|!;6r7oNh*R*59%shgV{$6YmJ18$&^7!th zs=(zOE$*Dx=Ra)y?5#7BtIlYLYD$51jBNkI+I($MU%Hcw8d^DBCcsCoHn~PpLtpMt z*$@A<)l*S5BxF6eo|-tA@~bPlh&<@pG3VmdsWRGDR~f-t9GG&`X8TqIvAKyzxSxF8 zw{W~Nw9T07j81scX@3|v3ugzE+>oyPwEIt}q!M->106y>RYN$pk|h8^8fnSOIHC?b zu_)Bt@+s|{ktJTfBtsYmY!}-So$stpo?5hK%s&QD{6tVbrD6JHv@@v+btXOCIOq7f zUC1Pr+Rdy^<$y(Z5c1GJKe-z(<3FM2SGnZP(;}V7ieHR*rCO~5`FC0066&js8&9lM zVeG;}(9e49F;%f?Vd*^PkFn22)`al*#unOs-Fo&L^oV1Ga^5%HosGwIUh{52Ga9G` z_IbK%Zf12ujJ=(4SYVgAc|DCvh)$pWE^Sokk`Y|%Q`&^-5Q&bw(3q>3Dh^>>A4#N- z5MA`2)gNVS@#yy86Cp;J;vnj`J7t$~72t3GT9d(l)`VY118{S8u^PmO%6(}pI&G(1 z6JB(SN*f^%O^QTKKG76f`;U{%^{$hwHp-3!zGgVSKT#Sf_Dd@tr1IOa;{k=W0t2sq z@1<_<=Vx!FZvD?(!87s=ij&95y|rKB83aQPN$hhTm#}>tZSA!#4<`)y^!R%~zWLgd zew%M4{~=ahl&!VET9PXKrJv^OP=EYcAU}tHzds98f25e{W%x;HIHAIK@7w<~_eOzX zkohD;rH+SGK-6g z(6wCLrjek~uuI@jh?AYe!G86sAEr{&|FONzou~{?@18eGH(n;bBVKUkVSO ztL7fP&kQ2qSULXa#okkXq$ajV@Jq%&{u8+=(=VBp$X;>+Dn7PeoGoJUi{+6|EyYR* zl7hhNZ~vv`q2oQjhhriHO%yq-xr8Zrea7CfH=Pz^Tla1aL->>NHnW$PK-<;IS1=jD zVGsM=ou8ZdRd!hT-|EaK@c-p;MoaYL2Ik8_UsOQ#*J!9syDjidM1Ud&W96t1F>qEh zn|iyluq2+rDd?)J2suY03(OiuM^)p%ln)>FKzw|B>bcdjM@3{NCUk$VuRo;jW0rVx zC^D=LaEI)U(o5kg(g(%wdii?jn3`q|Qa>2JHbyLlfeKz8BTQQF2WmDQf25(O=XO=! zgM+utyq4KKRSz>)j`y}N#5qUX`FS%Bl4`jX#*#bM0u-vmuF&(b8nXwSp7+3LIhG0Z z#02U5&v}ArlaD{y_KJ;aVEZvv$h}i6dSF|GGjjE|`LFKHytRzqDSA+UJ&>WR2L~{2&P1-c-w$N+ZrvyJZh490!Mzu1@KyA;buCwwxoseX~BI zs@0L_m`j>(B%FI=`3v6Y`4p3zoBjS>y~m{?qH*@ATxd9>-H#tX=A*V(p0=;ez>eIs zQ~j(>#TL1(4LXE2*lMlcujX81F*mT=6ru*D+FBb7N^0#KuAw~h{9g9^aaIEaD7gZk zvd?VH*8tIYWxX=fw`$aqF_+iZb~ZMK+vlYgz9PDI)`{t_*rm0YnSBO&Lz;?POzZ;H zK1ka;AM08ScWCCB7FJ*MNzJi3%tDl`7u4atm=sTs?E7KMxl*>a$FpoAMgR*t*iY=u zwWPZ}pC`l6X#EX(y_@~6Oe5o|tjo%43N@DEN^tOr+KHe4_p=_p-`%qax;5DZF2RPQ zZ_~9V@?#I9&R}~rvgi5?FGpL5y?j}+52oHx-0{9e_$m_8Q5(yt zj0}SHQZ;)tP+;z^P-|>JgUQ1I)1<5{Y5Vcq?QFjoTY0UCfhtvCk?K&nihR3daF>*dm!giPkV zAsQEc1fTN91Z#ntBfE?`H8Yk?X|hAZrod!CkU93fHnsECIYQ^lL}0RDuFvrTmDMbT zVJyQ;T>N}Wy9ECW^r)}xi1Jwv^o*2f4e%*r>{IG`ChRjXhv~wQ-K!fqS8c&8;y6EF zYt8Jl5r>CL(|u>4^b3m)Q-t^Jea#JqQr*JHK_g2V)>g62)wq14&{O5Rl63d)7pUhO zeUzsGpD=SUW=oXmH%z(@8%`a)){Xx$+29b7NezqnoOkh_X#~Gfyf%Z1UQWScy{H%~ zzh)l{IgY}zeLvF7krFPEtz zH9qsU%LP!iIA$1CaV|;TCgG$s?U#dV+V#@f_~AyIHV1ZD>Bzb`$3dhGTY<|G6m5Wu zeY`ec(VQHBNfb|Y*0el?aw6Di7r1C4P76OcN7|&$Y%c)cgbc%6f5i!2P9!E2&lV&5i?GX#p4{bXy|`d^T^#EJZ5_cnY*1ct9#TMsx07`+D+%e6ego zE9_n%>HVOfpg8d8{yX-B+fc{MvIAew!Ft9Q2Gd=gkKkS``&`^Afj+9VX!?YB!eNym z0axNjd<;n(9*Bv0tEhTyF{v4LUe=i^DvV$M7Ly0jVS63;&F;d-(h+0bLvJxZTt*gv z0S7SH+IASvcnfXmW%4cB6MP>{4yR)7?0ZK^Mp8@C(IJu`hG6of%WA2LBng`XT#ssN z-#S%0DfqEc*!EhPVvjXOd>sb30MPhmI`wt%S40dp4+rNN(sa*~cr6$s9bE`Csha6Q zK#NiSQ&V7VbvFrPpp#B+8vf+6ugMd?*kUAV$vO7JQX_n|1^xauekCVZYE-rJ33DCt z(~~o*FECO!@OvVmQMTe|V6FAg<_9-VPt@^R5AnUnA9g1Tr;0UF_$;uSDHbA3d$xv~ zG#36J`=e;BR-FzO8|`{tiV+oWk-Yy=tXTVF?30eGO7bJ|l*B5%{n?=eaYl*ofRx9~ z!IaKhKI}MZS)etb^Cm65QVjSht~@c|*+jMU9uM~s_AeZJd%f@&&_y|ix5O0A$3B2b z(|BN4OW2oAY0ta*Gt4PN#3?;4POH|c4`0BD3$XpBjNV$A9hxw z2!)>q)bF4U7E`Lt0iLH8T0@^)W)YcUcAJ;6DYj-Eo`T!@3+U0PZz;n`o!kCfNlbj5 z%ln(6?14?1y280HFScG>DZRl)ys=4KZoj@{@Pt`0%RjSw66(F)uLf!NjE1S#lkC{rN#=o>+dJQxH$B5qrIph`Br|fqHL&M&XVWj-uZm;E+-I7Tz`RW7* zj|V*)8v_;H2cO&#nlC7~6B=Rn3;+TR#5EQbV!ENf*$sSo9dxU7#}(D4tLs90XqKNB zCiOtBim$X$eG5rnyb3FWMmyIpwmTerHOl$ow?p-4kD+NHWW&NMNzFc2L62f$-G0Z9 zYf`{`f07f!#!Ge7pNtq5$j#E>t2>-a%9odh>>o$T5Fzi1wcwH3Df5npz?{SJPAnAA zKP1$;lBmanJb6vY7Al|tSnKuCxyWTL_I)ySbqy?(WTdxL%P#ekMKtvWTN-(F&t_)@ zL^Y2R{PL|x3-A&^%*F2PNHH^?$Q8%JY?3)(H3cG_R!QBeQzQ3pLAo3*;s>x9<;)bSruRnCX8z~W?y=~SR7_c**$75XoR!K?RZR_4* zQBje3vuoca0yx`4%Gah@s$IBbie#o(s>jETll1}md!mvU!Vd2coBX#SMS`n$6VfBv z8$;E8R}9I=CCFQ+aR_jlR4!B|up1TW`uqD_BO!k#UE}Rv=!9iN-{jo~TyMW-Hq>Zaw(9Tdx8;v$t69C<|USN=^TGVl|uOwo5a`XCr<7yZ4&8 zMEYbVjvgl_E>*8al4sp35(DK;H#HU8E!GP{z0qd?B3;cUNY{dfPaB{E3t*Kg?I zki{+2=;7>lVVdhPZdPP^53@VBRn;1N zg&>#1m49}$V{cwV<&03roav^QGca^;?>F7H$HHSf2=AB>9yl|IBE)2KFpeevGn>8| zye@PY;KI`rvlj;sFkYpiXF8)T#d74}|~= zWh(91zTF-JT6PFv1SM-Dm}z$m{DD;fr>xs;biSBa(|5(y7Y`qeA7R{PMFcH5t8x06H*F zyXX7&?;ZWNMrk3!C9)wT&)9JpkN*VCslU?q^~y2V2k}Sk{LW5$A)Zj?n-5sKim`Y$ z6&ZXPfZw`b^C8T*!4kG=Cy>26(cwGu6BlzW#&&c2j^)&t9pr#UuN_z!Q5|F2imcuR z(}WYDmfL(lb1Po~l-RMR@6=c0!CA%t z6>}_!)}9FJeUC%|uh9x!c@M9PtXjoH8&IjmU`3+R>|C9cybn{nB}n>ktBr~QjfUL$ z7>CI~&xtmYr#|R#JSHhCiVYnOYH0|OmbO(ncXD+w7c3YB6`6(2KY?omBbK4+&z){C zvtUX+aSEaR&`y->pi8@)M93G8nVnhQ?B}vdG6XUM!koHWAmLso%W71 zrrVm>i6D!|KaAw$vgFC^#*^O6Q7%qihmcc05g`&T)PxJXF&DD~I8dE>thJNMS;OmW zpKU8JpPjdR1Y6`ai%&{wTxsp;ZL%&#(s5OoW*Pngh1b&-WwmJ&uNo#iYyDWsJj;bT zS~!gT>8ttkx6WdyP$d^)<<8 z1sKsjp#5AJOj7@eXdT-IOn;Kb4olH}{#L>iI};EH(=TR4ZR)(nlX`rPqFt!`<_*#H z(~PVSq0}o)AJ=CqO$@3`(c3vep=9)Lo>F9Rj4##MswDI2l}!^;NWFYN`D4x^=mzgL zZP`E5io0%vKVS)^U|y-V0665M23cZ-K(kT8c8i_|3(}~0>nsTmtHqj{8a#Yz1D~s= z9^z*cFqiZRp?gp=5?zz@|Cr&UA4t=9rqPaF%sB+ckRQ$57d8)+UHp1c;2jRD+E&BZuAWwp)h{GR0hdwRZvzdDMM zjcx~@t=f%cHGkiBYgm#VLv7u@dS@f#qwx-4Ut4tv3q=6%2`bEPJ&=jN-f|kL(i8SI z=E`?Fg|C5;i~Q|m-N)MIMs(-E!bb=0MQ5cIRvJ*P=r<`~>wL2|BtM#mcN8Sj@rkHM zW)Yt9puP1y$XG%yaRjYUPwiAMp9oL9jJ?>iDYFibqo5_bd44J=5G1%a4w!+7GPWK~ zj>S;7!X!^EH{`0h*tc)Sa%v8K0Nv~uQjh(EOYAy!*ytX=SvRmC8rBq^3vIU>-oxj% zkpi*)+4jj3n(<BvI3ElpVuR+_im_?E>6 zZxCbi()ZhS!}^IW!&!lblwOd}WwB3=sr+qWYsby^iHW22Rp=5OvMqe1X=?~YL7^I#00(MB{gN zwPv0yK17TpaSsT1tp<4ExzLW);Ly+z6x#mOx-~zI#BZrTn*L~^q1*@zG--5pnyXsI z?>%1>f|iCx)c^AM{ZtV&m4Cf3#oQ{S!VUEyKaH9t=x2%r$J#;zC|~!@R2pbHRizbe z2X*DF$jBruW9PA8*>_`O7$_EI>73Ek$xWC$K~J?g?u^eqnkr#oVPO}0R<=iJ zr&c8Hp*qGh0I-Yb7uaXw`XSbFH`e}zThKlA8}7f@az`Nuu)2Xiprbsb>keJkl&$+)J(cA`uPvkS#1}mLci_LDYp|iq5r6E2c60ARNjphnvj9TY|UCv#>Ca zX^P#BlPi!*JHNS5jrH$}O+Iyukn?(Wo$cl6Aud}5*?t1Eo3gMF5-yuATt|SaZH6ex z_K11Ru$==EXWq{o2^#7KB-_=zz3`AH(%+r#D_t>_%ZZM9UL6~dS`wkngZenqQr9f} zb#^=zfYVOmqOR0|rt59tvyr_hb^zSpecgc2dX61~F)gQY-d=u0Y{RCK7^PwiZvnP$ zr-U|A>*iUPmy_dyci4-O0gCe~xflXflk+y1eG`wBWzyd?QdYc^J zfDegmGT8z5xcP=K>y3u_Qr8?vtkMUEHByTF5{_08BjYS%m8_C4=|#62tV~HO>-3rK z3O)3?>hgsW{4zSM%FDy_{tOz{S4c~u%&_=+HdBa=u`^*Cui$B%BD`UEXT!Z<@Y9DV--tNG`wREIFJrMBT=v-WnuVQ1mTwzo?U2O{#x2P^J4v zmhSUW!B@I7Y-8!FRHcbrP6e8BLdg-&l5X#Gr3HoL{*}&IQ9&-jZE_<@$M2d{2V=-! zHkIOVOrOi_3*Zc)x|a+OO8rtsW`WDMkb=!3*yCcVe&h2V$8GE|zL6duRoLLM~$|uV2a{6&s$b zrPowS)R@;=Da1|n$@JryfX~Tq1ymFs$bISt12LFyN1utAG`AI;t`CDMxE7OLej!l? z+Ghm2Y3~bZ$OAs2SBr^=h@~a1$5f)}?**JgZ%OAEp6$=^N#95bpX8QYbnJ-wUY#~9 zCOvU1ToPOzyY93FuX6dFGqe4;?wh2h5O$ht0k5$3e%;WKW^&PMREGwQWm>mxtK)9= zQU_5^#toq5)0v5$7+lt9M_ar`X4xuc=$J+k*F13AGac#WtI}+=_uFIl?E+b`uNzr_ z2v;JdghTAr0ISDJym-^-Re|~Yk(zzYrv{L&`p{6JpulX8$cX0GiXQG2b7@Ykp)x}d zHh<+&<;z#RTUHdjWTc1J3cuT`iTXP_53&m79QjJSI&F9Yqz>*V*Q?CTgX@BrGPCD0 z(}r-7LlB}KXc5d48QZnz15Gw5K&I28`+lpoVn}rXONj4MA_D;HR#lvCEC_Jkx)CDh z(0OY4+XNvWz4|h>V*oC4)wnjnvlWzqQA(i;urR%Z9aH%eZk+o@H<(ofCNL}G>09pj7+vb&we5> z;`H9NDp)+q>b-{pkEiVL^1;$(Z_V?HryyQbR`Flq%NFk4S?B4}-rr>V!R@zRX>AErzb3j#il|De--==8)xP zH}*y6`I`jWy$64?WQI)rYN<3wMO%jJsA8ujHl8{*fmBs1Oeo>ga^G zCN2J+*T-qx$*)D?NV+6?D-t*88KU&^l?Mz9F`u0VQSEcD&|o9Ih>f0KDTnm?@|6d*i5!aBqHDZN&dE z;w%WJqGOPJeRyu7q0Tjf>q;lI-U<|So}NfVlFIl7;R-v60NAB2orrwMHiMXJonP^z z*9}JoUuqs&&|i3mc~&wzU%r|1Y#3veNzd8}va!g?4I^qi!VFY7O%E60jVc7%m^XXg z^sC8u?Bg{*%G0b*z`ouJ+-}&_%rMxconF-0yxsH0UHR(3w3S=&fnPhnPoo>s)lF(L zBL@~;K)VgYwv7FWllYvl@N3LoF2$qsfp65PTisWdG6&)NGil_W(XfsMf)6(4vsSf*!}{A%Y;3g}FwK+` zA1IZnK7`6$ME1tDrZR^)HouP9w-Ta`PZ+sB$07IngiL$;bN#x!KVeH_G|Vql$G;zJ z&viaJUD*h*F7jI2kF1%J{k-Mn6^(Hj50|l!LHGT1!G{lW;_7C~l8&AcxvstP2yM}E z7wJ2arAC$*DY22YZYaWLvcc{Hce)R$pJu3lZaxq^&J@NhYy#;W!SP1BD8%s$tJ+B6i2FOi5e}R{f z|HMp3DLjs>)i`oTYvk%ZcGkj})m2sR-My>jLcu68{X;3itktu@Y0(qUdZqnP82k>- z2|&SJI%;p^0M;L>?zfxEQ>8sN`r1_x|FH1Bn(4fH9?SBhyZfrk+nxZolP$On z&f4A44(p*^;7-F@Ygo=)6*A-2<&|EW>$x#rM8Ga!W~x;Hj`y{5jN#VcM(Jx$i}ouY zqa2uw&(!vaS2Zzll1H(q2;`LgLbT1NOd%w5nEKGy!C{CUFVJpx(lesA=&rn3_sk^9 zU|RRT1~?yQyWbv0d@oRM!ef`qEmO&1k2ASUU-*hlhTU=@{MQK}%ZR^q#BM~x|J=6I zg@*aY{X>sd4(sS+I)SV6!s^h*tLhSmh_O&M{kKXh{KpBgT`srSnkrTVi0nkm)Aa4O zEX#Q%4^HM zpLcY+=H>>wp%vUMw`Y$2_G8PB_JaGFZu)BePduav#)|8}+&x#Qm1PR~PM3CdX-om@Xqe*j}xIfFVQ$U6`1yN&uG&KpoXlFOOB_}vz zMu~F{_ompc@=Zuu+E!|c#1SWAW8=nMK`B46{gE`oz!S&(uGRS3C=QPw{^-+hG<-!* z{&rGH&t_M-PbIh)-=-JNl&V&^T_@KyeOEx^(^*u1h4hXqi(G#JB|c*^Fl$- zm0gs_;aMxG)89nBn49Zac8BqR^1C|Q{gW&=eaO@2@e0}!8Dv4G2L}gpgIyR$G%oRk z^YQwYMYez?Xu5|-UeyY1Urp>=ET_T&CoAGt(8@w1b|F*^J zXpBgwRGTe*L@EgD@41)QX8&&WE9}PwkrIx^#Mx>Ufjvqx7u(w^R~bIY%vc1uS4oVI zLeTuxXy@Hw15&%Ag%+i|-Re1wLV^2Lok8#?%N+rm2zVxFj`v4Vkk3Xnb>|Dvbj@db z;0Q&I+H(6z*ykI+tj<)A^97Gtla=L}Q1$d6UKYUFk0%jd|UnF3i0i*r~VlkgSK_4Nq0A;%)| zY$(^a=Q&m)P=2RruEW=M;b*M8o{Esv$2X371!sgcOWh*DtGCmC)lpiB$g~<+rq4NA zb32sObWH&+wII6cFsfAmK7n3%x+6xwIbGo{{DI$JRs%OKg09UT6B`Gf=deHX2_aP3-MRn5P~L zRI)j`ot&~t`mDmyOuJbNb(JROt+#aP%n0I~ zr~>2r*VXWyS}v;1uA3d0(-Gw)t|Tr!E>Y17ys(1YhT+bpG-!;_*y|$&`Xm;`7{qd` z*Tv!T-T}U#*#&9!Jn%)-4*U^25MUUu2}D(>rf1OfX^Z?!$@dsvhR%eXSdHnikYzYd z)$5d$4*V)|eN*PaWIX?C*R%s!(4V`WESdz%4#z!;Dkzr!u1TVzc`91nD&?MkgG%0! z5Pf^Nd^?GIL|@FbQ&vbP&-LiyS9hnZCUK$%1TSgg3zOK51dVxAP6a8Iv!|Q6;Ca7s z^K6Dd16qIVV12vlNUg)4+korH#C9&?w2O?Hk>AP?Z#}c`&eO8YKYTMyJP0dQ8LCRo zEFFFX`7EA$bK%=$1_$qpr=7QL;dAB4%qqM> zwSt$k99b1(nQV_PCJGd%Ot;p0!oaQ`c>QFv0rsPmPbI;6q@6K)*-m+P(`A|NLZLbK zS)E_ZpqX^*4~g+i4P^RUkSO~{SZE3nO7BZe}&T!IJ z!1Z(HrB1uBgT;9+nVYR*jm|6VXF9#3j&lEISKHe++@6kC@5aoM?9|9g+%?`rN7DA> zRBmiv4=4(r$tY2!ugHEmbebz8=k9bbJ)5w>dabs+#%46W@T$Z|#;dNbnKFPT{AlH9 z_8_g<#%VGQoWq#ogUFn))gYlj8SSg*!v7}3-T&rSr(Zq)wXmJ}@p1oEEg_SC-drX- z5pnE}biqJttOm|=QIuH*S6gC7O8*1HXhmQC6GEO7j;EiAT3f=cFALJfF}8jtAOvSg zEhVbqy`WYA2oc=JBvA%;m@fyqfMVlxZayBt`fpw~dHhVUV8UD`DFRIWtl)wkEIHV= zeLpk6va6%pbqk|{H2cK)yI8E&oh<(a?S48&*OHIx{mTAnw)4E3?Q&Q~EewCC6PZjv z0Gq#mB5P#k56qstV1m$G{E1rzGs0|MSKZo8OqOL1(8;A6bX&7}&T(UERCte#Z(4~# z((eyi>w$*)cS%|S)oJ`pR*EDK8K1E)YsGa_xSe(}in<&Tp41A{@{>*M;a70MZYV~FM zvT3{T`5!X1yaml@tmy+XM3U@Q8Kpb*gpvi}ZoF;^1*zU(KWU_t(@{@q#nQ`>ntW&6 zx__K{XMwUGnD^%U&d1lH9?8|YwXa^}$2H2e8iUz3B^obgYr=dD3S7^1t#01;;nme7 z8gB~6{bP%#J7K9wl_gF&_}mmX)bca9J3Dm_q3%NEERz(-ax&de4sYbM7=gC(EN>bV z-mGf?Z5|uHp4Y)g*r175N$cCc*QMZ9iOdw_t0;mIr4x>aL~$hDEl z#gXCmhuO<6WoI`(OtiiYL%sQ1?Q9NXa}uSzx#G42${X|36#M+K0i*f0druq<8(NLB zvl3kc1Di!%JUb=XZ5ET+DvgVo#hxt8yGa)B-B9S0m85?76>Hs!-40@D)-EldbKaCW zdxE=j9YWLLj2SF`Xzo{!w(c()E%#Nyfo5`xV`46kqAdc&WgO=Va>j5Pm}H%U^exBb z`Qkw7V!y=()P7Sn(5%JvVBs7gcYRTH14Ml$4BBF>I$5L{qDB#iUokZt!2%?dbX|j` zlx95zP6OQcbh#?WUZC*eZRy}-vzrV)kJHg%47wi@Ms8YTeMoF(SBKft!nin=_uuqF za896$(EB7Jx&isw0sY&;pf4l5qxPu3QCTGk@@%pBQzh`LA+Sb8W7}s&B8~H?Vw#&8 zTq_M-`|0R*d&?ZtarYcyp!fTm&NMqyav`u*X@vGeEr(~y2OkW(#7IQ_0OJT@sxXg7 zpd>b!s#S5i?}t3*S^4)Ww0G@Vc9`gAC}L+{!-ckFEP>8N;;~Q8H&?A?t9YX8M@n*We=@H9@_&=v*$)3Ahi+u z<4OiPARE4IrJ|$Q_u9q?6H-p7Lp6F|b+0HrF*+ZP49%gnFxeXQ+ z#8cMV8`FOD;c}VORf)azK+Se{x<22@T1L;0dO1>H(AyAOwf!gnTrZzBo1$)s&fP|sYc;TVbz*WQ(d5E(c29dGx@T##T^%jyVG+zvO@w6Kg*a7OxxmY{l+n7RmZb8f_BNbOec_Kp%ta?8 zWyGLqHQ0D>p65zwT4zGHMm;~hdNZ4qV256H=87?(=?mlTa`1W$o=Zh!1EactAPhMfM4J4ZsQxu<8=k* ztQ(DaM>E@h^u4bOVGKajD$JBYtYk{&t{!OR|eP{?3=~1uL#%}Z&HRIm$1iH_Nt4g{dIpBGu>TF|1<33qGIkoM=|G1<`lF1y8^YU~(=aG0y zmJ^_lA)H(+3Za10h}^92cUR-fPUtH?1$^nkuQ)vG*_SGg+5*xe-=pzn<;v9sp*h2# zkutbH$PXeKopWJ^zB$_WN;!GY?CWI@#aI35ufS~Qs)PlVJp+-^(ToB%;qxEg;N3=u z{S3axy}}|O*xmd=XQ)hC*l7zO2aMu2m>on7mgk(!#qC|_wc-VoJt6xoi_Ybw(Qg6R z3T_ie1THon#A*)oB?f=f<92 zPgYV3M>@Vm-&8rK3Gj7XS~arg9G{$soByufpVVU>V&4$4AX!=wcVBZPB(QHfRW$e5iG~H*28C7j$r@?O;K#Mt2HF= zaD3Q0st5NWa{?95hL{$iKd?1t{G1W@dwm)Ms z@dx=PQnS4O#R@<}d&=S#zF<53{c;<@zi#-86t@a}97p)ApQi1dmJ<%NnHT?;jFJ!R zXl+lffB)YLbVQ5i^Rltod?oJ@_l~o^zO#Vm9a_szrsrc&gHc$)?Es!(`x+wj~p7nuHAv4rFV;}9B6&RuHA zF`8aLzfZzVRU_Pva{uz>%S%kkj2ZC=T`5&VfPa3j^}McbLg3GRdD25tc`kI~l9G}- zrIo?p%ue1GbV1d9Qr@u^Uz{*oza6c{{EJ@v0-Ub$y*C)Hy9aR*vAo?bjCkb2MbRon zAI;74J9%>euh?Kq7p}vUVlwnSbE}W>(Jh>Xt+2_s!1@GJS-Dp4OG*4JN-v&| zKaM(e$vrb(s{!~-V8S~F78VvJruQhXHG0w?aE*{A?qda=lVj8I$bH+W{Aa|2(fh}} zXKt(6(dWvDjGJkmU7-a1XPnzXyzH$8CROv$Xra14gZcHs{;=iL%EH5jM~%Y1gk^Be zn{tKTHmAbKEV^rwE5afnnCBw#$dz09DRBo?bg)6k7=`LwjV@%_N9hTwNiTUa$C|xf zPrm+ZNlA&Q9}WS3A5aQgLI!bo4UCFczW*4b-TCZZO{pJ-D|JaFiN%HuDds+zZe+J; z?QXy?*fWrmCRueUu|F>3iZ_@(HM3uPBu#giR5IA;jGIn@ig?hmZ;f%|r(l}iX6=`L z18iyhONBM@5^~*#9PE^+e|h+9=vbprZi#9%PE^qtQOBK$+eE}`?^0nXKx zmTjJ$kBOr`^G5+z+$5{mU%j~%r-%I6k7g(Q*x0lQ!pdr5&cMut1=Bbn4s72c6Y`Pf zuqMXsJylOCVxR2H|g(4UFc^QIis@EAM72(wIuSim5%7BvwS8pCiOE{52v@$n?&L> zcIgF(^4+OfSXfVgMTt3Xp4V$`Wy=VgjD|vUHoS>*E8Ddy?Q=1?9&@{PYHZJuzZoX7 z%+z&RQ=D@@RR2UaOg;py?)}W=)03|g#@;(nhdgAqrNjFE*r$Ovsp#3Ic__m$@<-tM z^hGS`okB6q!z=u!x*N+oCq>{ftC}SEK;3}4p4TGMW-wU1&jL!~@JSj~wb{On&J;iq zYaakgK+_FR5Vi$;OeU*(Vg%~o=xF%x7xt*ma{e^mC^~sSoZt$hqY(m?kmmOOyW^l; zD3I#VEx{8cHmwM#u|IvAW$;nYb|`St|7l3#OL9dT1ax$35wGOXlU%ZX%A*t1p4oV|{G?$_? zKAGt@IaIdB5E~m?Fdb{uY6DV0O>oooUS3qy_{&*Uj%|2QdV_LIwNvy zVkTJ=}; zcIaTo8^tq}wwXb7-ZvX{hn~~(o{*8ah^)}i^=G`th$e+{vNyYJd#p#sH2S^qM7$m{ zV81phZD6-drl|}AFHN6za2$v6f{Gk@r77>`B$rhKx5*rl9viTid^|-4cRnwl2Pf1z zH2ic(v8wW^CHkXDBU}of9b8)DB<_Mdqs?iRJ@y7cfYXoYPDuI)l9+V?B7&Ip0jY zPkt|iCH_MIC;SB6H^!`I4!m?ljR|Am%QqJ%Z{a``v>@tcfT}?p74Arogd4nhaoZ#sA)(Di6XV z+XD(-p9wfw2cUlZFm5fm+vcG~3j&2dV{~{5ktIu@yi^6|a^Mp-_a55FqL2UNm_ZfV zv*tSFyH2Pkdp%WTfm3D7QZuZ;H0|9Ejq475Ttacd2J`2=fz;qYpFXu6lZFg3#Ad+* z2{`5wumpi&&WNU~l`3n~uQC4diBYe+22H@n?IWtrTDZoB2c81hB%(8C z&{2lJcTRn_k59DoiZYLKQm;4B@2*ipD#~13kUxqU#%_UYL!}`FL7gJI|(0BwbH6CJ|plcgGJEqPFK7la6e+ zd#iIfKPwr#^XZoKDnL8{{O2)qTGJfAk3SyL;$Jf!lRE#YE#wuHMYjeBbpXY*PiJ&V zZbO}{)ti)*VT1K|wA#nV#})BO*=#BhMEp^=M;D%PW+KUjWoK{i{vLtz`1;}V{tsM5 zBl%byz`29A2oC*qJCSXi9P75;78|q8X}^|N9~F#7ZRQQS2DlW1;e-_#n1;lW{!w`l z-p!fN`lOt@^Fu$tN9uMgLZ+Gg2QWFOPG{jXA1t>a8)%>59g{+R>+`7UZdhL$KlwHR zHuY*Vd@k_m@e$?eQug--SN!YQYBiruEETSsx)9>+8;kKsGh04x$Q#R{!=}Xq;DnNa zc9pgr%*AtMnWbTN$bltY%TT|@m#t>1_D%`Vxwm?N?FMs3)>K5+VN*8mQDnEC7VgGLkV4}=|a{xv#erko6B4zT(mz! zg>Srmz0NUAz=6NU632#VSJ= zQ6hNNM!W-<9Hv=kiv_s*4e0#D$DZ=TIbtYN4#slsHnxf|-0?BS6{TDM`9Jj+kFHKb3C;yg zk(_zx2=hFU_`+SlsC}CW=InU^rc?)5M{RE_&F&&l-kN&P4(>gzc9DRK0F#3GjpIG> zL-2c;d$xho1sX%u{Cb}Z89o7KnP**h`{cf!Im|xv&hHk)(Z-yYVrKwjcdRgbOX0zZ z{+j3S__w~8-yN^oNq+$~d5xK@np4$UK7haHd6Tf0FRC~CX|&r|Wo4IxpKm!~&rt6m z?UD}7@7&-=9T}&t(qg+$C1ynpWHk|jKjv!P_ca4OiJ}vm!1RxZQk7`2zS)MO&Mk$V zViv?6p`ZZ1ygY?HITsiZ-Q4J9mjqs{^c9uTvOUVV;4&##IIjWT%Q{$gS$L&Jp(s!+ zl7MZW91H#KvhetJCftB5?l9b>|NHj`tNly>=|6_gK9|SRe;=fj`fJms+x1(GsLS&s zQI%?yd~%YW69=F?Qd2W#;!eL(Ayoq70Fu~v$AZ%&YA5^cB`e3F# zjQzN-VMi<9ApukWTK$_(wi&)|Lvi7Qt$G$ADjSF$GRkDu>B~j(TKshrw#H?;vDGbg z3Ykm`05aCaR+lR*~Af@d@({Xq@#2- zu;gX1>4$opRX`hP@{Mqdvn8S9lvvywTw$!wTxP7#ZuebXr>}QGSIbcf!lz=ViN(P# zBgA!4COu0`eHX#+oa{W9328_FSD;d{PU)ux*3RoY`pej&`0c@gJ*q>k&Z$33G%Qsz z%|^ka>X(^C?+J`*7oOg(F>r+U>|h>H8HnX*RGkgvV-?i(!DHMGx!7(3!0b5?T$=QRSr? z+f3LT)H5i&{P7PD{sa)Z-;Znh6a0@OqT7^~Mpztuoe>M5b3QT}*5s7Az!sLlL+M5E zmtHR=-Lt0%be&mF69i`zX~v(WMx!O#%)o*2FgCh&)`WIAsFG&gsBJ^?6u7FWn`G*?*R<+cNfdV&A_^+Uyuq%E zM)r*3V-WR|WZRekb+)$z0$ny>jEvSKSV6w8Aw#8qM`j#`zzQ+^g0GdC%t68bPxOM1@`l? zXCx%FK-imXx(|qz@K!~LNk|NR!Ysl6nPgpmX9jCmo)x@)pO_!`{xcll;k*p*-jr?j zee=}Bocp$gyCCo7=<-&SHG2ZUt~yNs1mqq-{tvkVcP=Dp&BpF-`fxA0pjQztB-~Dz zya+HA$yH5E>9-itF6{>^G{?Jj!@$4(;`whVMH5hYO^(@xj;p0JlOStt2Ozqy^scI^ zc*1-xNeKu|r{NB>i z((ZxZ_&{57-;;FDE25Mw1jO1v^iDHB#gAV-fVRrqH%>r9$!ps4bnNJb_XaxpWYns7 z4mC_P`@{da5DL^%d7P?gzd#D%Ga@gwyeCxC^uQkgGo+B|%C5cL`tNYZ&EepS_QrLl27x$KLrNRgVdTWqFBhEC081odxY$prsj_}LO z-+o^UNR?H@`yOQ_Wrn=7!9WWP07CRNOKDPmG{rJbZN1m4*IZOLCnawc0LJkAaY9^w z!})5HSDlf6W&7;|uOw((T{>8IfadWnj|LwWbeE$J#FsM&g%r$*wGq^dNH_OwVDQ_b zz}8skTZJ?`TF%ymzlNU5)yiTLxIwP#L}q)@O@V8U>+W?!s*HbJx!g` zsXFEBq{!nW{hIx+LsWJ-mmWbwoZCk^zqiFD_}cT5 zoqlsJ@M+v?W()A%v!}S7(89wHzoN9M=cn}TYGNqn+qJGNHsv&=#?|9aKzIV9g*;ASS zI-%;NZg13e@6X|y&;pl`j8I#D!@< z6;*%GRLz)W9gTD~ZleP3dj$QLP&=KFeD!K4khT6(#^}(^x21aU9t9Q2MP78uFK!+h zLf)A)2Vb*s?W{$Kh+&Lfk|QcH;@78_2873`*n!rOAd3!eF(paewA2xJ@@VMBtKoqU z=6GjJf_pzlob4JvmN4Ewi8v6rYr)yCCFm2g?^bK*`@vp3+_Z(fQ?fD!EIS?ln)$Qs z2m|Yz(f8USzDSvUf@+S%tOO2k<8!3pqbnS3@I#bR&vW;%$4TnTK0cyqt%Z+ni!bxtM?c;~( zwC%*^YxX<+wKibK&@6rV8~YXL@>Vufy?Wmqe*8*-pFLfOJtJ^6{r&Zt(S}(2QSOP< zBpF?)ZRuG<#Qsq=a2Ty%-rCRY4_aDU?k;L^JYy%az>@QB&Bc)uK6SoEu4TH7{p~tzk-{Oqe7LcRxUBht_OIX}u?+W~h1?S%Vy5~bu6h>W1 zKE_1J;o+2kt0YJJEq_7nSWXUQ!k?{Ua+;+Q#~D|{%5HnL%a_{QeQ5xpJXiI|SCABX z)&0rs(Occt5L?qrmAC+1!HzY@dTQz+8>YFpO4INmLi`MMESyKKw9<@VtNfP=_LQ@{ z_OTud9GskQ+`1$01mm#;LFo_jo(0Lx#*oOcXUNe+-JJ@J#D`<6akaz!ADG@arTK8= z@G&fYOzW7&d_pE6e6b72!`M*@8h3XdPi4L64CSb?g-RskJ(JZK$%`ZnQ6|Xlw%(&pM?))=qOtz zs%r49>7UIhudcM^$7^^cRQ6w0hzxh+aXou7Vm5#tipyKeD9-^`-KLa&Z7dUBW+l2b zMMdbT360rzT{@bN;8MFnMH~{uQg~2QE?8C95dPOno7y}(ZU|Ur6pG)?QmxNbt@)-} z@OhanAV1yqqE?(n$Dv@+)|=s1H%`dRT>kH;QQgq)I``PjDg!pxf#QLR zZu)Xp03tIain_@@aeelEYl?0J{S~tUxKPF9)P*2&?vXft(Kqj$WJYqe@oe1vg;N~U zYSlvOkp8SGt{kL79IXOeU5*x|HKV}7B?Q|?o%u{A{nSl227?3R-6b}wvSpGRJ7d$c zGSqM3o6TpBhKd6ppiL`f7)W`&I>S^~VoUvS_>pB$F_WB?pFSgV?}@cF{rx!SY+jCu zY6G61iB8!v{>KzdUlABIZCPBXN9KV>n3YrvU#B*7BD?yI>G+0~Cv0NkN!k)?#k)$z z+!8r?epjXspCFHQkJ$hqqR?~pQ4?#aoQUWQ8=CY|kiZ-5 zDal;b5KM`@d1hT9SDrTmH!~%(#Cd}BPkGXk`S826reE)V$fzkO(wD07usNwG&W&o! zDmBei_MDox5^N?#(9`X;eljtsbW1;$6n#gTqq`UJMGizZ`)yTg^H9^mqy=%_FSt0; zGq2jx9;INKzY356@sjon9*v|7p%>BauKHPB#W^avysk#7`Z*A>tC={aV!YRp zc>*98yOt5-OD3F!7Hm@?8{srn^*FhZ;q z?h><)T_?V?my)4nEYp-0#pw}?%v~2ciL2&@oMQGf+tz@W@lt`~R#@cL&q7<_F`qyu z)GrvTYG$eUJu|o`RP1iHj!&+fRCkX2l@yWm>1^%>%XvB2Z`4Cuagm^F4Owu&Xtk?I* z(0LFPdQ3WY8)zz8Z0{DiG?({*)-*c(Q_A~ z;WAK0x|^och(WoPr|%r(9gxIfbSyYlqezE~%y-?X9u!VpUU%cSeKWbU*ZOA@_#I34c0>y(Kz6yk!!+_9OuEb(Ustsb=5Cx%gEgq~ zj$C^Mb-P!jX?8vlpGysx#Ubs0FPSMpx; z1aZ9SNgw#=yu?hrcRvEUORG<=HS$A_{W9y7uN$V&WMI12ai|7CEs5@48{2yk)2-Xh zP}j%KSmxOSqKrmz#u#I&;L`*e8acJ<=q;xGx_6RxHk^jZ@xHu#pOZ)&Re%5`K?~>+ zR2DMNPIoUF{R(-6%*D?aG9;;Z2tAP*$~%HeX?=p##{En#=~hx5#}?E{WP_)J$@(E4 z71qBw27ABxnOObgOW?jR+Vs>Jbwizv^CPZu)aLJKoD}j^I)s^mm)@R94M<}?cl^)X$6{FI4ex?so`{aQ6{kk*UBOvGu5Rl9`ppc?sns9hXRu0 z$b2e37eB0 zslJ5gA>&;`Y;Vl1=j!GG(6~Z_PWSgX170AngTq^fJG3OP4l^0qeJD4Z`Aj&obkx&N zE9&CelhkKdbAGD9gSOZZTqUGZD|W0l{i*y@SVUS}q+J*08hRO;43v{aRIG2IjKpFR zlWNh5vLPp3(UCs`3bSpLFD1GJ3??p}3^mo!e?H7%%)n;`X#Z^-&Fs|6ij=F z&C7#qfQM!Dh0xo65*zK;GiL!5MJiU9gSUj@bzYt7n41=T+zL$|RKC0<^@?w>3XMzqq(Vk7_=n30gT>VI*1G7^7um<_mT$4hjY?54TDfj3xFc8jdyDVp)Q|p*)?@$D^jb*Wtp8raQv2@Si#*ur87G-T z`pJlhD{beX;TBTh5lBzF?F5Ds;Q|lL9OXNGWjW`qAF-jl<8CujC)=BaUqB$bnX4^U z!;?1PNBUCH_mO_?q>U1muO?}=q`5+^fGsiW5ZrdGMUXHbjNcW?6M+dIg z-L>N<=eTPa@mN|jc%QduBwe1aCpdD%0sa^^VFS^ zVO9x4G(DIzI_~ou6agj?Bsbi8sz64Zp69kMYhw~_0wgKu0k1N(YkZuWkuj*^Z))Qs z8stc7s{m7`qKE&wNVQsd+0`Rd|Du=&$!v~;;G+;yNp1Iy;01izTs35`pPHZgK{f!{ z%2&nOyJ`$d=R=4?K?iG|k zTI6GYCf{l<72-OYN=~j+&^=BMG8WoX)G*%K*0#8YTa*$clJHjQ=A7Z(c-;6xv)^6S zS92Q*^`p;GLJBKVmGkTStC(B*^ctn86QS$N;T80yV%G|POo#QGnc1wdnJSNM*el%z z)%~5IQ5cidBmaal50NB~79pYi**p`4Z6=+A#c7TG*O=-&(GGhv!N0btK?G17r@iHt zSse_aS&8$N(CEBb6}9m0tPi|zQ`=@j8xeDd9S!y>{6Q`(&WqEMr9kzy^99(U4}p0_ zUY0$46sp31k~G;^Jiqz;<~%i=#!V3&&5PRrkSa#A4&IF3Q{bnC)-x_hu=NBf?|gou zT=Pi+9Ltu`t=IMO)*)Lyf?0Lnd{mp8a)RZi-S;7R7*@&E=jCSmLJ5Eile3+7YYwNI z5{%Q8g(zVf?O7woS2`}7RL?`InR{3lcCDtBOMCQRYn?CMPg;S~KitMoz<`QVO*FKtnlSdIDE7 zY%*HEIJ%w93pEDwTwXowa6uLP+}%l>rK|icH+SWH!+Cu7HszuRltBVjgx}&0LN!~y zM>|lwubQDhoa1gEuP%a_`HHXt$1-3wL<1_H`bAO-ZEk;7lMO_#dDahUxalk(#xJpu zd8dXL#wpYncULWY>t~G6*R$rj1s{MWJbn+I2u@_L zeHuGkEa)LG+nTR)RGr*E36#OGi!bJ`lHhGr_E?owt79?l@#Jb?k)D(`k&o}`o#V{H zRI?={pJOyJndxLCIGL&7Qxg@-VLnX;kmG-haB__B;acCyxPf`6IH$y zau+rE;@*F-WB2ARD-{}=6X7k~)^^W>u8y5JugqK{#&Y@5^}3OwY;<>cE?FdOssVd=&lwb{qEh@ zPVZ@JKj5~1E^Pb~KM66hZ}eyinx&rt>ZR!A%Ow|Z{*$vIdX3a%-@aTB0g62N!W82_ zpKK&8`a1W zg=gi>n7~SoL~18m>)+NIKtXUichO{jKPx}00xBC&8)q+d;k-A_ySI69llH0U@Y|9(>Oo{_{wIwEhRIfOHkP zP@8C2EBr|I`%PSL0z4MbV0J&W{Gb?I*v-Yy+K+Tw`wGpFRaGXyL_LD4w%*ZA&1H`S z((Y^=P1V+q6^8JXq{W{Vi{Ac$T|lm1Ik&LtpJH3!PW0zu&k+CX56lPKVUi)6Qqrg% zy@V%GZs7V-V;Y#X?FdF#$=2y*q3#+LDgRkWFe1m4XH?_+&}9}LhXU#ZT~;y`y~U*H z)cTAsD!b0w=2%S0-IxA8>E&Bv(@=jc?Q?(nV#d`tvm%cO+W(AjA!ON{DP)sTEXRNZ zVwz0~0lN?0eM(hNezK8u;D;NO&fEqgTT>t60X1&D*%&@**`g==;suoK8HaA0OUS86 z(Y+I_!y=?LRW~o*7UOl#PpLm26U>v1RF@bV7yzm?9j=O_&7wEXH%#R6<_@%PEDiPj z3siCq+>d{J8sYHuy~L?4_mk-69tK6}ovu#`cjN2btf$h1Y8dfPM;}kjoMuU<*f1+B zh3=>9N5@nQVq$<^@2gKdAyFe-{vzi5a?|8f#%zQqS%d;|-HPj}JT7yL< zw|h){c7PIp7~fvr`1Yqj4uk^db_cA$$LV-e2XoIH&fDrQeuotL5u<+4*hCx(owKPd|3K)}lWTzpgRPcNUEV;6@wEfk1bBIqoE72iU>t zB}qAZek+XMZv8o)l=8GnbmeHB1}eL?qXV*y8}o+yWjTHlfBYNAz>#;_2Ue|HH#rPf zZ;fH`(ii%z>Eaiwfx#E7+WS@MAvdt45t~lU#9XHF!6d)@fctqytt1J>eUj!8c~VFE ztO@-_}bUjHdE=tJXg=w?_O=L+3=fOtrP~`Xx^%b9^PD>bm zzUNU=A(dbp*U91)GgB7%&0FJjD+A)%&m)D zTpEEs5kMUNR2C&qd=$F#IjZwb_^pAZm!H7r#q)9EGD7f)2v8p+=LEFX-&yOM%x9W> z4|3sV$(GPCW|S$QNScQpbsy?5(a_df;|s46@jwSZDg;c-l>6If6fPHo(gbP{22TKc z!A|3sK)|b(9P2>rNp)ik<*+Z~3(U_ZBznoby+&Ft5?-ST$ogi<=Z6peRG>4l9j6?F z)`Ya2|JYyW@;8_X?!t_WVJl%NA(_a}vpbKBEd7nY;Vqm4=G<_C*}XpcG~Z5SDt`us zxj8nZ3^Ha!+@wn@n+7#ZdrbF*yt<5U?{W;RKEsdFk%b<* zuEXKDFs)uxOr}`uH(6#uF?PnN#IG(t&*7G2_KAbB_IcYM4ummGW|cKy!thkv4p%gXOyR*U%qXL4)~6|A$2WhO)& z_${1Adx}-=>1lT4aU+92%>MmZOuuE+c=?-I<^ciy(~+VgmYjX1j;nnf)2uZD$mVb% z8)GbXfO3Q}M~mY4cqDy6Hlb`4;ccRy$bNg??XD2PE#f%18s>_=iMa5>DBKh;_~2XJ@_b@Dt1VJ==o~ElRZAoZ%GCHiD5rr znZ-Yo4CL}-pXe9GO2?3wAkz=m8ExL20CnXJvQbm=i$N55OBHU5NDJMpnEfpU%q!Q% zx>9z0wa}la+4+8$IFqIsB#$s7^XQFUSOW($xdn^T>*>a{=YO1^HuQ_V(5px5=C}F# zlO8oF>1pY&FL5OfDmiaUpp zCO5WERm*mV?|5J&1u)cz-E3HWXQp7^7##+6N5u$@eI%GUZ=j{;6c$gvKDVxFF zS@wbRF)#T9O`0@%ZIhTQ>vzt*57hyh?=!CHTK>c39Kdb#|Ok$wk<8f5GG-9#>XR$(O__du35h&iEc=ydtu*V3U#LJZKEdvTm(2Z|!K&7t;;Nct_B3waME&DK6 z^r`sq|Fk(&TtVp{>T|{EJHKGa6FMtd9{hw>$_3t|H?t|q6SQ97S8fVb| z_VR4=ox8$mQgLQP=~U<*2dez0^*4Dk==S$t(OBW%IpGEL6@cSr1B7^nm?+yPkoFvh zh!#y-paTy5e_Eb;U1kMyZYexScw^8E$fCY6Q%x!=D)M+`x7h4!NM(+Nk59_W|18lU zkTZ$r%e%;Td&;#A78S;4Uw!l*fPX>kbIsfOVEdp0vUQ`wd8)J>L{XzECOjsQ8(W-+1K*`@hMHsC$%__AYAgiX8)NSA}nX=m&=4qY;%=> z)_2y|H$V%bJKN@@S&;_P0B!5v%PZm$gyqdBqdFA20cIVv=c0*kC3-*3(=sdrJ!*Q?)3jY#$4ttL|i!t-fhTa&K)Ccj3vqYxX zhR39l*)vb^d$tLjo7AhS)uZKoObSCh-lM`J4)kv_E;dI=0Z|2S4$p?~TuJdl=N)tW z05jvt+RTT3hQr~Ib=CylOtG91GYNAlH_1-Qv9Hq7Z}5v7^+pC1VCFO!246Q<>_*#TAqz7WR{Ks?H-Rj-GQN_K{!SnhII~cGL3Yanhx7$rV z8eQphnwlJU9W7pZWRZB-_bTilTwX+(&DU#dB*Ft|iD3e&HW&;Iin!+L2qHbo)vQ0V zGdu2;Pi>VcSj=mrEYtY>sLe!}6+eUv;Wfv>FY%PMAF8+e?T{bJ`>W5ZUYs4Z=TtQb z27Cjo=~>t9ZeSJM5=x?Il(2u!vxSG><@>&F^DztW4jGvZ$-m=E{t2gmjJ>z0;LnNW zh~tAH`$4CQ>=gz7_!D&fQrnM>$~*noq^su*8Q#WXhHxT)ESC%$^i4+up|e#fEl@(j zyI;wp`0dJdPam7Rk>^}oMs@psxu4|yqR+Ys{?G`YQHbRTWu3O3sL`S3m2;PzYY4Jw zU0XNtiIZj>Q9=zS-O-x6y47Q9<|Ht?dVxnK%RSgZ*SNosaey(`wr4oa>oSK7h;tbF zuHRuxfU*=lK9|3Pd30ioR0hX#Y?@gg(p@RF;O{P5&~;ejWT)VFuoK9c^aB#DkM~s| zPEHg1Nbi5MvmjWy08}z6_~)8f98m;uD%2VdqKp`g&jj0~*viw&iex^r&NACtb=@mS zB6)S+F^5@3x`1Wah4Q+s^W_tgn)l0j?+&{91S2x@c0^5mdc48MQ$g|ms_}La%0V^b z+3Bst?2&iqHO=CdhNtauRwH1QmhwM??(!d5MK>siyefK+{~+>R3$+~3sA4~$f9gMt za_7L@WBKnb9Ny$iPVJp3xupcLycLLluM$lo9UD56e?n+~dUHGZw!{1>eT4NiB{dit zw+Y72l~3M2u~{93?LA_rS@xb{sn2NKs7sjmIiiC#$jFU_Ix^Z>+<%Fn)8Cnbgt;aC ziXhhujrzJW-cco2q~YcsQAZ+K@Vr`B;{_H6$YulYd=jxEz+4R%iV31&} z<%tQeMeGPx_-QcO8H_Z~#gnfNkC6=I@kk#tZHram2R=cE9|cwkP(xm{6Hl*>_=rD1 z15R?TteT-1;JxXF`!NK(lnq+ZIS{{TIOO&40lkG+jqREZX`e;8i)Ks`sUcq=2kX)y zMQ0*#ji6@jVG=PKg)Cpr^deH63diVQfJG3jbh5IG80VIzBei$8+}If$HERm)NZ0r4 z#vfVfSlDxjtL=q&gOv>@Vu4^Wlgc#FTsrculYBF>fJ}MFz_yp?MU;XTXvWK`MHC(V zQoOpk^eg>e=Ik!z|FCuqfy2=}4hp3SnP4+${^opu+!((DAQ^nI02`QCoE8fUD}Sb= z!{33kWZ9z89C9J112kUN6iVA9&I^hUK)$*xyUg0%wJtI8Z(;9c8LXV)~(rF*rDwnmzFV#ZlT==2mFN z$1Y0KlM#}FRZTpPZ2#;pzT>N<7v6b#FX+b!vtHdq&hsC0 zb>L3A^N7eG?$v5W>1Xtx>05sYvpY#^!$w%b6|_P^aHKypFfhMxFs{i-rbt@OFAxSG zs6SU7<^ga7FXm4J%Bz9y#clk6wyUd3998ylS!WJFm=L}5y6*s{`{*gA*XJdD$hyL36x*YVk7)9LyD9SYaE(n3e?K=``!PpT`jX_Z=slzWN&>f|jqu z`$A}nRA=f=VkWwT_-XB*T~Sm`eCaUZ&71m|=w1&uq0qzlxd z!%B!jCJ2q&2vL>tLCN10y(anR4Eb(?<6JC22%2Dc{sg`0$%A&`HyB^vKKkMP5$B%K zzaRZtc=gW*=~W>j)!Yk@jUc8xRon|lL8MlCHIYz{ZI?0M{2xS7Y|8Sw@6S#qu0>P* z*rV!{fi|F4t@5C${k?T+>ZK5ixvc=O#6Bw-r({dakv2S{qGf+ zS@$XoJKzEOp&^1dTDeB>T5}vv?)pG1&XOYTDhr|$bq*TMIZ^qI**J0GWK)`L@}u%D zBHZr06>B5qVu#eVEe22u)2lA%eDOct5Sm^VDWtEKnT<=g#!YR-{LJ8rQx3p|Zff&A zJ2LH^Q>9z8NJG-m?a1Rpr1}=ZMf2iOju`Il4AJS0o z+6X2|l`05-Q9~ZUOk0uZ5$ZB;(mp49iEjl*$u-jmioO24LFutlgQNUDZ%cy<^9itp|N#3~$ zm_y?6RT{xKrNdbn{)df z(z$0J(P>02pw;bZjS=ArnoRk<=Vpgv?EB$}(P;;(-4+Ru^Q5ZbMK<1+l9?a#=4vhQ zesQ(8s{jRG(k`nN(IFp_?JgBLm1I7TS-tpuZJ9N}o;T)(ynw3Xe6V1j!Q-PJc>lvM z4xK;v*(8G^%1O%9sflQLx5oybez!)I`Uq7kc-56h60 zUzorCwImEUM%H57W9HhTEgk80IpM3_Q8jKyW96v$eQp&8t5dxQG4!l*Pv3sL?Yaa> zo7Gh&Q^QiJusFj@B5_Y|A|rRHEp*o0>E!gbQ`{a82NuUmq#AvW%UC;F`j&O=nJm>%(XKl86hTX zlAw3FSL(U){h*y7I3zym^El{q&T-$SH)N2*MEcxuTHE$P)Qr`(Kb3n8T)bENCi|&e zzWOLBM@y83H5j^jv&sqp%b4Cs70)YLPR~r}gvy172Au8Aa`pq3R87f-K94( z&z>x7DB3>XViQE9>5?#~EV^ev1%?EyAj0}$aep&7*x&W?dFghJM^W*R$ijo_Uc(cY zzEMb}ga3D)Y|(~b~njuUr&QCG|O}7C`$pc z&ux>14t)1rbyp>(bt+B+{5=kRKBJXV?LVe1$nUHd=qB}1!nS>gw-zEc)xf2=Kd|;nEdEWk`W)V4n(Imj~Y{D#E0G}I(C+Bsiln88qO;4Qc?G_AZ^-AbA0ynb9|6b|C;;x*Z95bKf)YR?9rNjsOnb90 zd<`Zz#&hDJCvT}|x&3=)rcQ^q5^jYowOa;TUXJP4+ErxtGli^$bpxlX`N;bJ!QER& zRrPiK;wp+tN;gO--FaxFq`Q&s?nXqVTl&yl2kGw4LrJI9p}QoMyD`4+_j%vvd1Kt) z@7^))9{Z0YjEmBfUJjhAQ5TER3=R(m`Xs7924ii5U=acN2Tf+^KQYfK ztTig?{?TzTPR8=G;_fnhqq5FD^3pH8KgN#N6>obZJKY^QANh-)oH4IS2RNPkoSYhO z2eW6sym>~u!s=BJD)7K3-DS%mXukD0U%SwFH0dmVydzvjp5}yj{r-Ty6DVnNRLt>< zp866vZ#5LCO&Z|mcX@Ty&zNJ8jO|{Up3FuLWxn^lzOlIEF|9M+f_oGV|6x(8N+022q{lo6iBGn0u92x@z8%<5_w4lMf^OuK#)_V73q)o`?F0UUSCf4B{OA?rG z;Ys?}KVg%>-Y1|lhaHx`WvRA_TZMS}+uFhLJ5T>j@g^Tqm9GK>ntxBTe>Ue@}c@ zZUD>Ce_ivW8s=H6%0pA6zr*@1oA))S?T^8av}Gxlult~W61mKXOad|*+{~a84I}1d zu-L2}6&4F4oQHTzDRhj%ntDvUXQWf$k+_Pf(Nx+TsQ?sP;W~}T$%DWqrKo52WC4e% zREbNs6>v}mBep4t5EDQRfzz+TZDP%PBmvJmC zC=cG8udkEw0#{R8Su-98wl7mKOC$-L5_!jq{2t}I_eNzp6-{T>3(P=;SDnL(Zp+HwH^7s_^25g%-CiHvdUp0khUu=%r#+( zlM6q+-u6$l=oFk}YlpKbx3j91Gfq#uC& z@S3?;p34wgVFJw*<^ZV+!v%l51KHL9*snxf%+Qhk0F=*u@x}W-V)V$NSy+@;)L%s0 zJt@GKnM7q{^&^|)H51EyJC+nE{>ik;hL2WbcU}W9w$E(NSpf2kb7YXB6T2P+bt(~H zH?BjjWnI&FNzNw2*7rmPLx$zm7m?`D5w=l^|A+pR^1uB-9!cnX_!*T|iJ>FKGwo4c zLF^^hXBTHj*FP=>&YhDk#(lCUZYOQE>P)^AvTI>`mr0J>b$?Q$;jlOjo3lH~z_FS1lu}dZ)|6-kIZ$ zD@*X&^O0oUqN)gp&A9BWN-k4~*D)k8KZPf2`|2BJMIUrq@T7*oac0uKRwK2J*KU`# zDDK>XQKIUqrPP9Yprt%m&h(swtI++-0&2?OZEWM?qX1;i{JsR^)?f2?f=?ualck%+ z9c1_Sc6SL3df+CJiwUsmIU;zlzer+QJPgx7|5B(jSk~A`WcXf0W7L$$>}7ZdbOJmz z4U{JKEvgx}IFhUMphCT@^9h) z8V!!9UIPlL2C~+^djRb;s|5k(`;IdX4*+15pr_OvI@DmO z-fPuMm4jA7qQ&ECex0Dkp~Pfk-ur;Bq(~kE=g|b>LY-Gw;}geY@H(58+H9hm^pBRh zkIY!u1n2Ege>kYu9*px_{h*IGlU?5hb?iY2HI8c0>AM>rrdPn^i?u_2Ge{K;UV*1i z0!I#;?`v%Uq=eObDu)y$h1GVTrhC^cBE$4w{x%?;8*2;A;1tKOu`?}*$ga+CoyXo} zF+r-9u<&-Zo;6j=4p5a`#DEHjEHVxykGx5hqnBK34-&>MZ#QtU72L6_!0K|ATt{$ejOd_nTPWBq3`oy!I{QpZbm#l)U}LQ z38(`T?gA;?M-l)R%5CQQ!&Wcv$KK8NIZ?#}*wv{z_<_oL`2A0qBMO9=u~ks$hh<(I z43j*zty10$9(qz{^5>DngnvY@aS)J&m{4hBY)9C7Qlgqou1@Wc;;i2e4&%b%Ss9U~ z&aq^dSXeU}k6r@zyfopk8T10aU2E$T#6sleKAT&o993mC#jN}Z-C)BS+8Y@^l8`jXtaxgfy1cW*@Rf-+ zP$n{KY&J{NJSi?@5oUuh9xlmarLqp)GH7jdEFkhh;7G$n?!Iy2rK6``NJIY1rXjrB zFocrBp9Q{k)jVxbT5`YrP(UJ+#>`_f)Tj~C{B3Q{7*UpZ@pASXjtC#tL-0bzFWdxd z^)hCT)KA&c{jm9oKQD7)kky9V=opfF zPFUqB(hK1?RMCFd@KRt+i1azd(H2fbJZm(_&*k4?$I6Q*%K!l=5gOr^YC%sUoEX0l3t-RsWVjfdd z;{LVb47vu;uin68!pkN!dU~-o z=sKwuaV7i0u^fXzgHtO_R%J2)K~b@=yS;XJT_GwnILl>3_|OG*RcXt92M9y8hh}g? zweyKXKW#Wme<+K0mBvdrdim$YU-kW{CLuv|Q?fy~j(xMh>#?pcDcx0fCM!O5$&Lbn z(f4*0oCO_F5y}zBJWeUoQ)C=cP0_cq0)NQZcLq?PGc!NX&mc4~5J-P~Iu~p(oCq}Z z1B&c0xa<fz9vZ)d7V5p31WbTBt|C0(cH#^ zZpF?86Pj>(;y`W0((!^R&Y{Z-r?RI!VDtmZP+#5DeBQ)%k~PuLrI0!d_BkSG9{pf1zuQ49wM&epLOGRzTh z$CL!Msdejt-g<3vE4XfQ4`8tpu8XpYfwH@cf&%nnhPp<*0QBS2z#MNK_A$xw%twD> zOwA3vm>ahyV5fYtJpmrpzUrU<@dG9-rHiYsl-kSN1Z^#|s!{VC0B15X38nuIBLF!n zUk#xGke2STbi71NvuZrmYTuqX;VGECr7%W>gpgreXQc&}BORk{&$nj^rlzlY(Oukn z+xOAXjTfu1SgOUZpH%}Qh`+1gR;e+ICn|m%3zRnEdcryN8_o#q^Bw*(K(o4b%%}m9 zI~lszQc9aDnQZK~Q7!ifF_x)g{3EXS?p3rq*a`-70qbI1W|BFx{(jp}60u<*I;eqO zeMBZk(_-Sb;JHL0!I)%UAY3=D&y!rO>1Wi-o6M@{+k3T2?&OoaP=1AuPtHb{B2_44 zrjyq9(_{&;O*NazNZgi5wKJN}bXza2$xIbpHf}{^KW(!~qk)!7P`?eXXVJS$CyFOS z0hzvjyRftvv%%g{cde^{5Pr$#^}!m=#-EIOc+TA6)JP`i0^>gsDL~RnKSuu+x&Ct+bg}VpAM90mUNXEAgWvUFK-q5 z%l7iV-C9=VYrSC@QM7pf^bt5{)Xovm=;S<)f{9R{B1gpe1q45^9f+WOq?4}kP2d*8 z22bc;?v1-GLvzUdmE!ltIW|VBH;wu>?B2O zxc*j|no6}j-HGEOt2dDl*eQQfh(EbLiK;KtOh7vIMzf*ACDzM;$i@XYNhNFix`}M9 z;a0Tr+xMQFJoUbFEYBS=dH}wMw5TSp*ZeRP*DZd#*xhK7^AbQ`ng1mLx{Saa;7`7I zmwtP9$=e}xc|fay)Wr(N80^}wF}RFad+Mcnk7*I`J8B@~G#x(}Yrag5$IW>>p}(~G z$l@+!T1U&h@@V_c%CL(6L|QAh1JY$uc&TZ19&6wBDCMj-v#oscn3B+U~@eX4)GQY6!7@=(4>uwAAv3;>_l=Ttp7FyvjcAbBX&z zT|x>PbMw5oLlpzw+16kJK3m!!NPpnG{5u3uNMape0Uo0uaVZqTJxZZIc`b7B3P>y& z{E`gam32EOcCN+qHDN3TRzUbCAu17o;TFl^okQsGCXx7A?`jvo0NR{3c1Hei$e$E= z?hWDr0_-&{B8e!C$&Xd~`cGYmgkMmTx-3qHBDp^et1~Ae7i~GIhCj_Q`|Q*3t^@L% zLnvI*GQuLqT9d&esexLahi0!-C6+TU`@8w3i|q?#W%W^ zK~_4eF?PfW#$!Poza%uX^Y^+KT2`T9dD$pz(Wm{^j6`*U<`ab;naX~zpo+f;xsIl% zWo1vj(2(2>STJUWmIU5C)@NOdGauE7-}3>%_w}dUY|I*^J^?MQvgG8#=mmv1aiJsO{T9<#Nc_}) zo=AlaKQvEg_I>NN%2rf?uah>45;>f#a7F6IzUWQ8jiqx5CPrYxKn+N;1TaUF41F0K_V}_1IZ#)gsa1eaCN+964<6Cc zy*KW$wM@-TbjUOVQE5LF{YH1U#g4oVVZ34Aws0scpXl^iV@e(Wo))rJ!5}<&ydwuZ zIZol@tTlI{FM7M{$X_R9l7H6_1V)vrq;3HHU}D5TywNlE<;?-QX!$Jlkyr;*vbQ#{ zCp-nvNYU>T7rey9awe8dGBM0l_Yu_-KKh3oLdeqcNd8zR!6Owa11P2$HjnDRVHck6 zhe0VT(nMymCG)Clr3wBx0ICBZ(o53n5oj&@uo8#DOX5FIxW|%@@1KCt8v?@naTZ zD_;`7KMuFhY0^ANl+sP)sh*nOvW5>g4)Ca|nJE31apwX2l_My~taexiBbn4SG#?3- zMqrymqMd6s9LTUdsjbQg>d8)LmNL++3I@f+*BE*Q=2x(i8a?IVHPXL$62x*ai-0$m z<^4o!lhh6QoQijrZZ9;G{IVbLuLuK@id6VnH&z$n0IYJLe$uP6mvd#?sB8Xc&Ug!I zNH11Z&?N;f%HJ;7%dw98ws zRp{kIdyB8QKn3==c?&>58b0|iEn;_Arr-Gq?dUit*4{tgjs{nv7@8kC~j0oF*& z$0tZUIo>Nx@$#)^;Ecy?xpT)#Z{9M2RfrucriA;VY;+c-rDS8;KS1{Xh~!@+Kl}yh z6`MmdYN*Zo_bBAT+f*L4_;&;L0RwbxHU6}a@`&I*i*x*iF3Zcn*w92SBYjtrw8<|R zqC`pG+J~M(@G+urEl@sc#%l?DWCy^2wyXIb%ACq^kaO*fe7Z*S2NKj;gO36UcbY`ys$zqn>TL&I%8SA>@fRRrJVpP#MPH_#H59 ztc3y2(eZEaiwLZVR@6*R0TuR0!9 zuKqsjInW%ta|9pymBTq5)Z_qEmw#r1f^_JV4~le7OofdCHR1t7nU!@7AHw%%TTtBt zo;av|b=eH}7(O|F()ayPj5|f9X9iFk6lOkT;zG&m8Nofj`+UU9qM<9>&@T2*G7F&% ziuJR>)piFfWicgt_G_*NWY>YjJP9T?JVyhY{-eYNg{bJtOdNo(r`nWG>{`9) zygwqkYy$N8LfH{yWyZW4PqGu2?_={XYW<{Bv0L|jd36Y%F&>BwOCfDB23UND8;h^# zkZAM1mWtVG9dMVAg-F}}6HbihE}9+;yQ)Ft8bmO_Sj(?G&sUwzUC*qkOL|cClm2jb ztjA?K(6;DFdk>`){lF|h^w)%P0MrMbwm%S;y8%xd7+UeZ0NllFH5<>Z-ba$ZBAY*< zz}Tg<5rAg`!(_gO==EYmC-%Dh_;H{2NfK#EWmH^hhEYDEak<@+9`*APGVOt@zUg`m zopR6}e^`4Tl`=`viey5cj|N&Q%7=kZ={!U;_f|2tjY{sX+=XDoPH;%j&xESuFFy&a z-w6`iJZ$It-pXr(Znc~#kBu-4+@GA=!8ONH;(qBgYWqR-;{i`tD3U~Wp^iGst3Tn& zKcAPbPNiK26Jlkd<#kt;uu>zu_a@9ULQm^sQd5Uc?TkXY#-|6u!kf+Sd{f#lAD}-i z;8-7@9+Q(iWx+V)pN!N5ORe(8dkaX%qmNY7>2snb-0NE8In@PLB| zK#5}07a>G%x-GFi04GGKc82Hw1h)DcCNgA3P8#=x0dQRu1>o2K#ydzhU89asPahqh zp}iv5EA{PPgl(7FA97|LKpGgJ*fot}??H= zK&Y=255d9#V9kozIO~ZBngfTOZ$fwzI9-xJ6sEb}B|&pn8i# z)nc)Q2xlp&_%oD-#}&7CZc#E+;bNsW9^)zmM9z2M!HFNIUvaKZ^2*JKB)a`Iy06La zBiL^Na!m@rjf&|rCh9Zr#LOsfl6s1fWq4mc`iCIX`|jPyh(kk#FY5`1L;0Od)h+2s zcl3%B55}uF`8mL!9=LDpIOi<96D-b$9sQg{I(4GHL1gtSySksq_Ddif4Gzk(tGI35 zl9M>$-{*_WLv@j)jQ5eaT>Qn_s1^KSZA6=Y5FBs{kaH3?)H{r;*S+ken%|`Y`WpSd ziirZjkCPyieU`3__Rv{QUT`4^S;a>6hcW zEfUZ)1@R@NaBG3CTiqhjg>464>pYerWej9@Xp{IQ0)gJ~zk_3!KYU8k$rb zoxYaBF6c|HjFLhBIq%IOOH{>btM7d>(`p+k-RB=Hu^dBHb#lq7d6>%>h%DKS0%M*5 zC*V6%XV52(2PI|pK0&=?onokY`HZX=-`hY$7ACcY_$?I3a3)f^)IP?^!GI(6>jo7c zKR)E1uqR*ekQ()vAHNeWhgoct<%5%7>tMo z3^*|PXa?$=A{M@jN#(*z!N#kJO-zH(NH36z>307bc4+gziP$>9i~y z1Ym!>rz*3Y1p_{yhgbB%Ob!VF8;tvu^Rd7G>!7FR2E*h)$PwNu1t=s}_B6BCSynU* zQ7sU}MtEfNd*a@`R|53wAb@{)?DSfLEQszfhd5dNhG}{tvs^ew1>aoVArQ*|2owA} zv~_%2aUpQ1qU^eID}Zwo;v*8l~y}BsIx0ta08c0DK}6%eP=&>@eP^ zRa#!{qVbJU>er_+Jt%)M2_d{bVyD@JpeNn#U^c;}D%U0oo|Kk72De;~y;HwN;lzQa zzF2KHu^P|zU*WD^cUxW`fI`+qJ<`A=Jnb^GsM(mFK}xUMrOjm{^#*LjMH zDUF0m@?3B`ADB&6Sx-l8SjAS%RJSu;Y{C!phq)hPW~RniNU!}K6O+j^OJZ`5;h{-t zqp|bw1|5sp0&LH8jjcqFi?W*VeB*(*MD8guKXBOoJ~0Sg!{76RjEoTIsXvHGL!FtC zl2Q#`-xHe1QSa$d{IRedpRUm=E-HzWLYsDbYvHUMWbEi#n&moag(r)$A+og7wm>6Z z;atZwA*)hHMfg3tJ*-UjH<~jPb?E|={FogbxLxzML`(8`%$L)VQk`9Lr)+_xVCP(%71215h)U-LPX5DHnZ zHciQf3022V5kgfB3sv+9-qc+m=C3jB*-dk+{zh0?Le-r=zY%)t9IXE1##e%SfNk|~ zsM0UV8(&4i9GG|s-g&PthC3TNC0`D^h-|`1%s4)j(u`X<7VB}D zi6NVWAZq42%)#OiVC`Xp=L)SH#slMOh0wLIVbD9vA>DagxYvz%@k%Jy@I!d zw|DHczUsl}c_B=uq-;*sL1Hsi)PfKan^b<+j;lIU@3T?*VScxW#3R`g*ErthYd+R6 zk(mx?uz3262ZJ65wdUuJiZ~?H-|^AbY4&4)p=z{Kq(k-D%ST`ne@fdkMoedgBr!+B zNe{7L*DONdo=m{Y7&?XpUoj*qDOJj>k7ErOY8x9_Y2eJLj*ptJsc}wX;Y>OEzp^eu z2s;Odvy|m!ut~0uCdiC!Njp3S$9`Le6>Uh*eINV;bTbyUC4X^lS7Dm2@r1*8A#kpj zYn{pREAubC5t(3>SfU}CTr7AJ+QFmp%HGPNYdz!`Dq^mYgEqfZFJNY+nJ-3+1I&o4 zlgl0|_Ea$q`v4VLkHSkIlc>Qc@^~o%{9WT0J9Za9pN!~f#)@w?d)$$q>SED+# zeGR)baw~;i_G=npYRGk=rKI%-hz>pSbf&q{~qtZC;Mtj zhP46o4XA_)W$n;EPrMfz7?mcxIvr6mS}>g%&z$y^lAf))N@ar}2RX%Ah;P`>UyBer8s0a>Y^q3h?ZPL}1|uD*}?dAAwPu>m4SF4mOduQeX#k?wfd3 zyindDiKp{73F;U&@ZFg9VSZeXbB*g0ZFZjVv#YdCvdE za#s|Ip@b>Hr&z2qn4v)POI1OQwQIa@yy%)mr_VP^--DMUCTBaK2uh|%lvrk}H~vW{ z*bwcy=W@2$5~IhOUyjLAnCDsS6Rs7(W;u3y9jp6ithAQnJ)J%nuXpphBPhs8vcGir zof(eHT24E8b2t^Q^Mmq0D`a|{=j+z0R5RV=$E1L^|I0YwKiX$8B$^S(Gn=8xYXsdd z)E&Lw5A(ROT=cI|o%@;M=w?ck&{*c@$l=P{UgEu9;L-XFz29x2SB`!C)W;oB^)uD3 zyl8-a{}a<9ZRk1dB>UI8FugRAf?O__5G~y3<^`?~lO$wUNCSF_Vt%&`Fd(0hIhRhS zuj;0D*cv+#IC~J;2*h+0G5~wA_+vvO=H!>~g6y1ZXjGcZq#~1hN+iRxH{j+PhIk zn~NBuvdvK<%CgP>(mik3#BE9WU28#a(`S&{3*{?D7p!|Jx1Z7?@PJ*N20-zSXI>al z;ya?FO@^is{N=n;e8{5N#30rRM~bqGMW!S4>$Q0tS{x@Ht#zyFp8(bCb^2_Lg6jTt z_Lz`=?z%KlIr7K+Uw*S#XKxR9&wfpvdHv4x<@uWxBbccai>Y}BEk21Ecg1sP$Zpn& z%eSb?>#~o^gykmD>8cnrVz&6dHgpp62ezceiXH&WlLICNuGGsUfyt|g;AMN0yJ-Gn z=u?ktiz%#~(zA9(E?uK{0J^os(L*ti(KZW@R~{=gcSwFaNLN%|7bbVs65!sMIVpkx|qMPT)Da{@R zKEmg)U80QlrYehOgpeGvJE@{uJ~EB1h%Xs-v(3>|R-A9rgm>SjWQz)8&LEF(K~qIu z$%uGb14kQ|eAcS+F(QF+;z)JBOkt!NvM_!YO)r&ex<(L=&Azvb)J8Ihv(M;g5ajvx zd{>B-K%y)l2`fUd;!L~MO0iNqz?2@3_%{pnu8dX-W~qv1N;|^4dMFz!Pne`QHEEJ% z6B|kvpkWw>W5e?PxTOx%4-zDv0NBX^M*CqZF;*MHV*|z-!+ihuz0RW{Tu_3nQ zLI~^$@bU;vka@emJ;UE$@0+D00(G=>+QQv)vzuogYYGj&HiF^Irepu@jzhDBOG%IW zW__ z33$EaJ~zna?QwShAXnp;=KMjfW1Lt5k3uARUi3Ok%L=t;>^>$64gB(P5V{an!n!Ks z==?fCTZw&qpyE@h`ZCPT*JLa9;2^cyV6<{$PInaVHI}c*FGH)CesO@O}A=y|XE~bJZDHhZ?hacNZLzh_vm=>@ zGQ{fd+f}3qRyZ9(r%*`T_o{T7%yFmU1hgjvDj9Xo z6S(82d+F(#e~_(VXR8FjN=TDx=yWPJ+2ihDwt<<%iI0T!()0Lapbk!w2)_AU&Jj9H z97c9--6}q;!+(Peo%lg>i}vxdzlpC^71d5~#P@Gt_4~$A2c};gEdUGJ`!X;a-H)!nyk#jp1PYChz~9}W;dga$lz@l%0f+(? z#`)uTujoKU$TYUL*gzi!2Yt^@G20EY6+15vNLqyl`>8b#eO<3q@HOeOtp(Y(^~WL} zpNfGo=}Xw@8OQKC=rJfC-4m>SI+J=5o#-b{U+@cA7&}$^-j$BYwF+XV?Ro1JhQM9a z3A^PMJ$Ofnb?0e_;R8w~IL*!xP`jgOyOUbx3YA_O`=7&DCg(B7HTtBeERyL-VRjJj zwfB3!R<-xDI_oE~boYdRg%F&mHJb3#e+A2^k7K zw$ALF4i9HYt8|PoKufEr`w`FIIRb=CF@$aMkhqeBc*8->To$qh=t_C&BgD1zrNi7= zJjWZ`#rMbVAkc|w{w(?Wge8c@%5P%>Uu@s2NeRb=nAkitra7T>bIjWldVgV|EqrmF z_iW|YSKyj-f_vUaFkY4eXpoqAU$A0@b{?{l$?|&6Fub$a`!gk{Tz810D>$i&fCpg! zDsL@oBUdrqWII{zApBxK_Hu!TBmezM?>}P-qR^T_Ck_|Ep}FaJu`c`0+l!+R%ehkW z;Tam!3Tr>z{ZV9qZ8%w@7U83wNa)?l)^K%|3pe|n*()XpHiX_eMHlE#9y*O8-6@2E zgE0br3Qhj&e8GxWwV*H6uJdP#Uu3JM;h>Vk;|&o_<kf(!udM4v!}`uC5V;L3ABW zZMnJW$qzdS6X+lb@mZNUO)zPK1->TLS1S6**g6~ocA?8yXQ%rfGx*Cqmeoj<0gjmm zXJ2h%vu5hG6D;xywK2orDlT`c=lu$ty(=?N?_uZU_voUpxoKEDCy8$8r0Zmo5TYR)vuSTWVdzuu}hjjRg+`3kb$0DjaS1{Vds zO>^^26#Xy*IIHHFIK~81E|yr|uLvsjBRZtRh;> z2AI@_vqad%k~jk%{>AE+fqzoo@WSD4mex2raqrDv{xPz^{4(sDuL&!9;VY<^YYk~y zJ|%=|*KBc=enWd`;zUM-xEM$DB0Pofs`ps?f(;YEJ%fWoQJssP9i39U(T=(h?UB7- z%+rY@@(HQ0#gdpt-9faZM(GxaeBb?-&U)TazB==crCPg^p2C`Tdz0->69RTs!z>RfVh9wbu zS`1}`4c~cG?+2&>?ezU2*soFBa-`fB#Ma5ak=!P~X8#1|YBC~EE84#G;&6`_(l z-%SQ5nx*V^!-SeX@-isuST}&Jv9QCKPjaZjm|6G2%Erp2YnjW&jx>-@e16x)9i!th zfL^kOgvua7(Ry-_k#o2?2k}2N+C`(yGg8_!eAdcAz{2c*Z9nxRJ=XAui^k$|H0xs5 zDlH<9=P;?r`7;Zj>Fn~tt!WI(+7LhB`mdmwRi`2*$OOmjJS%chbq&J*#NZ1Juyx!^%{SzkKQ=e*2Q%*7hb%`br^s@+q#rFx_Y;lU>w9S5#af6s!jTmGh_jvTo} zRCq6oaQ5!Yt7Gvs*l#yKtW4-@$NN9&N|90Oj;mQ}ckrWZ(|fc4#Fov0XC7`8Bx9)y zoEJ*+P)%3ql?*`KVyVW@B79NXA0Y-TB>)HuzPn%Q36@#yvMnBX_Y8XGUGi|BWSFL! zaG3tm@%MtHd?0m4qqH`4>q}?wEQ7>0s*Mkz{`SLaP$8&+hW@zscbSxs@qeTopk*cx zpdG^i#6w|2Eb)31p{sK|a#FI%n@wSNVrU>!iBTSF2hyA&I^c3vzU;0 z#(sT8FwU}KosKb%-h$lvEgGioNQLRK8rE{ETnu9rWCZ&8*-Mbe_b@aXMD2!}jAi;ZAvZuY$3h zt7Z}5o8UM`*3{@I*qsZEX8kL91ef&hhLhu5@WfRY|C!~|PmkM3o6ELM(vi@{x)>j4 zcRFs>FP@RJA`WNEnBM+%$0|1t`2uvjL9g(*Ii zq-%uRmK)aK;R&T?<8T_*&DaSGJ%lF4H>er0J%Z*}I+iG1gqysX^CxouMFQVAMA(!< z<`XF8NVg>lx58Fg`GX4oC|rfg zI{8WSsoy2)?OAgF@Y46gjeX1kUGMYiVej*3M4b6_DG@_};K?#~I-v?Rwmqob`S%24 zwN+w)qxI>t`F|Qo5EqsD_i=<}+>T#S1orm-UId}*unXMu09w#nVq;k}G)0g#5#M5G zy5;T4Yj;5TW0%!YYGdir6m_0H&Nu{{PQz(<=?C;v7pfR4$s8Q?T&PMqHwtut)tsy3 zA9cMf=S;KPeonF-qfe(%uA4_@R9hnsZ}dTimk4R;-w;CGo~bTz7eN-&0~%Gi>^ zU?jUqah0n6%q$5rdCE*~mz$5WGXRj&yK7@h&;4 z3<>yTJlPT&YG&ghO=3KWQLxtL*(rPd&N!B13e|hUB{1q_L=C3xd6rxvaJ}h&OsL|b z9Qc#oe1G z0_NW8V{q1tg>)5-RTix)32`i8E)j}Wk7N(pe1jb7SR5nY=d@41OeE8%^0k?FmlI*m zU0u#88S+}rrYb@`;K+iC#=6Yr0V?ZF2&=_pO@E}5qr0h=b93=c;j0RNz_^1mtzkmW zZGKtwvxEpTtU8s?Gm>8c>CCRt_3crwN{C^yNiFuTi%DR$@^GiNh=%fsw z9B-~abm#h6ymJr&pqqGJZ%o1OO{TBF6SaiV-_C;_oLjnQ7kKBLOXtz zG9SIe!5LqSyrC4{37;#gmLc}D)RaBP{;zN?b($NRD6Y*OBhr-zOV-j&pSeuI3i4V0 z6awrUvqdF0o2SX<0j{@cE)~*rUu^3KN@L52j)yE$4i@;8P^?`l3i+HU#GZJv0sO}Q zpBU=e0Qw%J2ZFRAJtw$i{r;Wr`z9N1s<3d^wCKvs$x9ulW%nMrWFGhW+m(udgZjE9 z%^#=doXYxbp8a15Z1^Ec#Dh^?79Wp)hEO)h_&sYij-Ie-4L=$LESGcO9Vlvgvhhu;h-ElIjcMdXFI= z1q@Ew$D*=r+Kwx*odcRce%+HcPF+?j@jl_xTwbH$w|J$^SN#$Fq0_Nc%LZ97fP0T; zhW%bfgL9jMMHV!O?}ij=n*k8nlSo;R1!((&GHw*5uF`nwI7c zUY(&WNcg7{j-9rVEHNLm!_KWA(H0xuJxz z_I$0gZJzEVIe})+S%mMs&Gg55KDTJYKP>iu**$wIH2zyw**$-fzbudl>%^@%StB0sQc)x_@t; zkTV#GZa#m4#bmDZMI+a-A>Edha5)RQrH$8VFc8q?#%^M)9)kxNivbEHN_W9THjT8; zx=vg?f=HsQB_l&7E^2h+P$7$c%nCPilv1v5i$yu`GOWf!E}7T$^xI5oR-OQtgT)Bg zz>o^yDh~o-y`gx^TP!He^a0|o8ryA)C4k|KloYvN zM5A>TTasifHV1syU$qRjBL2-WeJ2p$8KIe#5J4>9c^Y8~yi1a9L0tCx@^oUK?`D|^ zaL`uO3p$#dkVLGMl}bK9j+z*4^-F;7z54`or0|8UHJ*-XRaxGe?#(*O@t&R5`)J=* z*^Ynz(tUM%#&~6dn6chfOpKoSmg=v`*Hl9B;Utt=th=K_Jp|D6xNBRVU_V}%%GrSm^X#o&Q-CpSM z_V%Wyr!V`wF*~c7A{hX%NloJvd4XjDl+WK!!Chx( zX9YLvf$XN8hdtn)*xexZ$2M=JAu14!L##WPT0U>KSem6}nUU5)2o15N` zuan4CFdfKF*+yhZj3&o>)EOE6HKb>ZsBbL}#Ry`eEQEWJm=S$7$S3_p454`L9MAoA ze@1mWp>>`LM@^`U=LK@Zb*9VKT^B()o8j&?i_EvPTQ%G0qX6hjN$X=f4n_zI*V8mC z7afRS-=9^d%_bd51`-weAGv#wr+!2*4L9@W^&}X`UMHVVj!)W` zcTCMM&+~K>!!*rme6;RIWRl->4kVGRizG#>*3oBM6^(;lD~2wi19fd6w3Az0$?TX@ z&fBh(YZWMJ1dxlV10KR1*M)L2pRhxV4=psAm89C@4&U{5UPniKwyP#p^joT7brJaX zy#_mngyI?tD^He$or<|+>>GP$gQRz529<-K2oLI#DQS5oCs`SXNKv~Q0dI+-8uC+J z)O+{zw2yAFsIX0uZ|wAsZf0Sye0{6$c2TnC;p_qcgTE*x>C?QRqN}L5l*4IdupWW> zGY%yC>L1Y2lDD}a#5*7<#ANuBz5d2)?Vf5v#zwEY#Hz;E2UHE=gU+e2Ca|HP(eG^(-~Ow469Nz>4Lo#QTe;9E_$BhKJch=Py0-7eFMc2GT&My>5}40o~aTlSWtmy%$s?91yxL)4d-o1 z6)f`UlnzQRQA#9p;iJEiRrH&ko%j-D`KvAj3ku0J3E^2Ti6zDeR$Q4*=eT(sy4us%fG$nsoI?8I-LL;rI&u*;&i__%zF#*gyhE#C^oI-dU<$(IH^Q9C40+*1ZPVk9tj*1=`)?4Ju&*omlsWhqpcJQPZ^~I+ z{WSLOGG_F_tR8~}bhOsiGZadDP^|_8gw?kqq@>4MuW8aSlCT-rmWYnz-ugIHi2&=E zxHN-yTcnJ+5;9o${lz28i=91vH4RmHU3C5kOe`R|!urj@Dvz5pcMWK?h;6jO#c@3Ht4e%)nzRYpJ4_kpW+H{h7U<(PvpU!kiFW0GH3p z3WyZKrG(nZ_I`cm2=NBMabg7=rECh1d*SWr%-a;p*+u&)DH)y#1HdI)dBb%+iB@Gb z!=kh?n|~KZTp$-G8?du2Euf~NY-4)-a=>h>F*#Wq@8cf3>FnnIRuy_7f@SGpxrUg{ zVx(PhexB>p0E}_0{sU1{g~>pJG#BNS4(h%t5ZfH^D{v|77hT>2D0^wm$Io%-bxnHz z7j17H7gf8ij~lNdA|295h*E+eG1Q1O2uOpZbT`s7qS7TGAf3`7-QC^Y-9tAs^IIss z`+d*e`|NW*zw_k}K8rQX%v$TI`@Zh$x*n|47L96S&ihwFxyt&}cMs5@GT+fxyi#Xtu}G2%vK3r?qg&H>r(Z5dnIuEZ7vPU- z#`5AbCi+T@OoeTx-`&A$=IpRTd003<%dBi{qfB=K_%X0_oldl1K8y^`P&vTV1{ zb0f_Jsy<3Trt&c+y#hyHVB|M;bMhSn*l5>szRn_m5-B)${;X*RaY5FybPnoW?3}zP zy*l@u2N^9Xeq;{>-*5CH1Yx@A_Y^Dlo}Cv4Sv>8P)fXMnE;|@LdW5qY&$*X`*2@D~ zm}(z{2VI`=1mLc-}8mmsI|vG*%~z`eNj2_?hJpgd3h6|wC%COu#70}P2%SL`08 z@~G|ZRq^jnE$gex=|4|b>o&G%lpB*UqwB?Yn72dkV0Q%#zm!yE)oN)v>2rl^Waqq1 z4LN&NYMfZndwz+Q|C9>)`s;w*Z90FRd%cd2YF;lX=ZPnwMiYdaX{6K+`R2a1ArvDZ z*;Y1YQOlJB_KxUU@InJ-lCJ=|li<&^>6LHx6SrNq*FglPPw&wHFvbfdhwmD(0Z?T)3sh zFr1erB5g9jA*wccK#~JeX16X?&^BzFx=jG;t<@oC`MS9K6X>P1J;>^n$vMk(DvQp2 zQzf(-^Vl|z(>WfVHoZeO10VK^Qyq`N58cFgKx~3%JG;k5aU4qk@^X=`y0}<0>_Ly= znw~v%y>RfnstedBXak|r-)j7wzxLU`pAKM=&9I!kac6h49C8 zNEo=iHpka>rk(lK!r-&-o-`M&&f!C;Bx3KU+|T$5UXsyqH;pdY$*Q=~xv)+nM?_A6 zj-DZvbluZkPbUl-F(0mnljR#Y>07)tx@#s$;@JWbmTA|*USnb|2|4rY@N2$9u{oBT z)9qQyy@-Y6lHx95+6YU|Kk5;pc@ZE81TFk&)?B|(Xn1O+|1`1{!8}I%ttzRmj8+sw zoS(PoL+s-2I%u%LW7a9>pnB3!gyO!rqT^bd%L=kk>R;yxVyg@=E8EMLg+%uh6Ah9p zC%?!H;ST-L`2Ulus4%Yi^m0Nhrc5_HJhE0K4Cn$bf=pihL9f6A8G6DQ0*HNf_nnV0 zV&BaYkJ>VY@URvnMo4gB`c$eY+G%B*I(u7|0u(7xa5wZ)XU<{Dz3?umQ^2uKfZqIz z?26GReSU0MyLe$@>hz)rhd5TN91qMA)dh>-r#1#o*Cp)cRk+K;5@1<|LY*DMe%DkK} z&3gL>DM&%=M%A2x09_GstNWE^F8hj-lnAByo1g+e-u>P#oM;m-hIeG;i5cyxBHxc7 zY)dAykGYOp5;R|tKcvem(daI@@7MC}OzvyTx5(ob6=PM}sc$OlWqR*6_3g-UijCie zy_@k~)(WLzTO^<&Kq27aZ&)4$9gV(2tlY94dQ|K9ZIFu&f@tWH6?E~!=C7(x-#;oI za3k=s8Nr&;N}yFZa0>>Rcwc&`OeWSHy|6%Ez-4uHJj@#ERdw{!5_AYUQ6w()EK|-%{uRKe zP1N4nYxHOU=J!x0TO);b)vuByOTy&j@R^x@x)GoRvt;x>lF|#k6WRJmawMDc_Hcoo zzN)dYs{ZL1TE;c3<)IgWg}g?3tX4lJBe9Gsl2Ye5YTqZurfr!pec(*osonT z#%B_s!|!~#)enw?&$ZFz+fjgyymSp|QFMB~n`3e^kh>dfmVX#eF)GLCf6K~sNvPTz z1@ko$Js(GiF-9VaDW08PVm$wRbexK~>o-C(_>nR#*o-8bKE%}hk*V0ZHk}PYyAm#h zSOWtY1+tJp7iYi{f1urbCEFXWfdZ&EcW3@m*cJe$#?bIZ|vE1D|{wSdNGO`c`DN~3vE7aRm`i=CGgf+o0p*|;NaQpqKg9v z%uAb?nsg<}3Qq&k({|1>U8hgtEMHr6to(~i_WmStCeq#Az3`LGYv;Cak6Kw}?!|y9 zSyoq)LfvW=^>xO9zzX2Sdw(`eAXVeUosl@U~EklS1*qC>lP@L zse15)amgF{LyUvi(~JQ2{vT0ZxcMtIWq^a(g3psU$NlyvM=kdff91V@O?aB zzlrD)v=dmYf?cm3k=h-H`*6?@n@S@|iD%qb?2K|)TfHW~re`otQ3*dJp47P;g`3VI z7!ub~&mZX-QuFdaDk!7%u1L5jc~tI6ec+$PG@#^k#U63~k`U|i7QI_(NLS4E7QR87 zBy+W54p;Ce0qg`pZ)1jg1;lW-A7gqle+X3M~*owoY6Q5 z|J}lyi4TBEA5i)|nd;V6JZ_4*%?YL*p&wy9z0bMIq@rr^!g357T2%oBYHbnilf5;o zCea`1O&#?YQgo8j2v?T%?f&un5TOo92YlS4{*U7p&8sLp#3^ihm#% ziRca9oG%m5B{s|n$%_Qqg-C!(oJdP+gsW<{OK*=-wAfHg( zcokpRH-}BKgS=Qs)-&t?z?k7I@--lT=v%v&%1*2Hi+NN0iuhLd6{S-BOB&~bc)HbH ze5MFPW=7@#&^63z@n`0qO=+JjcrB}FBLMPtf0civjaTno>8?Jh8MuHs&dtbNjvKCo z_tYNrl9#D5b`mhD6s*P7!lNG#q9uC;ZM&}kB=ya&K>!uVqC&9SDXAk4HcuoQ6ZZEa zptSoz=`v7j*f7pWd}FAw*GC#Vk5zj&*(kbaOv%`SrwpR2MfA*)?AEs;bfKrC0}bcwN&7dQ7!TFpS`o+MdM$j}39MY|a>x(+ zly*^Nu1TyN`2nUY;G@cW05b&c>nooYM2v@wkM_-RZ2*45@pE=C`+FNtRK2s;0>rY> z`!jck@Ah7;c)$b9Y-CqfZn6}t%6btD*>w;Wb~?eWqSe&|3to)SLePCQLuEUjI7$J5 zUF~R!14)wNd^V+kHOZIVJL~)&V$wy=#IkWOHKc<(d%3CV(O4zWeRt3XGz7mM5L3NJ z@1K0&U-s8bU)Pa_jEwvkDC+)?YIxQS-AXZ>xHo4R(Wox)h=+XA1IfUN^8Zv%RdHpB zUbHeb9WK-UE8-$AFeE16rq{oZe_-RU+NmI-SE{N$QmE}tdPp*(z@r<8?Ea7HsfV}( z8Wn)RDWQ^QtUo$BO_S7z`246@;YDE~y>{kCwna9>vs_d4qT;6T#7<*~iOLU~$V8h= z|GT4{8Bf_2!q@lRL(V@cE_~=PN2eDx<1DLDKg$qc0ZJraqb|r?hv#^iHQrc{&P^NK zSQP!rLfli}XiM1h2BQ zh8)nJ=gD1%n`<-q^}KIww7&D3h+S63WO;tB?JS>xf6i++8kH$>5Is;7Od8euN{j<^ zkt&^Aqk4zLIF+>UgTW7TKGwfW-mgU4U(>X6Rz1n#{_Mn#l%k~;g7~kyFDjU=_ z+Fn5UIhG7uvIj@kRzBtBsslK_Gqn_}tl^5sD_r{y8jEot{e<8C1egQ%lf3)i^UfA< zHU5t)(_d%-aAqn+t{xtkSC{L*2B4G6D_f?rpy;=64-8w70fH%>Ns(u@?0&6`fC< zr9@nsn{wNQCS%@{qwhKiI1kMo#Kf=zj0v#%BT0SwkxL-*;wAt{Ga&CWO(d--LfWkD zhfY}dW+weXsitC%4z;=*{ui~6PX4Mh+1-rkoB5E%hx{h#iS|E5QJo~7GXocw4?@Kx za0E@a0U)Dqg7Au#UBe1g{#IS#z)M6A6LpAa4_A?1;y<)7ekx%&sK^^~KeTg;h^-!{6+uV!mK9v8x)e|7zVRhN zXh%#i#V#HXg_9Vc4l4D`981dk$YIFZo1*F}2};wAB2LoH^*Ckz^B}JOT{dJ@7px+Cp*^?~s{x z2@1w8cjK?*S8#0R5mszS5)jYRnI~PEe*$nAA4mzly&dt{h75NT7+JE>1CZou{HGgm z$=+@PV$;2b?&@B|AnXKV2eBd8n|+DEj&(R15=0($g#^UfDlu{>=(JC52K*|b^Bs2K z{3@d-_4reEbb*Rw!^YupJzud`YoWW1+y`S7CwZ6YZL-XiHcMH&jzRPWggY;wq6Qt8 zm=Vva#W^gmnDSJV76ojpTW8~iDBU(h9E2E$Sz1rMo6c6Hs@56~0^_+H_U(|=P)IK| zM{jNr?-x8n&4t}B!?T`uRzAFN9E#aWOVJ-}2+8q*N(53R!|Bm&!hEw{WbD|y+L(=El@&~~=k9o7E%lb2#!YHs z^2<4U_#Oni2fKp^}$I!$UZ~eKUBK z(n@-o%2$+dkOMN!EWi%`%M^GdF_Id8R^g@V4= z7krZaxh*D+t@~-Z?5Du^E7_Vs+nB)DD>+}8rwnfF zQfKhU;k8dc8OH3Qb+XKp$)SWGT*u^1pt3PrR{bg9$6f{Jtn)JB6e6c=-dRHi3R>UW zT_gPVU{9>1QX%lNtI?~n4A%-hCJw2j)h(u6HXX=&iY~VMv1qss^P!-L_tAKDpH+ z==>@v7gnvO_d-RB$;qr&x#`o~Ndm-xs;Uxwjkpi9-gkWik|g$e8FYHG&^%(DxQL}p zO6lmE{uHvXP*h*JPgju_?n%3la7Lm{H2Ko{j>vkZz>Kl|SE_d6*EX&`v;9sz#0zc` z70s~rwfGQ=eA6E^m*tU9wb*Hn%ZY>mF&4S+D}>^c=ySK%`QEhLr=NsFW<%hEYA*9;#u}0l!&!v9*tOLqJ<9p~ppdWqER$-z^Xz z0DOJ#>D#_37zM{&Mhb7UZ&o)p04obB(#IZGe!%vp03W=-*$7lBd^#D!a$z zsYddj`^whPLZL3)wT1}|205xl0 zhQB+YL|84EZ;DnEy?KErKk{pt8dLOV4FQ#NZCM;mHjQuh~v(z^nX zSb1nJp?O0Sfc}B<5=q&c%-KHdHCjWls&>RI0*M!9f~PL0o>Z6jQpxrZf4pdnXNFjQ z4J4)-lxaHsY-1biL6j+`SGLwiEQGt@Dp3KNe3Oy7?RQ4EzQT>RiXXPNnmSiQi+etW zN4ks)2Y$1ATlUR2CTo3%^fahl5?8oXG;^9<2(g30~k6cRF@_af_PyGTfU>}Lm zntp1*xAJJ#H;}5A$J+Q)m1Lx_M@YIY-(;pAw*?5$8Y8{G|6(YU=Zm-!cAnoSF2T=; z-Fq8SMdLYA(b!#cs~bfNK0^)ywRnD4-iTS7aXsIg9#l$4If)E99Xxygn_F`W3f=Y! zK8wOUCdO3yhN3iebU?x9(#F!2h8<?+XAcvq6_2emstvOFzkd2j_y0qpcw`%5~ z+#q*hxXiA_OY0G<1fYJ`=2KCICQ{|SPio<$XPJg)|1I)J8H_=MyQH#$>VEL#kCiEP zyMmq4%-4*QJTSS~9U>zU?(T2Tle4qU_LtgWr8xO2v9vWI>7*AsE%>d>U7;@l*av{7 zvi==3HS&{Zv)=Rr8-SBLsRz1r%#CvGh;`EoK%T=DEJm7I^9HQFRnzNfLBD3&C*2> zbX67LXkwzaMD3hyB*#y{y9VQG+a+DHLBfC>h^CJ>=AZdF_pZ1&{{oYIMe+ytHQ?3n z`G%{B^d3rVwSE%1PfOGwub0TwOWXHvWNsBn8YX~HnX3<#wkMFPmG7r z5_@D(J^~8w1Vc~2#53cQFl-}&tx#h<8=*qyg?P6;;Lj_L7c#-1(Q$dx`c}89_g`Vl ze=y}A*l#HGj29{s!6~pM6Tp@WbE34zKpM}nW9UK^^xjX{Bf8LZT#-ex$KM58p zRUhw1ARYQH{|h9ma|~NKi%O*7*0S9IoL5R@QlFRT54(1|M^m_D7^jI%DW67l2&6vO zvc&<4tkAz8jDJFnZ$7c!HA%q5yW&w11rw9@-X-nf@D8DW-q3sZGiz7=%9VQTzC&QB zmF;iDG4tRKAU&_T!_XP9g3hzh8?d1DuQ^kcu)>?oUIFKA`TWv2%V~FaTJf$aQU3xo z7SBhgp1I+EMVKP$HU9x7u}Ya>0WP9x_u@2!dGjl%LN$%m{5M-fXIH)@Ee7P zF~NjC6^6X1_&tRE_nr2`0nZ+kUys%kJAcJY_N=Xn+3g*o5z3dgf8YSVEaz$7SB|gV zwvC4`HQeD`!|A^gr|HbEyz|}-G{PDWDnFS~cAh1}oVxGGl&%m5{cTZgyV%V*038^|% zo17hROa+VB{IqU!dZh=vw;uXJ#_4qk0Mz(3mmWNP4Y7U{{^a~6fDmrJ=Z^+pNz*9b z7Q2WW&$}mj_fW+6Scj4=k zZ!$|4tVZ9I=Lp1laCzOOh@YyN?91$BeDh{7w-66$NLhoE&HA?TQ0X(kxtb-@VqaQz zuHxbErP^?!gP1%8M5T+<*29kiM|KPnI*fMrr06Bk1N=(D(}^$DLu7lBs#EKDH8V}v zD~?;wY(t*HAI+TZI&tO286_R3wBoN3Bzt~SPEac<+y&Y&X{ZiP$+)3v1)W3G!Rwpg zlpQ~hSst8t5qjC$&aGh0XS+u?uGN52i1JsmzX+8Lk{iAE;sGQAKgE2XPS$`#9(R2= zVR5sQVtjuq*#eKUBCS+Y`(#=^_M**rWg*Wbsgh3BROl^SUyUTI2;{E z$s3sg@cygON^4~pD}MKH&B}6C+3|n0ts2NOLh$O2c4qWa-!caX3egIgMg|Z=(aRvF z2r=|}IHV?2gc|H7xe>}&QIK6!+-xT3axjwnv7w9tDf@loZo9^(Q{cmz z{yWKTsK^78o_l-WALHE*EB-_7;*kECmY$ip^a7ebaj}D4>ggRF?*UIC#Oro}OCF0C z^p}?tt-IbQT99#yG=z14)9c06G=_8E z;TVuluuVD5aVl?4{ZM|tnQl}fcH;)}P+Ukrp=_JaHWh-ru*`hDsJ%m(oX|qLf7vk_ zKYG&$#k6Su3PXwccEBvt8~NVx1Zj;#v#o8K?@?A9Dsw|x=x*2#b`{M*C}ro4BNysi zR`9lF$SiEnA9$jKMMG^L&3Yg7MmzRQN(4Os9Br_SQ4MW27H+z?V$ne zG7dPPJ(irRmHj%eY0EnQe}o1XAHFZv2=<@3g3j6bk&a;G__mWF) zRPJYurtE4kj$5Oo?#_yxUru&Dm8-th`LKOc+9Ad14(*W_EwIQeAXuo%z$#^6JvJC7 z^~Z}(kHZ+gL<@>o+Y(|=k+OQL*edN}IB5PCT8tNu<&}Aa>cnI%w7UzC^6=YBaSbt4 zpB0fxQ~|I^8wrsPDKIR4!6NK$K{xj_U-=+jSt4FzwEnW1V7%>+?JgkbadZ(FfA(Xi zs0}n_yD&XV0k*Z614IoitCO{CLv9{<8Mp7aApcJE`d^v3)TpOlo4G7AsTg|za1~tp zjjh4=eNJJUnIBgSuW&y5W{*48X|)n6Hc*D)8ePGhipkEr#~Mc&_PvhI4nq&Mr!9SI zqZfG8-HDkV(c{cEFUa5#N)`Z=8Hr^}=3<(>IxDb9ZXF&h?pNmp9&89O$w-xfh3eq~ z+hSuzHDD`StN9hIJDe!R)bCVnZN*4*x>f6Wrko504o4xjac=G9+Iie>yzycFI7Z>l zTJW|5!YJ8x;EDQCro~m zIm8z;k}@z&vmy{$jR6O6W>bY}3LS@JEnqzWH8pkDqfh{IzgQyrx=1#Nfd(692Uvjp z5%t|cb2H|BPLhO2rBFQ(|IZ@z*DE^KQo zdVSWr(>Nhzhjhx~t&*gy{#o`OYD!m$|FHXx3iF>PB>u07vG02b#rw@Dxgh{HSyC^c zH<`3OJVX(dMt`f@|DIGWUAC-Nu~KyMECmwd8tv;PU7>{QP<%Yw$-T00;9 zDXqHk4b%req__C!D6B{J)8!Ag!+2X%2!fzf_S2(Uxy;%-2b{>S$(~YZSr2UY3xQ$< zJ(Mk|*;3F7>K^rmN#wgR7_DAbaLVBcP%9VqnAr)8FWlwND`Wp7I_?1C5W}uu!A3G! zVw5#Y!Rk5k!#MG>lQj!N>*423MnL)OJpohJ)&CHJPf$kbXu4!?bE5baqEC@F7|y$CTAR+T z0IfU_1WaC7%ECDF(ttDwaO&ee-RSUY2xavv@;}H#eYR9E;4h$txu$k@wAy{Eg+UAR z;TzC-ozX;mkkY^zPRsnX)-cFGw*jA9ON;;?dF~rQd@K$gShw1dWyoeezg(l}_2qx~ z4{XMH747e~0AfEL7d8ex?@&4Lyc6Qe`+3`Pn$ zw^uhy%3OL|52x%J_iUPt{boq{{&e%9A&_o_;2H}I*tgM#SborBry4gggRkW+>R=tw zjQ(MP@eVK(K#Vl;qUASg)pA`u-WV~okX%8fVAtC;S=bqhp-S%G0bZJBRasI}QhvT{ zwmpYjGPiAS9G^1}BjJP0Tni`bHmqqG=y}V`vpm!wo5pVDmLK zHR0jmDxQgOWFa3v~&tidMHFCErMTDBuCOS#`vp}!>ZKgmPXnt<)n)yWR;q5q(S z5=zQ9m|yU7(e>bgmOSZMx&gRDWm&E6-i;D{J)zr8H&LKZ3%KnGWrI**DhJ+7msdfVd`1fvg|4PPhh+yR#JW>RJtAXpCinObAYF7NkbZQJv zBNPv=v|L+&N}S62Z}h5{Pcy(~H|tcI;WuA0PEp(tYVN*CXTwWk#kFTvxAiI;lw9y+ zgtYAJdA<^$m{a~~Ib@UU)EIbrV0EEfRP{cx3clr%TSAv!;HgY2P0yjzEj~B?fnbNj zoB89$X2MZ^6sqn~KE6-V6@#jv7U->>xL3ea2;6)Q!4dDsU{izr238{4t3V z)aloy^XqYlQRsBPWCilye@$kJbyiHNE_tsjI`3;mINtHK3wtzJ=gQo`A~2~emkn=3 zRhd_BvdfAfgnN@ddG#|U3#N~m|1H55GMZu3N&0S`8!a)ECte-q!91~5Oig7J6P6$P zVP3~PzB9(msU{;N3y;g|Z>VbP1l9};?bv)@K7{I4#F z!PccFG`YHJfe$rnrn=s0rkrGqrJL8~{@di7$ml=5`v5L7|D8EwM{xP_%4l26RGdM+%k0nG_FH~G zjEqDybQ*Jw43_TENrpw+?wNaEKc`!~>cNM15y6&9D-qBex&Ze?dy8u10gW~=jWM%t zEBNvCWgot-z8F&z9V*c8d>w0={Hl9pcT&}a!0T_>pzQO$e~}CNgaf&tL$z6;!v@Ct z!=5On+JJwb4iYG-oXx}qj2xhD+ZsI>6+{z#4pQo2`yi{TWP+aBnP)Kw?ZHPhGCKtR z0NCpNEUA#&JJYD|OP>4OXel_3I*J_*2=L?1BCwDH&2XZioK%-qCj-9JkRBK(LA$`0 zW{(!cTxz(qt>DyWZk~JWx03}7YE=0Q8FkI5S>9hcl{^E+c4Xk^lGJr z+pO|HTO4CF)Cn$zoyDNKF>=Bq#|EvLk70ljqe6c`&j>>>^$@Ba{^zaZLjprR4Gcw zP#X5F8ASvemdmR2P3Tl(edwo*oz4EpyrTnL?8Y8&u{VK>)%j<4>I}gj;w_>--KlGk zG|zZlRTRQ?4TRd9DDD1XPc3ZrOR%Ccia#@aC0O}ga(CO9$)5)`&axr9)`i})j*W{T zGN(w{pCCmfrSFe?-ye=3&ySp99+)(xFM0M>KDSgPi-y}Bqd0?f zJKA-b%O{1e8F(3IS}R{!$FgSMJ<>02T?y0ps0iSYmvqGs&U)t-LhBAT;L- z9(5~!=UA$Tfqk+(Yb=X%FTu-&EeF&C@^_16AjYW|DIXB|{c(m!ak&-DoXB`K_x@%? zo*KfGU=kIYfz?HN5#c8b@4ipC8d8qAi%Fg=^B+a%U8lCwEkFLba+VJP813un@b;o|1>z3Q zZ9~7`nf0;1dxRK(k@_W0#M~eS#AV1JEi-MC^YX#vZQ=X@^TYb_$XFRc7JPR9Ciw{b&Y1Ma<#xuRHv(^)mbS zHp*Y<|8_s*H(POwoR3UmC1SYV1J%|A;X8Yk<9zCO0_^~8xIw%@b3CQR6SG< z#zr495AiIRPQST6nM{zslHW_+7XA3|=NMV_JMdy97=j*IhG*LgYOoId{-S|E72A?F zb@kz2Z|XC;$9b)dNWOJdDCqaj6#c>}IZ~1M9LwUmwF)SJSF8@#WMeySTz{f&o6+j^ zHHe|D=$fUtp^@|09|9(!Xgdb1Z-(R1D&1lb3TQ&_Qy}}2tac2 z>cWl+D79AsRqAL?OQpR(@VB@8PNvvf*fF#C#2BV?em@!Fe1q%5mzh8qdVMS>{O@|@ zUq;!%kNZ?^7PavA|M;}G|NfonPw~i-PT3T9?l9JiExj8w*bpHRCCs{4OLzU9* z+`1XdjuUq^;No57Ed8JcmnIeEg*1?BRYE@=PuEmtXsh8_9nFLuJJFFet4zj^u#{K>;}xMg)C@%1sGq<7;Hk*Iscrdp*&( z9^Eg)DMxs9-orCD-}L6oOmiRjb~3x{L(RAO&Rcb#NS}~_kLL4nwN5Fb^Wb7L)RGjZ zimMm1CJy57N%honw6ifRz92kc<{nsA zvpzYxR0n-KF3J!DGZJ>td32M18tJgs+mxL{3JheUatC4-9}_Vz)(Ze7PPnwLhZ9** zkjsf`C>+WRSVU$8;xs&8dT5$D)OP4@$3Tyg3xdLFuO0e~@8InQ<*jFSM*;S4J zpr+*r4eq_FgW=ph?etpc%N&__(8YW|7$c4^<2`D0xAuXb8qWxP8s6fbi=lg zUIku(uZA> zWlWgUGSX$3bZv5I;MWjTYAzh_l*9}n`X4nM}uUMM+O zwR#pqk!kT&B?iq84{t)MRM?i8xkub2FwK>tnx4f6&TM)d6LKsE zO^uzwj(&Dc{Lvf1}O8OcfAK%vX9-~Hu-QGfTk{uvzc9FhKBWk}A$LDhRUWJ9Q zsA9s!8@4f=#c46cwc@oW4dAuht&6QeGSM7NFuKyBqOF-~HT6nzK1O=%W;=qGq=Eug z>pgF%gfj_$->=JhB#YXN3z$=V-gvFkZLQBe!iadD?&|+|piFD(*KUNZnu2u zYmoC{`V7li5WC;!p5`$s#GQ=Em>Ew@yI;FWi76!Q0g*jXBKM$S_StfTmXeFd)smeJ zM(hQN>U3+lX7#O=$=>!$_~9ugxwoc>NXipod86o#}5^XGM~Nua|sl zy#!#tFH7mC_Zkatipohz1xSy(UM^CM(rvfYswtM8lQ3^E4gQ?&UFM=uy0%eFOuDL~ z9v<}&+Y6pxdQ(7l{i_CUgV|I-Znp~N#%%q-> z>ctjIpKdQsWb;GxFcoC??G``wct_JP`m@f*YBgL@N!^*l1hHtp`pqK-U>(cR=&U*VaPL9G;zZp?mJ-lk61X6sRbB<)1Mtw98~e;76qpQ*!hh z{T_!%U5V-srSFVh`ZD1erM#lXCtk-5P`EmBOA5Y!btLmbjtDcL3qf%Ig`18Sz zYJ?ZqNU}TsE;|FKt8rMq^UAi5@p|84Mil5J82W$mtgt3vOt{v#_{ZMm7bW@U8E z7*0o7Se-{X{Nc)>7R%Ap9r=siS{v<#l=?5`xko;UbLp{jTVd1%4;(zt>!PpRbku_f#4ndtz7TU%6c}*~HDs zc@pOWD@UAfK6(9(#d@L1kGaNCTU)zx8bA5!<7il z(|j-p>4|dJW{2>bRemOo)%=|BD5g56p~?J5B8p1GiSMPaxxyS$kX3~yfX&9$4~sMq zDOzy4u6sPJ(F@e(nAUO_n!-aWYo=#~-pSUH#jVJGYMx~>Re3yNYWPh$hRb@TE0Q6% zj7o1~XD6caiI&5L*_t}CuDre;@#VSpAFtd|6Ma^RR%0p3=8_O-xeuhuUVgo=&gD2G zDl_Zcnj_3P4Ot&zc-9@sYdX@c+}i_UUEVGCG1TK*R07bx;$nt}OTrw~B#WNos1m1J ze&L%B1eR5=0Dhc)8_!_#Du^8&L7N@?)*Zb2Px0P7O?dM%@x!Z|9=GoQIw4Z^^0@R( z0&q${2G83|g{?qLS65e(x5B7n|KLC-j@Jo(G#bzEcD_5`Na4C$-{gZUd_I=1OJ%*i zbfCmkwXxH^yaTIT@NC&1tuNBjiR-AfmyL&6M`g6T2Nm zqYpR+Eq0R^u`)E3wZH%5L~|eUvhIOekXrSH^Zm|Ntq+j<=hyU3i0{o#t;TyaBwq>dM@i8JrjwnT3s|C{S0Fi`Gcfto zA`utW)z!d8jo8tvu?}%hvF@Y8cpUe=wkc|ew>G!8*EctL+|CcZC?H(M11XZv%9pw_ za398T8mY5zokanwxt-0ARq%y0S+vXcN1j~9b&$A}bXocMXiom7{4m z+qg2CXP{G{-6|I;2gc9NRq*=1O_VaT260%(ZgW^njREcq1EEi32#n)RcgP9!-@bi2 z1GzAd-DCys2I%>xz}Bm{w6D3G_Lop7T>B;`U4UFVxMn$AO~}292dwPe`4uzhNXvEp zGumC`mxu@l;9>c72)ko~FL#F`>%pXFbA1#Tuj8X#`iCTYV)%HV6Y;J~A*O)b!=)7i zVTG){OZ)m1?jqW=%?I7D!{5Mfjr~pH-47q_nNgb@%HgP79qkaPloBY13d4FPXd5TM z;L_Hy$ss(z)tYrjAGE}o`l~GI)0uEJ%ilJUQEu$foxZ* z4tlP-#N6Cmf3hePzNrO0ABnx<{Gi>|lV|ZS_JHgs ztv3d~J&AJBQysyx8seiIL-EaOCM{3Xaa(`zwtJmRD`a$kr1s9M#FLo|s~?L=bcqa# z9*cqa8j3!^&AiRxvY{Dc6zrTnh#+A;nc}H+Y3y`jXr5p&;oi{crf1o@7oXJ?Th4&v z!#?REld8W336J^OSXc`LLqEp?p@xWVb|mP<7pASmr7}~u*K+3<7f7qiL$AROpwR%= zOJNT{k5#$f!H`$B;0p&~#uJyRggG5d%d2Vam`=J}PM-w9knp+S!U((g*mFR?vlaiVQKW=0AaC!MSosOo(JKf!&o;^!MQ`5q-_lq2iG)+6S zY_M~;h{Xms=(Cw5;E>?QgJPk??9<#I3L|w)e{dof+v7c82_r3YI!sY1qjkfnHarSZ zDT$06No|h3jj|Jmhc=obla!pTDHltt0wQq0Agyv4=qTctub-BL*vzfK9y5qCs)s`C zHjt9An*Gq*&4x0*9t?w%Wamh1AIVqC@N`u5OzA85XK*Kn5R z-~Mn3uP2u0q)KxC{6Y{H&}Zy_LYzRLRxRHtDz%gL8sW&2?~7WuDU;_q8aOd)oM7J8 z!IX_7farek8z-}9YK4o<;U7IfVHdPg)ihm?u{h&m%ky#Cz~SMu_H&=`xWcC{B+_=>jtc8i8!>U;9{?z;mPh+QrH)Xhfs8x9yc z`6nqly@^lY=`Z{}t#; z4VZmuaE$AxEe}&DU~vjUfp3Av*m!UfqLOvPw{mt(9Q^-L_SRuhb?v{nk3PzyltHHg z(%p?p2}*Z&4lr~KrJ{tCfPf4oT?0rAUD7o)LxXe-4MWFoBly1WIp6npu5;!Ou4{n3 z_uBVb>$C3o-1j{xk`qVaE%+-{bYZ zhVLBwiuNrCa&W!J_jx}Q#%?cP&j_2qG*I$d#TR|mzmCZ*j_ebY1%>((F`%cZfIk*b ze1}%0h`A&4m!HPeKucYZx({9u1GjKNZ`7IO2$8r@b0SbCj!o|rsN`rq(zp6Z*_tT6 zTcX4W@7sO1Fl8H``vl3pb4|+3(dL;vHi(OJq6Z?Y(s9R8_2eU_j*}v*QVO&Z&cQ21 zBn2H`wSIqXK8g9s6Gs)k1p>r-Jgfg06hTly8#TWv!v`7gJ2EZuJ>GF5A4pbe*#LgS z$tbPx07&oe+&-Y$LAejC_)e0r zzuo2JHz+wWRbE3Vh!$DZevqwp!rQSLF~1m4^CI{hUZv*$Y%`cj8vkMP2TVls(d>%M z&j@ja6C)Ss_mk$EwSotGThKuh_++Nno0A-tgeT#~pc{3+=tSAgrNog!B2sr($T;4QdCYGuTK$0=@T zkB1Ht&6tA*Bw1uO0AY{n(hDC7ljj+ zv;ckk;mAYzESo`4Zoh*hG4>W@;4z%8k~Zq8sO5OK6X6@53Z}C(Iu<3*NRVTCU-EPX zXTS{m0Zoy)DdyI%Vw3#vjv5(nvFe4|%ZlQyPr)H3MXi4F+&%H!?yCzwnKD0S>YMBK z3=tD$HA}}P9<@H?Ym@VyeMsrP#s&6rJbWw?_xH}C%zor~Jk#%`$IurQq-}yT;qVLk z_SH}l9w2;)Uc8UKLwNU#0?7a*^_><0{^ueHm&9fBx#&q~(*CdhsM{iO++rio&R3;} z^i$~usk#bej1lbw(?8AHv?=cxnyNvApNe)2tpp+MHQd5~-7EBid&?<6NRX{h6p%V#;1i<@~oBdc3vh5a;*2}$cl=y6A z^2blJ32WO1a}`d;j|?~^h|wUmopVi_FaWvs2g{2>mXq*AO6VuS&u7VirC9+8pVMm2 z!sk>`*R8%kN>l&>{6b*PpmZ2KNvATqDO1p~pgJzEd(PQ$v!;QGeVsU_biBk6+~a&0 zot&WAs^;6eAye=+Sye%vE9Ci76C*6)W5IwX=U9|5DLXZgH>Gf3aR-7COa5DHQ}*J0 zT1?z~@9nF5$=KKZ2{@mYD$wl%HtTI5Tgeii2}b6Uf75j5))(^YVBCR~ZYaq7pfRF7$)iI{FnEfEDitcA?k$>n5^Fb?LWVK8nPIzu?G9G zhk=o`f4H0^5aqeFzu;uiOG?%!bp2TNi@(i7M>)@~v~u^Wm-K!s2pD6txp@Vhy}fMo z^bdpfdc_R30_E_k=fi{^U}9q2^ZyvhMox6JP+5|pLdRA+oA##Qv^}ervZk`|aL&mB zebW~HiU<;7GfS@P3PW=+0I?4N0fFP=V+~!0mUNk@f~qyfB9FBphFT&2F1C7Iyo=#N zpXailnq3r&-_Wxu!M%w}7&lIE6QJSr zpFJltc9&4segi%Nm=ex~s~hDrN$f@+K9jcrO~$TttD`ot&uBFbfIzL_z4n=@fZx~t z$4Ymc4!HVwH;+~5P-VsKd$?!CU!pVk&eUTtc>ebNy{yQu4PqEX#jdwTKf zlrll!=pyb=jDO@&jq$k42u@Pv%A}QENA`f4!DCHJ8%(Q-keKck(M8Z*0=oenlaKT1 z+Ih}kSL9v7PX6Li%FP+u)>rtEcDDBqE9l{_>z}5tbS1o-g2tJuf=2)E? zi`}Rn^*(+q|=;#oz|J4BY*^96BJlvcp-vSKLWn7%MbZ{Rz52#Y(=ZkDy)lTfr#a1{3PH*(pEfQ(viT@|T<&)}kTY(6hkw%ZAC(dlhcPP7Bj-bo285+S-@?Ix+F(M=M>V)MEdB@nY3eLF(;Te;!5Hx?Ng^7VWsNjkC9^RE&lEh=5c{zu*)- z=Mzk|u*&jz4ME#UbLWfZ?1}UMVK7sy2V)Ei;Y8o+tTc>xtWl_9T@z)Zj7L(lKes39 z*q@Yhht0s=?y_0MlP<{zwU)^!Y+_lK!}8h=D2bv= zbTgm(TK6-@9Dh9S#rN{%!{wgpBby)dD=L?VloxID#sa%y6k?~DcC!6z9`0Wly2p}rRCgqNXkx_bmjMdi+_g3ZnxfDY zv$cr_yfR}6o9$E|0XnONV@reMJ%0#9vU&JUBET|}J0 ztxZD*h*%9X8+@~dnc)!jri=va7u#kR!uoZ zsMy(N-^Vkp_xGQKzA$8`3B%EKA4E{rlw3|Vd@Lc?h=>Fw;55-2&^S61 z$^sqXg)w4;AVNhm*F_oMG26#0+4uePxw$apwv%CTg0=YKXzAI|x7FkFWlV%gSGOV@ zZTa(mSPJdEd4B18 zRBZvcVUI-N^?l#sJV#xW)h|CPa3nm+#|${|t3^4F4^RBwt52CaEDp~DBE+UFxssR4 za|YOxzM6cQ5VcUvacEzJ+zyo#3jN^1nRZ?-<>Ic6J%2x~6~g;HeiU8VKCAznE9Bq$ zXF5H%`&mOTPW{Czx3*s2%e`2f%l&|@t?}K{5rebsP>mVSRSaq05)o5?Pqn%(q(SMu zIi5C?$a{ndH0a}G->Hcr)Pt-?GKCokqK8^y!+UaWSW92zH&ETj9NlIq0>i~n^ebiv zWYN4f=Qcu_Uz&OC;f99PPm4zJlqRdZvi4c(_x~}Z%+vko%M)Z)-IJO{A+V3}-h~41 z#X*m+i2Gsv`9&R2wc?1<8`pd*si6~`^cB+@jK+%$@ z?-SuB+%2W@IXNmMEM%x+$!ah&h!Zjql`VaSQU=~GAjEa;YXHze8h8qjT-sM;Vy^2n zkQk!~i=(Xk>!mm8-Z2|aLpJ;I_Sd?+@U_q%0Ba_ghtdn^h=R3834Bi= z-{-!D>6Le^DL~#>oULhlgVo4FKHVBpE^XwAsWNr$Zl@{g3cl08Atl=WSdjp`iqftT&mivzZg_9SFYJIM5qA@3?j|~4=8w|9DL{- z$hiK9uVWY9JE#gvdYLLbefv}ap)Wex68)0Xd?a6(0WovosJJ|h^H$VEEF;ln-vXO8CQJd1rHn!_qG=C2f!5b5PC zw|oOOD9vc_|FtArZCT^7fjg_-=I1I^)bgdTdOquin~7AHcJf;+8Qp=uZ=Alp1Ng*5b($s8lQdl; zCx3tOfKWYFuu9HIhr!-v8P4ypQPlzh;!sspZDujq*;9vH52X2vVitpRIK?o-Z?Usq zq%(0o6hkHyWV;Rpc4TX!p4c1F7}5sf9AN+OHl<25&gD0zVBN#GNZya_*#b+f4|41HfhyuzdV>E!yao58Tkw7spJ>C{9J z>4CgCS!LXyudb;U?{;Ww`)?I$IzjH_P&h;}8=#&9zPI1|_OfEEXWl>pGIE0USKg9@ z)jD|8L{9@-4Y*u9W7O)w4LhVYytM7F8e_z&cTx7ED5WAK-NQR6aYYg}^MX8^9%sR? zx3ue%f=BaIWP)5Os{cxJ$X95UT)z>)J0n8PD(BU?mS84EMjUcMZrgEgx9lr>YSZ5O z&6}>xscK5!vz_b!{_I9lKD*L3S*iY$qn-JngYE7N z&24MC~X-1a=;{a*YB z;uAdv^-MP3PTkI?7)fYO&U*qnpxz`S*_0{rZiTjZW|drH$SqTS8e~GVK^mRuei%qT z)dGOtua(D8^i}_O;PcKX#RLJtTos3ng#*zOmzyHn4eXIcOUp@~^5AFrfjn)|2bz1p zkx`&>ebFudh!CC`_xVr=)6~U;?k}(*mr2bJ(y>r@a^?&3`dV}qktSsfEh9@HSWC|m5e9n5TZJ@t-zMo+*#O7 zh{}Fh`sltgc)F$%h@C@L0WSfip!4et@-pyDo#&xlf6(+@;}$6T-dp5iM4t;06Ox%# z;IxH$S|V}x+2)tEh}42^gR?n8$i&w^n`awhw)OS{pCBefjy09nBOtnW(Uea85L6Zq z5PerI9d?3mzn{frlnmuDa2+$V9+a1x|1Q{{_5!Bqgly%Oe$?M2YJw=N+5J2nxIFE- zh3HW<2mW-bFoBj5e!eG577#9V6S}(PpM1%_`gfv82=Ccomv#R{rmyZqq}aw^Aa6lD zrP-Y5cQeoGqV2u=7Vv zNUofP^fOt=-H3^#+)apc7n@JfV!w{FyliAe^39|lwS4|WO9@hsc*;bvFdEXb{C(*N zU~XWtd9B{7oelmxqopL=mRVkrvXCYnPK#%kv@`**lhPpXu3+5s>&j3=!=@?G=Z%sKUxD>*~ulKFhlwza%%oLw(UOwvmxSU4q^Z9Pi! z#jMK!ScZgYnd}Q56_&b?i?iKsgdNfCOWa!%%58K*#(Y-_heEb}VzVre0 zObn$g`4-wvpkw{!o^&klDsxol&OfJN?b>iznlr^u0Y7VbFVFoaT&(H!xaTe;aowEb zM$q6HMqPMr-#B}Wmz3^eM4l41N6Z8=gOK8sjKLHeuxwYERH`@jq!!D~8cDj&n7Hm4WTEzpG*Q(K}t-G#pA-H)9n;N=@R&wJ0tk6yytzuZ?z|Kfqk z0cOt4+?JC}Pn9l<`j;5^<#s|o__y&~p2U@J9k;Og?6?OxOj(FsE{nOhU}3;(cm2dJ z&n!&Bb(|$Woc-+&dx=PWX?+{}=SaoUotd+_wZ^R=6@X9W`3Vw|vkz$toq4Q<3%&?u zBVjBOHP`HYRR88_0%7=?ad=qI7r^G3G15nwo5f)!SE#Xs$uZI8hEG}A90!F^xe@dE ztY1ieT51i};Uiq?LY?`u)f(!D>^kb6hZ43N<;jMh`KVT?%Y~%(1=l6*=B*L$gAQphhxCif zE{j5^4Hgft;Av8zDXLWR9?I;Ifc8laZ{Cudfj7rxFZN!h6ytFspWXPQmA8~or^ zKU0CZAQd^0%or5YyDJ8<--uszh%f?CZ^WTyHDFASC%!3m6#e2R- z`1*wicZC+<5KG3uIJy8<6?>cTO#N$&c!SCDqRp+%ShGcZ&`I;!NE4T%={sobSYU`5 zf$$P2tc4_6_xw&8>U#~#sK*xmw8$uaU8pYK;f2nEh{U9{e|#<2Sj@Y*slG)xjy3ENnfSdj4xKdIM+s6bQ9u{825PbTlg)!yTu`C?GvfeNno z&QtuI!G>x8&v15kKykhH-YeK>?Y{AlqyTtZ=cv%rOS@}c?bsPS;EKf6YUaj%EAjGe>8wrY#yl8tJ-e{4h-5Dyl{d-{$r z*>bLt?Ii&vKK{O*z@}BpNe6`8bKi4I4HuVKTUbfFx4asvaO(VHfa<8jVa6w8Z>6Wd z+;sQegOTkr|7;dU71f3nG`9tgTQtI#=wEA8cu3qve7Kpp{t)+yF_JEuP)l_I^}67M zVvq9^95j6c>24^CfiCz{1o|Ds!RW%Y3uW$49KJcK{RD@nD+{x8jAu@Er!yo36c%EP z6K)wMRxp-u^a}CSsq5Sc@vLpD?j$wISBstQ=agPTEiGvCHwz+Gnr5E=vKvk6U5R?Lx9N}j%@cY`1p=Ctw5i;JT&yOu9>4iE7Z-k z?8x8C1WhNg^pzobw0J?sfV0W>zLJtKQ_S+{eiJoRm zVZujJm?!<$TIk5IDZ6N#D&rbd;&^Q6H&9n{dBvSC#MU_DTsxQjYU4)!{m}yUA zhL<-E_f|-VF|Rato)=Gszu$4Q*kHMZ&2h^-C*#>z?=q^JZn|fLIu_9}ktcTCQSaem z_qA5u@!m4)F|0wM(<9z2gY%Z{*|?(H%Tk_cgop_33vTX(GD}#z^phpj-5bR^6#Xm)F7PE+&K9rOXLU?qxjQHof96J##JNu!uTs$vgn&ra^agl3sVeZ;a z8>JhWG5jaR8u<=-XTEJJi&jEJ$mIbFnLjuY^sw!7(shg1C$S0VY7%++9*fbQTeTXt z`bW+AZax>o$@2AYX_kDvOFC6APWQZ1NA2~&7dcFOg!MXa??(Ly|o`D6nkIL#6wlFRKhWAm$&=Ut6WW^*O71CID-R+pP0zRh3|3 z^c2v$@R?K55ktIzKuj zV8;g&;1Py&aoC@%zjvjtnUM*AA#goGK>MSgfEVK$+iu;8{J+U;x|y0=|H>cK1pm3& z7k+XO(5kraPtx8k8v4heO%!U-pJW#a}o4ZP_JR_=VtE$}7o`0TBozWY` zYMSPDoiNnT4^U(ecEV@4EP7Z<%!BqdlHD7Hbx%*C6h5O14>vr`4mSE_c zQUC+omhpBXm|>54O>eA{1$=*pSJ6g3QST}r$0-R5rx3~I{RG%?Z7kqN7?4=EKnjrl zMP+Z$eloMJWlXF1#$;TZ#wdN3+*|p(#%8Tj#s&H@M6kUnvT?({l8fPjo z4LV(XR24#jcW#XMTF-t+id(2NQuJJRf(@+8roX7u3gC=-N|8s2$44!{yekvpX%nn) z{Qgze<~uB<8G(%*<7&+!6E_bfWm8BS^zP~VRQsAFpHV)6Y_m^ob2-kR=^Wl;mEfH!E`Y4#;)uDBWJuWcCZG#y_xzc$z&|#$Y zW}5^&XOf8EImtTsW{=Rw`R zx^2JOt|TtEw8{kB_4N}pLIB@O2w;T2&`w_*dzbo+-oyYkwX-z&BRu1wv}6!Z@+PX< z49Of329)JR*Lf4lB_+IoX{C!5nFT?SjpPhvu|s?2AL%;#;`*)S8IcJm;a7UayOtCG zIK?YLzNQu{GE6QqkDeDp+7#+$qzRL519Z$9z=#B@(k+j@;~1b~XzBrq$CQVF&lb2` zssby|TNG;GjKXIA)x4hAvx9Fy3=Ms2DfP|CdxH9Cf|#A{Y)r%zvvHmzvehkt0$tJ4lGf?&v_ZzP(KatE zU}Mm)O;8W&vsXaj72tNjr-6SVkf+)sL?w(dWf#yMT(GgN%XQ?`)b=&^>`6$v{^UfO z>cIr;$i@`nx`ARA*O%TB&p+VMh!=59nBMLAgHX3_1wp@G0{y3de_j*=h#L=u4qa1& zuO3(KzB4BiMH|!%oSbU%Z-H7wQ#Bq=^mu~S)`91$FK#YuI0EWyP5WMY;|uy6PPw&Y z1^^o;FE_UtNA2doyJa{j=ymjir@&*p)QTefeD7OfWnLZ4LnYVQ>$ zB#^|vt{kU_o;^3Vs7PRa>^qH)aDg_RzZ0QB1CFCVH$rZblYtbfvT@3k~Ol>6u zbjeZ&Q+JRY{kS(d0PO1S&CtEPiB}Vh!Kk%n-^7$M>%et(Wc#G5iXj1> zgcf>^V=9fOaZ!%+_jE#lqOA(-EG9Uk~Zz8H#KReduP zA+`>!JZzIg`YO~HOxq;eOnw8d=9WCxnUn|5igEPN_&lvp`I0)@oz%`I>V8^VYdahg zuKa>+Z+9T#PP`MoJ(A3rNx@}035QpD55D5^VwqlD$`_nat;((O@D5>ju?SGoe0XYK zl9341zbC?9X}UQOLdby!kKk*xQjR3t7r)o3-DH8CR;-9axVe^V0BE9J9KExDRplP;qIg7FdCpbwS~oo=HmSGR5LZl$Ah@ zOI(!ZN~9jkPb!dCMb!F;t)MIU16wBRGM+bem!J?h0@5VUJuH049}tYP2rcRp$rbeC zzZS{6elXxu6@&xD&VkrMz^i9y_MiU#_absq101t$9j3~v<~mxf*B$z)b+pk$UsFnjOR@r>g{Ibk6U71`xR-nIQ`X5RLec5sb z>nJMQ&!y8gk)z~x<6j8f$SB}4>H$P8WvmX5-DNbKrh8 z^IzwU8xap_3U0#xHkPZp$a^?@Gl^1uhcmtgpTcIm5A}+21_;RaxUeE{ZgRMQgs!!} zKFG-dn_g{>sIoq<&h)&Sr*-^1&#U(xM=o4Fy7Vi&CBay8eR_tyd%k*}`_IA7xdJ3q zMA6DU4!X|nlKT`94a7O4+Q>*82|kti4-EJhQCQmLb7qm@{EZ|5b<<%;sTokw6%u6B zB*9}@FQ7Me*)8fupia2331VKt%1?ku7|$B?MTM@-Py}Vqe~3GfFR z^j?3v^?#Gr-4e{>HXN%8@4Vc1y;rJ!w^7-PxP(@RJas2WJx;0zX%;BF`b>7MdNQt< zoKayDCri?9s44%pwoGmJ<0|bu)w+sTf67eVYRzqJZH*b7Yk>+0g*2Ye)hpK~{*{59 z(pT0wSEWcl^kuv&5W4o+MZ2@m?hU+?o6&ZKY@n(;XOi|r*=@B|e+&4L8Z3wpJveYAv6m#M&~yJ2)f_zUz-wFsv2PKM=v})NB`IxP+Rm z;xwRS&4nEjL2M}WwkX<2;W81r5qf4b&sNLg()>hvEni1bQHP0OJX+Q$ADc&IVB4Cr zYdygaj=fLV0k&k;W#W_=24RvS9H#?t`>RChn+BJZGO$Aze-eE7lWRx>cbIQ=K_NY0 zBE|^LvPyduPedd1Kn|07kQOTnnft&bS|EmZsI2p!@HhmU=CI`w4}q&{_Na}9cguLA zz4;rtYAyND7#!SRYP)$8Wol)lk{}76<+4;L+B`a0Bhqtz{{$SSX zNvebV4hV-h47!?3rdUf)*4}M^N5M4#zXtA59H^_UEvxe2i*|y-2MWgz-#8pI63h1d zCvMMoJ17BN(6psf-*km6I!5D|b5e+q4y&=Oz_vDCSnuyAr%m!xq zz~Z%*CsZ?1AbG6nIb(mCo4nNRIdV$^5am1+)a-j#e;#V4INpPmFNN3_N9;aS)9{uI zg;I|4Mr^msmdeCK#d%r0MBXOw;!tE14hv@?7_O_JxX_1a1Suwqamf97QAYB=MkWAF0GrBaS9 z8{UR#L@RVg&1a#{9zvvM2mGZ>YJIGyAx&6;B*(*`5c4<{MWe48WnC|_h-vi(Q!-Ps zSLpMYrb`uZej)*zA`41jN(9fVlc)<3eoeQ?bqFlE{n_U%pImmNXk!aGk)6e<_HoC| zwb^pToNCe2@|A0)DgTxVe-5G?1XKaiRxq5|xUB6#DxLwtT`Jbz%^KLDth4Xa)5 zBchZg9hyIQWsB{&wm<~4AjfHYYU2B^`_Il^t-5~~a^bJJgD{r+S2c}?zi$)tuR*&kX4J^z22Ol$zIL+nC1SRHG@;O5j^1B!vNa;?M zWoQ3Zb{DGB)%);29h;TZ+%$7|{CQ@qnR}@>+C6ZC4{8XG2bo8R2abUPiBN zVA0$D^QlaYVC2)Q6#pT8sUcMj*r2kHi%zaurjnKT@)smF-`>bQ!Hv0fLBg@v6n?Y0 z*Rvb#Y%KY9mQFR0p|@OpHPiGUy!>cF)w&*@5F{G07)^crM-5KU>yrFl)t&L$1@Z;BW3pI6!dTes6__}iX2gKWEmo&<4^KAG&BLmNR zY$bV62uA9F@@Qg2{AZLgb}dhMffd8ofUZUs)G$igDl*quE~^LU9-=$&Lu0345zxgt z{eQ>b744Bio}oOx7~))U2{&gXzJ?uFI4`Pt59knQP4VPWogRrb%$9Z~8$87e0gx%XO%56y;yr8TtG z@pLT-ST#X5!NkULKTx`#(bp z;~fGjcyx*H9tw(iX(hyENyIZ4j?DdLv|4cTnMA~puasrB&r*tJJY5cfcxjS3j9-V_ zo2*4ceeo#iTx=D4xH9b9XKGRTNqq+23EqnoEDq0Ib7Q9#Q1%Y%Hat7hcs! z^me}z*C+clvXPBGpBtO*SJUZMec`J%s*T$JP@XxrZHTV5XXAj7PM6_WSaM~nX0@*x zWN855ny>bp#mtstnUCN%UT2T;%XQkNRqfoYY^?cf6+B7rT=$~cd5~I z0@_il<((;jEna}4eeUUYD!k_c6%Rj4=7|--jd3bt74Kd~MGv`+QNT5nDdpARQk;3F zoy?Y;Zh?sH3-&SN2^|{Tv&)jhOZG6EQ=_d@(3H(%p&wgs>RDzx_P6{4UQM zI*uP0?&|iuIKSF?XTawS#ZX-}n)oS^DXy7t$eJokK`uJ$a|uuVF(OwBsFhPSoWd4r z5y8Y4E?;V8q>*c`%7gEwU2Xy9+v>eJ1h%br?;xtC2toSOZ&qLW*8W0`S*HzKkLO~^ z`(h^OTPg0G`g@E_PIwgQ+Ln3^RTB;*p-ej~#GDGpNmaE6Jthd&u)?k58loEv@~Yg&mSv!JV{xf>0TiZ znWCAodu+tF)9Or-&o;i$X!lunuAq1^-rdZxO5gEY6l8tCM@8;<&rfgstF^<|i-v9Q zlAwl4YRFKATo0JBgkL<3sWxA#sdKTVS=Vb+=WAC%`ylHI{`lU8p?8WNn1$l(XMvV) ze?>0-nNq$;a(R^ByHCuvY?ya7lrHI}^leK$U5$oV!oj%2*W{U7?<}GyEs?hJu(4)d zwt_7|!o+G+Fyg`eLQ?{s#m-Db!IH+n{$Iad?R3&L#%#v3ej~w+ucZ+x<6fN{gYe>O zWIPGNq^&%Z@6=;4knbXoO{f;|){otBG5ZpI-?n%2t?ZJsipPN421eBa>$pqhPri&6 zS37Xg5T`&R?<0OUi5%9Jrn-vH=C3Hc=Hsk2LTO9{G*)wqzIB^7IaF55u*6i$D}igp zMmf2RR=evx11a~L5`OJcZ^UnU7p|l(d$vZYGk2U1Y~7%mJO1%|^Qom~D8d@koW<|` zg*Gh{%|{!yVvvfz-=_es`@^v^-Np7+w^Pm8>NfZ`7C)0yJ_rT3Efy&Fu#^@~recmU zhB7^oWgYTzv^&`!H#6xaA&ma1HX)q~a&Z`gSL^K(qC09uP55@$LsBQY`m1PsB~YwZ zvo3A^X4v`FZ1D0vQFgc%N>4YW3+?=IYSO;mr^WyNi@e~|R)iDFTjlJ~Ndvmcp{-!z zk4*8>#@r|*CAA#QVBg$V1n z)9xJp8pK>)L{N3MUL1GJ+xiIC(%$j1$iPym8UASNTS>;e`#2Mx92{_mYEnmc{jy=N zn`BP1{>Fy=rM7?pb*ZK+^iZ_g=mq)QheNED^rKc$dv-d{qgct>nv!~N^9uCJT-XV( zTQHw}VemeI)$6~f?y3;mcR2)S4cX z-i#;FvK}`uzs-2H!K1?|#V&xjQQq*{2-1>!U{H4rCIipM%Jh>3t%Vyj%ZnB&{L;hG zwmT2}tT#0}fK!?Jvms$ouuM0$th8jQDKQxOhV^_70a3Pgb5f#I=X-8s&Kt=EXPC({ zjOFzn-=B7s5tFYv$ll2x^wZN;2vk*8DQ3}19nW}%il{fuWzdNXY4%QX&K-~)*BtB} zDOoKrQ{buYcn(7hecGI4t?kU@@$JrN3}FrU)C_y2ITo^G`NqLE4e7+GSkhjw&A8}^lVKJ zUIhcLKJG7*#e6TeXZwCPYe2+D8N}?hv)Zk*N>Tfvr#910aVLOOh{qs2bm{cGbc)q%_$+m9 zB8fZZV9Cl2v+kzWUBk%p{{hAyuP{&> zy_V~{a{8-LCTNxfiR07BW@CbqHk!$@R9L_BD5@2yV;pM)k- z&yQ>vC!jcq$Kt#lMPZ1K*aQ?kG^z%)k5(GZOgJ1O+SO~-`{vX~7Da^sz!eG_K(@3< zDX3fN#(@Z|(a5=%ZgUe30#vG)7MAi3l<5T4Koio(`l&l#TA%76dU;X*&mCTF-S z7;_@eSRs>EJ#nnJkEX5s++4Yr@UGbue;Q{6F&uO^CsYcuSOdLT&(ksg6MT8tKYV)j zX>WIKRx7m${3)zmVwsP#?E>q;Mr$fxh%J51=ABI=ctkaISB}v9H=ouf^EL~~!b}!} z>-^hWzv8bp#YR%i(#s6ESmCh*Est9*qn{SRD08M_-YJ~aX10!h4S-XDqVPzwAuBx#{f6oeIrry+w@6GaUR_NRWg?_#11h$X5sL$C^6RsFcJ@UasJxs9}p5Q*Jv zKd`FLcdhcuqNPK_^9PM1uQ>}sy-fBZv}%sJ-qgO|$*0k}(J+o4ECR>p>88nz6n-4AHp&ww6^dH8cF($zHt;q{T^L86_`*t*r}!NS5Xhvg>hyBX#*jz;sao-KJj zb%dYxp~y(Cc30AD7PoV_azl`G;c6oy*l7ktNv-8nl=maHxR{ z7gWZ!luR{!Qje81IM~6Y5*pK1?%*kEJLN)~QIMydys!5#xk;VTkQs-IiW06;3*YCg{4y)hMAHSQ7j&Cydy#My-q zm9U8dab(0J{ip}15~GkqNp_L)az?`I!Jx^vQ+~;l)J-lcJ}QnwAthLth&4e zPY5%uhe3B)>|FFVOH_z;;oj-R>V$;+LRuLPW(8W=!#cqjQ$c`oojyEnMlBXdK64Q7 zI@;0$XWM1;i&v^v|1xfwPUu94mYEWq70uAW;D_ho!*s+F^am_bNXF|D!@K>%cC+_p z{W*u$7F#~$>#hfdAqX){6{e`A;S^prx3NW(pZ%F{QCI!B6@FU z-h21Y)Vf~jyPhxfouqy7_jaLjih>BKl^cM6M)&pzrv<=ox^H%zeg?rkS;y7yL$f|b z9|{$ujJJ#6c3GM9cU^b}_+@Pl8Py?0An*yJ^|!l^@_qXQZk&E#gR4#GxeLXJMqbn` zJsB<_nMaF_@qt>QzE%c#r@o_LOh5<_c}7^ssdzH`F@4moR$OT}&1*pb@*m%g(|#U> zL3#z{pDj;PwYA!k$o&xuuh6dWDbux+3DoUn?oyYNs@HjHY`YLj8+sdpRk$tURX+i2 zqb!NXB>-!Wz8YJkYh%>WT`b&l$?X&EakiG=c8W+%a#q;Qi3EOqHO5^a=1=K!WCYhf zA147$L8zRas`>p;E9;C&!VsbSK&vt6kmYKt=eclaJCnw*Fk6GPLLNF>LDdGgWsa&6nx9NC>A`iK)-1>WCYWO* z>qg>uJL{B+MFx^QcC0A=7o-K5i5{9Soj9p7<#Gw{;@cn_I)Mmo5b=h~Nr}@5Ht&}`iPJ@J<#t_B(sHk*P}S#erPlvW`XWMott~c?qUXcKs``osni4j~ z+QKQXinW=S91kjp1+`}>B&X63^uBecr%*p#)>{?Ptz8g16B}mE6%Em6-4J{|kubFE z(S>sZ>_vRcZ;YpXC9wbVvCSA*PCehUu z8CBqYm+a;Y^1{5*oe`lt^%y9Phjmhs4z>%V@!{Q_W$r57UCej%=h>d!95jV`S2M9C zio|kXGK={O=6n-9NMHr@Kb?O&_eHL?@jqOTQR@HlXTS#%7BCDn2|b>Vebh^JsLeO1 zsY>2jcP6o9<`51^su8iX;1}Ylui+cb_>QjS8a#Q+x49UHks`Q{(Q8UDCa^7iJ*ozc z-#W5)(b8h=|Hs;UM>Umj@50VF>If>MC=4QCp@=jE0Vx3$0Yep#-b6Z~NDCb-g9r!+ zNDBm{OA95mP=bg^2~9!`p-44^1f&EA#qR`yGw;0j-gWP9eg2zeI639nPuY8)x16hk zs%UeeNLVa2A|PsHzmkZFg!`@9+*+qp-)ZJ@Ug+}&Nx&A@vC!JLx+~#fE`GfRxa8bX zp^aW!ugM`V4VUg*p@uC-hs>T#px=k~&;K0l2aPgAQq@@^+zsD$?|fHHTw{$W@O)b~ zklH;$xyXr#g*#;+)!9J>u)iM0Iyg}(@I3ExmNNnziV6bmrxJiNqFqQYBjB(7r%tZ8 z8<5TwW+{J#IE*xRjjja?;Z3ghY(7%g@1B{p+NO?MrabyX zDM8;NbdvvacCyF*0QdTj(ZS^DIxn53A1^cAqv<#}g%cv0o2yGr)G<>dL)`Dje%6WC#Xy}1nNA`P2o8li=oq0>;$;HZ z;jcqF&DwIzyNRM`#0gQc^eGE-lhjJW_6rhCZ&aSj7x(lW+>;wf30Nh7q!dbEYvFh- zLj5*64+9rsSQV3PGpc$}=cenTvfj!48kd?YE$*ehICjhWs1;df5uFu~*#61nl7Sfa zElLk}!H4T&yoO}yfYPYW<(xjGrS$e^RBoeqmQI-g-;}xe$gGa|K7D&ye1~@PN|o9m zU%fbPerk14G?e?LquU*h`K(DBVo?%#Q%QPI; zE=XWyt<-N$Ra5^Uj!a7jN4jivW~GWSwAZ*QSw9hT7-Z09k63N@5nsp5ZFtxPmSgqcR)9e0(~2uflDnoEtyB z`RL>GeM#`k*Q&e9Rv9boNtQuJgQ1pR*gs2b76;8_<}Kdf69dEo`TghTY1-uOB?jR91E*8i)$2o4q?%G-@k)9S^32Lf+wDf znlhx^R@U8aHO5IKMxBXoVzUZR&#~7v=N1`?iGlC7$VZ3}*@&NmvclsCmpC*3( zUXqYJ=)VymLuOfg4Z?5sT!(+?Gu>+We4<@WB1H2()xHP2mJSjw+~2Hms7{O~-P-|} z4B7x*8{)7Af!sV#uoo`CW|in2#rA1eiP%Wlp%nSA6?r};K$E(2i%xWeeWc1G-ieFD z%N1vv25u($6MJ=;gwzl@QyL^(4W;$iggQ9hb1r+bCe5dFO;^&AiGmF%(ZymJov#HG z@`^kYBqTj*)6n+yFv7G=jHEiT$FvP9Kgc>-pVamWuCA|81yX&qAQPJ+)d9zjev1h# z;!6G?F6M$4MOnFZuHiw_^qkbYd*4_Y*xCLE*4zKueHmCS8kTWi{(5wow*e{5D|`LP zT0ARrqGEr@7fiP4G5h3Ls%((>#GoY^JLCV!_n@EZpy~C7}0B{Ug2~$N{B2;>ZpOWEv>G z=pVs7g=>|R;7V!^Z>Z~I|8ra=X6?AiwErv)Nb4!$I&)Pu)_Mt<5G#c~oj{)lcz2tmnhe*)2=%nHF7=!Kk@cgEsgtXQ= z2wAH;HldsJA40;s=Xg^`U9*VA4jx8HC8UD@EwPi=v6FXdr%|kJtDSz3NqZKd$Ut3P zLhp-R-?l+yvzEJdLuv7|%KT$tgPlo{rF8|xf2{J@_b2cLM`pOw@}yyZmLlvS1X(;ItS%bIN@A8Z zB`K6~ctJi>GWH#sd)lO)>+-RpaqsKpM%IJuM5{dcq9_Aq8UEhshk#-hV-_AAY9ym4$9g&pzJ za#F!0VBK&ir%1tN6*Ix%7wdz0N!SA@PbrZMpaDC3nn1#je>UGbr77n95 z$g_^1Ax+8IqFiCwj6)#5bxv#idXq~j-jn*cp#+nx-5tsA(YZ8ueS@3**?*lXMy`taisvjLJzXn6%K}Qm;Slp_!1c<67G13Pa$jUtcs{nq^J9QY z)u|^A35o_)fw$_jG`+;j17x_8PIAm>0Xo$BJ#AA6OHl9=0kVWN5wC#E!f&`K@xYk<#!IA1BL&EQVBXx zDl+#sIcZ8I*L&6mkNzF5x#D%0U6WB@(aS`kBHE8L9{V}N3qLMX_g0_StD&H*Hp&_h z|6Eii=%YUxr?9^@{3#y|eV{)}QwC1gvd)bCgJ846E;y)&tp7(zFi@32BARYMed_2? z=1=Z!pAOKUz9U^cxbH}qgguop4{3(gQSU@Q-;Zlc;R40MotAP19dSCf2-2%Wm58S$I`R z)~a4n9-V~z2o`@)Wqv3?_ThKpMI$RF$C*7?~1bz z@Jb|ZmcWPb>ks4)=WZ1ob+GZ^zqsTr=X`Z>`}NDpTw(V_B0p{wRIoN0NUnEHK5cE} z^+-qj$+*~@JJCb3Cd-^C;y3{VbJQC+4ECuBCz-f|yrMMrrN9UG4PeB{^qT>}qU#?f z*)}0J?cOvtS5xaO!IsyInWN9En_$?+yY3MXqk4kk53hoqpO6ZLrX8#^nDhY&;&% zieLCJ*?oX)@6dV0SSZaf7!N39`;gb+cl)pbH$AC^{9i-`|1!!*XajfILM5>k3|GTb z!|CyR(B<0Jg*ffO>n^f>mc^2OFH9N2Y_*mvtL<2}?(W|rhsN`12e94@OIX+T?J*bG zh$+F@RnWLGc3d$>AMn1=7GvI&U^5pR%hf=6Cjh_28{ThJ@bH*_;vPqttrEx$69_9Y3E(vM5fiY%+9L*jR0}Od7E)h@mpy|jUM?rne&%8Nzm*z-;Q3W#?dla@R0iPmQjM=CWZSD9gG9&DKSZ!2}mnR575hP_JQDLtUgiU%tf0@i)7TYbfFBh^ji%lhZ zT_=Q$u(?#g9SR@FCqYsX(EdO9(w9=XcNqU={nO$E#){sA5qNjW>k*5;alJT2pLzcf zKlsJbh{rEJog6#59T>p;Bb1|#+Z<(Nx)Cng)C-i&?}l{+1oZo5cY%}y^^Sn4iPNAv z>7xd#9_Q776absiC^fSlgX7h6|T+R0FEiR_?GcYFwpXe||SYkZwRb?P@c+`7TmSNdYPpo!r zayYf5hQFdGld{~73ksQYlXzEWk&B_FuGyS13ynR8q=+@HG2A9i`?!zYF* zi;AGJxUr^wRRrsIXh+6;mRz^5Qfr|HFyX*3;=q|%Y-P~FQtQ`53@{1wh_rbF50`=p4-nptyIj1?WlCX)XL zKK}n6y^h(%A(fY}6?bMRK%B)$Ai^ihPsrixy{<>jmrae1KQnwNzM>6yH4|U%WrsCE zHLI*c;QnFiB574gL+fT~+0$iGv2{XHG66LV9okCjo)ZxxF=$A9!(Hvb)gx&O5kb}u zC!kip5BOJL_c3GXHyYXk$*ag>_UgL^huvH6dQzFL#WlLkoVbRTHFqj6wn;fXIY80N zOxcwUzgslGNvPhoXfKp^E~iA?;8cpG`XjKeS9TsQKtSp%&_>qa-!#({>w6%cyP)yIxJ_3QYGhN_Bmv%{xXHUdP z+?w}@kMyd25R1#UK|H-u~?VsVUWCgePd4 zWVQko^kf|oNWp9jkgcVutB=9tgh2VLm4uJ^=d*3DJdqIvyoY7oEe8(AF{?i6rbb5n zuCs$!({|Pf?mky|-I6bwCOdLj9oFIn+7q)Msh_KJRR+tC8EQvWrCG{+Z|c)YQwzv< zc>vH>`-Au z>zHXjpIoSuknE=e^B_C+zr(f84rn-y_Dl2YgzH|bMN0HK;5rVH-oB&vX>O1VkOR+u zMV;ZO__02Eh4~(Y2W5V&z2hdE-^Z8y$yf;BpCFAu1$tZSqXj7%9T_<$NhdVLp!Tqw zk5yN~kBbj7YQN`eoK;sULj9t{)ijFl+>-{4sdz(naY4%TY%7~ucu2kCR4$#1CIj9oBWl-{r~|mj#%sIoO_y zo0I60_rhK?6p(ChhOA@ttgi!wpudh3-lP`CeH5ig_l%GE1x8{G-X6 z{^Duo{akLnFm+*ScG~a!w19%kdj!p4==grPudwJv=Osd|VXfnWx!T7__hHX3i@C2t zv{ul`4co7fx?;r79D*C($36jZ@p8xJWnEgFL9D2$=I=+DnhYtI55?x8HXB1I^+DEs z2_Sm@*1cz&9$tpYPz$O>iih7voH~9O{SvT|kRK7>WjXu|HtMWm2>~DeGH|u4Al?OY zdz}Mjn+R3)TNzB!?Ez=x1AqBWx@8!8$K{8+i1LH?5fWb(EjuzC%n_n`CEkFv6HoJ) zsw4%~O<5qPV&m48bJpA{C*5!ct7>PyGXgiA@4&!|ZvO`*vjy<|8Na7j=*4Ha?lSpZ z-E73IsU53!?qOUBEG%Sa2XaP`Q_Z^e5y=%*!h5AE&CDI@w7CWoI0W@iZLhQNjAtPQCs3`Qj|CBkv}@s4!mF;QD?;`PD~ zDnr|228zumoMA)aJ*smT)u%w_n|)rXGQ4l-g#<>y4`=78ofhS|p_3*uX!j)Mg*eg~ zuc5GIf7pkwT*ao;4xVjBC2+2X?51!NOpGaz%d7+Lr+w|lzv%OB^yH6XUx=H3qu)=m z2{b650n%gOMI%iP71Rpx^AW2zSrf(Dl4y$HsN);MowXZ9X=mle#0^t~ys}uuXX2jK z-tWmlbXVh5Sc*=py0xV?z=W==3mw~a%q#5HM@Vj7(MET7W&YKj#gwP|Td|bEdIzg4 zr~iCdNNOr93N&gS1!D7==gfk7@o?2>#-`&mHa?Tg<-Yx>!D53^Rid{jSvMeJy)(1a zt{VNZStQA@9l=)PDswW}(Y!Y;+N5&@WWXdU4mO%i)zC>jVa8~TM(~$gUt8@#CltSC z0F{5G{l-c9Z%p~t!WHC^ZkZh-HG%{hp#p#GtasY1QxkM+M;VCyLwy&_BZhzI^?28LpGGp&-B}6NNnck$ib7_W@7)-P9fK) zdQyJe#mlUc;rThWKp4&lj4w#MP*pPFpfYJ%A=aCDNqNllv}A&P&oV+AtSp| zrZ-IdMZb48_F`08LM|YqW*paeJ4i@>Wqa+iTAV$GE9>EbYx3Sn0r`3AZmdi|lD}TH zqabhcn>h-h!q>-D>!y7j;NwdYH4@P^YeHtdqdVF%BMxmFP^bt1FRxv;)UoNv$8%bR zpqmuHr|~G=^Jyx%G0@Hps0o=`&?n4J>{(5K|GZ+aXjg2%Z*me{XOk%bbD3-txi@kh zTvsqtUixiQaFQ={g*E-u)%a_Vdy|OzCsAp3&)6Wcj!^%{uGa^4C((cZKAXQuJ4gIr z-DxHr%u%ikJ&`Dv*o%2><7)!HAM40K+SwGam}t9BewYarVPBq@|5O$JIeS!WA){@p zOCsEERqgh`w3)ei@nDIweW)2=npG~t(qiq!j`MU=;Z_x|6JQ5Nf1hT>Nchto>YgZOszBQ@v8+2dX+k)P>IMRKb)`M7#>Pd}qC@y7KNvNo6 zfN-Rp*{1rRS{*jjtf6#1JNUcOd#cx5VQS<7d(oI;WC;bE6xG4-<=}Ip|BFzR=jVL< z|28wtKHpYyO)TibA51d~3tu!fW-1Il>^hxr*|~7<*WSEMuQ_YJlSxlcJ=pTm>)-Vy zgyx?Lu!tYU#*O{%?n@@!ii4XCwprAWVo@^A(rG3VwlP)BXNp=<=XD>&i#p^VcEQIN zw)mOuK3|_KmE4WfY7CLMYOwMF`(ixvD*p}nXpw!P_(5#XZva{y`L$#GqG9r5)3OB^Bv++g^d3>m993QlUBe^$o<$J_be^j zXC&dbBbtLBU7J$n5?D`Mz!6MOWr@G}UL+zjDkyrTw@ak9m$>yY1w1C|sFFw2Y_Ow# zoDe($kq*IEn;J${#pg1aH?sc#SnNt|8Rz0*P5oz!TFnWC@ilbYa4zA%pubGZZg(uu zhE}2$Bks)oVNPU=e(S^>QLCvWb<@76Wp^f9H@&yDkRYnGmR9l;9-=`3#uH8{i`nq1y1{dRv4dY*zML|N#h&tSdckQ@Z>PV-l#^{w%*JiQ=>Z1o`y>?^o*XACBa0dGJ*pa;^jrj+6 z=;J&4mb_2ttc9*HBHP{KumRZnhH9?ewc>L)QK!(i>N#KCuF;sgDw0o9Vh8AhfXf*F z`4G7oTEwmI`u1#8hlO;lyA}4&T2*^NN8Dnc&D7_NlT`P)<(~Ct4LbHe7Na7Q;?@4_ zsU>ba85nr+4L0-Ws`U)Qm{u?w>Kn_27$m22L%{AVSjys*4;m2@MQXNwmA{`Nyq+<8rKj;xv^Rg z4HzX_0O}vAbpt%v<*VXp>uQnKozdF2tMjx+Avx75;LzBpz7lAQfcUhN)u8-x2NIJj zvG4Ugm8FSK&NqYjQp3NtmSMV1+$7J}E8*8tT=YCV<-2=JLxS5}#G|WaP`4i4O_^{Q zH%J7;G;WP6@Fb|Os=8>B#!6y^ZJqmvB=A1x5!RzIZI-4}dcR7dGX6Q=( z%wDTR?4a!X3$VdD7A7&)S65A_C9qzHtwC;sp?0YCNE0jkx&!Z#TlKRGuu4B@#~BuT z=}W>VaaApPT5UQt)v0nyIxJo2X85C5XPwfemsZ7#v9nvp*r4aI9_}>ng>MDa9FtG9Qw7-KT(O#lWmyWWYjC-s4c$% zAeBfGF{caPVNE0;ZVOK0NZg^Gt% ztr9D@6^#y?_m+ha(;9md9v*G$&zbGIe??pFF?n)snUv|K+|busjgV6& zbJ#gVZ59$%Gx;oci@#`L(ZA*r{Ibp)SD8wool(~`#N4O0i7=dkC}`c zu3y_U)cimZv>tp|E9qRD{${POC*j~-@!(rAX;@t*) zh=ed-6aZg)#1ktS^Q@^9jbZiUmZBrIgvF4CfzXFw6 z^fmB=4@Sl0OWPx?AcD2xgC~J}I3U?#2g*x#g+J&E(prb=3|306u@3g4-qaFzh>9Lz zIc_*R9&Egaul9Vq!?joo8;icT1t|6K)bN`DA8rDha9O`76!~0nvnAHYe?$p#0!GvB zR>_-{=RzXw5$fO2{9r6pn*>GoNbqP&h$rX}zTGaw*~zfUnux{QbW1*DMIkm$5Jhes zkUKO74fKE+v_xyd9VIK!6NB9m-t0b*6ZUp4hIh!36 zG9zBx+;k=3I0EcbcRXe+GCLI>!47Zh&=ac8n*0=sVXjq-T~9H6v-}awTY51f*n~#Z zlg@B_D4An4yZhCRStWH%vcS5o=ipAcZA3dtzp<0vB5uOk1Li;-@*sEkcKY%F{cGS) zvx-n&AzZxv=-tnaccZ^Vtj4n9+agSVznq!#(5kmwJUm&P=agi5k)4Z(qcQQ&`ZVt4 z>m99186-ZW#_@i(l`2E;xp6N0#NVDUkDc^1vu%s}HiwHK%KUJXUuU^9&Qp8FquS=q ztZ9QmOI8+Ky{_XwkIR+>-QxN&6@+(`SToQBUf2pu7ZvokrvMP*@;Hx4U!>vIXV$DH zK^4o*z|Xg0=kLu@MxP##X*ao`-R-YU$Ewnpfe^$6rDAb}xyL_3H?g5G8(%Tj^rx*v zkYSK-Z-vV#`ni5ONSkYLaxUCt*NW}0Z9P2@h#NeFn1u%0(ZAGNh<0=R+Z9jsI!wo_ zLvMgD5c=Ca_+NE7{u3m+?-ct0I@rH^GCc(jz8U*Xtt+GMW5SZP@sBd}_P58O`8N5Z zjt#8T+D60vMfn6nE8b`0^mJ#eY){Ywso-TE6l>n*)ZBwNoAZVu-j~@me`qtz*%()0 zwfK{Y7+9pc+1KSO*IxL4*_6fG@tS`=m7Gj&0TgSFH%_kOYT(!R-(YBMe#nDZi$*NA*sy+dc3bj{`HP> z$gM0-uR94*D8!T)c%LhqSGSSiNy7G_6o*lc!Tzru=EJ6sOvTRUXj>~-G6|?;Kfb(} z9ro7g&EW4WFMr!Y*_--hBj@FQXGtCKz5UrA7zD!hXQ?J92M+aZ`bD4URKL4~E|`cg zUWvM=fpC7Wiui$eV#D6<8}nlQzQ9=-x6$wVOQ#n7-`m_Cn5xJ6Sb8N6d$|V$dn!@L z;_Ks+tC?3t!RTb4EBhDi11rsIaWX6PF^CI?5KM+1=|H&=AW5lEENa+mGgLLXQkEF* z;e?xwXoVL!2-tz8L%w7uKofcmTB|P=)+o`zbaWdox|OkE^?vB3KyfHsUnTYQ!AGRfNZcZ+(du-9JB=; z`iVzg*K7EBhtphzU-I<8Ba8bbX-zq#bRiL;Wd==0&_zo*uX8vX(QLy4sT{XI zE8C6ENt>6v$kQLm)&9M=qp4QDq;yss_VG*#mEoX@Q^$*_`*$h~l z4c}{4?DqG~f8H=bZBfp9UX*q@-lm27JxnhLy>Ls$vbzH!_n?z$nA>%PBi7a5S6(yP zQ%1vM!cynW^4-Z}_9v}wM27^M$fd7A*hHrN7ei%CuceGMJe9IqFsE<^TS0gK5?MG+ zw+5fC^V93Wi}pJ{o%8vZc3QK}6=N@DiZwVw((R(md!a<|>M)99OL8q>`?W zdX<<~>YAcIw6=I;6rX@Jjvs?Ae)Jy^Euy+X;>eh$jtAso7VggBGq=IV&s8 ziP_3|Nban+o@)1xI+gZDKkzJ_^6w2_j;=U=@QZer`gX)MxU%g`z*{|&XfSqmiA9o8 z{hma&SN$KKuE)2c{X2Lz&4v~TwOwC+X&)U>mh9Cu^Wc>%i)=Ox6_SkwgTVEI_p1aI zM>Oq+{4IoB`yE3UqfA9Va{ID&Dkt~H*9Hdv1qK^ccKjZ(vw38TzZV_7m9@4NV=j8x zVB<1$PK=wA+SVt`RNxknQ0GgY$PQY&|0ZJ}#Yqoq>)2>TB-K+I4!nsSG3+@1EOm*1&Al3?R}d#9 z6Yns7ubmTtjtM%=rE6U-a%YQRqYZDB-E#6isBCEe-R;e$5T=^F*>-0$_r`k~kj!H4 zo&{iUub4sFMIXpG&3F<&C|gT$do8=&=g*tRQt%>gQ!4VCn0Z`C#+{_Hx(`I8s?$cp z%KaVL74ri69nVe+i%F7aAxF_hN0Rs!Q`CO^`_uoy%&c~Vl|dY6@y~FceB5BPZfDnZ zO0#)lfCWyqfkP;@?YgMtxYJe6mzb)K%Uh4D@+pJvd^KtFJ*+mvAX9>nSnguIYwrE} zD6-FDb!4lT9Llka+eH-=M#LT%Q}ls_eL77WvfXo@w!L1TOxCU`ExuCwW&SvOZeUH5 zW_P~5c_O9PMOmOP3lsx1{pYN;^fJWdHY+6ck-|rvv(gv@^pEbYIj>ZT9A`*H1W&yL z1qh4$d7}bbd*MFh;*_yDw8qd%DGP26%^qA22GZH_{d0k7MUYf^k%TH|h0~pE;MZ9}4f^4IdT4t6-ImWsiP&(SG`kDkR{lav!wO+AH}Q4LgdC~*9*On4?Kw}R z;xu9mFR);$9Ve^S%J4Wn58O@)F~E@Lh6y|(wPDdSny5lPsSEXt%t(zf7t)t~tJt)d zo6R@u^Kb<$N#7c}F~6r0Ss~8d>O-#AONde(0Tu>#l!UE(uB}D{jYPohc{0ykCk*8`OtmUrCCIJHOXix(wY+-n+~O8s(X`6yR^{@`8_RPJ zyoW(^i$Y}`mtza$x?4jvx_g~Fb4L#*01Ec~Qeggf1}KU{f{W~Y-)Gt`pTnCBrI^%S zEiq^OXwA|hx-i`2Uz=+yRW}n2*}%o4mng#BP^S8psr@Ix1CnN1#OqRo?(%HIn+r00$X@k3%s+q ztoRR)K`;IS#NkZ#ByPB7L+R3Og{||T@dszhJj$7JWW6rEx@&Cp*x03Ay)5Phw5=$} zbOG!IO1spEHN9^ngOwjgM*bzyr#W`=swD4M{cg|oyNj<+k*LB`pg`Y2yZ{?17ulMY zy@DsV8@O0&k=c9Qa#^j$)*!iRDp6*issun3dr?XuIMrmNp?*m@M}Ml8yB{~O?ox<{ zXTOGZUHW-z=g?9gzX5^=dW&3ZgUoC3{kS(bW>lm%yI_zXz|0X??VB3Mb0`Vy8H4)J z?CMxoTRQ!fE{M$94<-oQe+A~hIw8V|iI1G_2{Q2|Z=RS$rh23iXZ%!Aknd0E`lon* zF$~1+Pg z&b0n%fSE7#V6m{qo=kq+i43Jp2~$E^LV5CGbvR`HiK#3{8|}D?lJkT)vzjNJGt)U( zx2g1^DTEJ8wav}9_r2Vn*X0QlB2mj~U14rsq+*mDFs@d@XNp}5SbWu6w3v$M@Lv0r zwD=;q;EMFY?8dRZ)fOTHvW4x<6&}KlL*?^~OhC@>;2Z6*(0(Lzi~1b$N;ch4)6=kwbf z_yNPQkITzhDdG94dK;&W4=&uTe|jitW-Ds>-~m#l z0gQtntlmy?n8%pub_yOM#k^t#k-FS(Ey4IAcfvL4%_~n`_EP7)!Bv3e4ra-Y{buIU zqV2`jAe47bZQ5f98FD!oqy9>Qv%O+e%7nUVI4<%ynnyY|smSOmZmJ@<{<*1-6l}(` zt*8WeE6b_6oKi8$yN&@(S^8f%lzMHCi!UCnW0hj%Cl+52V-BoGBZ>FFbq9-Zj^3B| zu}{ShHS+*2h_Uur`$P|W=D&nm)d!1DeW{cDLSjr-AdUGBqGA~VR8gPqn>J+a?CoyK zce){(+Z~JL%MMUg0&JF54g-;!7QVXi8A5$#5#^a|rVKJ0uv%bskJh`jiN5Apj;CY> zYP2SweEtfZB0kw$xzjBT@A4WC7zEst+y8P;?xry5_fl^3RXOqYhjt*x>91Blbm$g- z|B-(uvvC(@O#d#|x4^!#YU`|j^PnG5 zwt)7?HH7`#+daYaKO6rkeRzdU&w4gW!3KCKNKi(d?^fq!_vlXX^0n2k2_>W1`tH+1 zQ|S*wY?NIF4EtF)EPq_L-KEk`1Nsi_N6>l(6;<3sv{za#i5nJW>E0NjA%?>hHlT4@ z>&@o1DuS)W6v42vwp?I+b*D^2-fdwg(qqIX7nBe$B-OraF_4Ad{OEe{A_Q}l{{Py%A}`V$$^@+AiRzJ{vk!Pk#F^`{LVojOaae6fD_luHPh!(3BIL zfwyZ@aaQT|c!n{6)vH^~b+O>ojD^5_BP{!Y-=^C&I9f;@ZU(ritqxWg1sh+L!9J7G zSJf{a%8XR9tr^!^;K^UIpT5RcaF~lGgrHdxVVGz46~iR#Mqs!LOB00j(t-(pX=La_ zmB8_8Rq2wq+TF_WhjWjG7>dQp_G}L01~Y5$qgw&=OS4akR~~ngP$$Jt0WR$??f=A+ zCpT$$lJz&9kamdjHxV6`4`K&CF3@_V+fzL+(kus5jbe13RnY@i$Arpngh7sxupNR4 zrYM3kpB;bFUe8)vKCm?U^`-|Wi?-bQR#%*D1UPCo{`+1m2q3LSGwzJtwaE2jXdIK}Q9_J*e)Q4w7uFY(>d zCbe}5ZiXze2@X`BvstCrhkJPcG+nnoxD~DUZpAhT=fhOkph@hqH?vkf&`)E%T$!bJ z{mz_4H)q&cVM&W|@2i8*xhFu+bU?#(rTA|h#i=F-VD#nI9vHo-GyHAX$G?WX);veb znq~O)Y_zF7cm0Xg0m2=_6?=Gs_4kQ&cwm`aXqWqxsK0O5b#)03bE+i@*WM6_YagW_ z(HLs4D(*9)%0b&9oAHZY0SSfYUB8C!X>KJx$;Z#*j}XFy_``0h)s!DEVwuh%vJTjd zC4Zv?ccw!{dWiV8;L#iQl?utWrhP}%yDIE|LKo4L4qaRa(3R9LBwBN}(hq3ucDd@q zm_giL3npxnh6JCHkPQmCi;<>BX2qh-z{4GCiij8=^dhxz9ky+!L-x)4-H-VZw(#7h2RvyZ2|vTI@*U)`%A;)FG+p+QNQ-^o!6Uj+r2uly`WA% z6W&w*w2GZ*g8GvaBvX>ht}?WLHWwHhnf>6>(|?=S5M#wBIOtrpe(x@yS>_#cAWw= zf5179GIHoU%Y_Amx+x4570&dh4}ak!6*`1RmU_*vig_J8p_+U4FVKQ^5JqXo_Ny43 zLvjFrq*wxw%!}+tl8W7u1D_{U&pvaUki$@hyw2imUak-qTt5y0I&yLKy21OG(j7H~ zqTN0Q^n0?RX zkY~$H$yj6t-jq-OzBJo~t$TJOmQ-ooZujWN*h;p$8^ow z)nC!6bLy~dv~8O^Kjpu{HgB(kCtMsbk6eY|jO>>{fz~g8?J4fbcxhI9>L0d%#Vawo zmcy;ix)q*1JaQIFc1%~->yPn3JERL?^9+~8a+|7c$uU}!oegjJKqyG6w2;ZK}<*|-Jmob;gzzy`>W-kG5&1~aZW{r(cC*@ z6OBy_9*HZGT87uT%Kyu<8qwr?peB%+onnS9Xy@znCC z;B_i@z8Lo`;yZ^mZ}`i?1Wh0h`}C57X3So-Dz%g^;h8}c8`>?J-%Z~Syc`{G2KbV+ zmlL#yvUAP79DgmOp{h5QfufhFI{GyCL6m*h9p;lmOWT#0@)0Cw0IiQwRRr6RJ z=NGXf^Z|gUE)V{Zr~zzHa0}!J=v_Gm6pUIXQt-t23PA!iuv5;ceAXsEDK5IA%k5ft zYUI{P26Kg3&SvAOU5iWbjEFDeZOz}MmX)vGx3nlrSHE6E#?WyfWp76r5VgH%*I9u< zv^iZK7gky3)ou^RN8J4k$XSKxk2AdI*_mc=!W1}8;0rJKrqElBm+vUb6C3UcSmFFwP~^XyDmj4(II^l^C{Exg!Y*VmQZh~tRO zlP>oeP(%tgl{gr`?jWp8uY&EnMb82c5Uv}Hy)fTRjR+25eW@D*Ywpx$ve~fa$KB;X z8`j;jT)1NhABRr^YiKlG##;k=ySHLq<0sEulKz^EEeKp_yesxzsrOk8N&D{Bqigbk zjE{YJtlfuUk8<&K~ZRD8&I?1Igcit#QHlCBG?n zrj}~Cd8$IALO2JRYg_i`A9i#wO5HQPKoGk+Ch-QAB?q(*!y)&Ag6t{+*fFUA(z zn3n~MxQctajL}n9iNWdm1V=KgBaJ|3pC79BociL+1KZ;Kt@vOSt{;ibaP!8W+pRtr z)5f#@r#5O`LQ`idiN_7uYGUPnax1^aHc(^~0DO$^=xL|%D*~J+TVS zc#@yhnoO@#GMr*!R5^XM5hAA@>o!NEveSkC!BPldk5)8o+GTg?R_Q(6iA?0w>q_)&V{q;B9eftK z=H`>+ZHRAyIO&7B(i3f|p*IDxDVv6#3cp9%iLFTU`OYjLau%b>8{~5{WLz3LwPOOb zyhoQ8%+`Zq=g^B5OWLt3cIH`QF`Rkye(wLu`dX*HGhX?dEZa{dUjCacr#Jm%%O?6l z;N05(9b1ML=j9Tu%X_vvX3~p~w49}dnBY-Q`w6I5`B@<%;B~%nRnXI68@G|TX_SP4 z0=*D07_*Guv(tnK2u_;9kR2>?0%os@n2mUq>_bYWX8sEX0vV)jFCkzJ*P1}Z)<~%QgNI^9#n_>rJea(C36m4@AS-NFfyt~YN zibWM^+3T$yNbE}pFbsBQ2UiVOkaJCMWi0X387U}r7OoYl3+9%6BkcGbX5hv6*}d4B zsS%+o(j{wJUE5pz>f>PMuHHVSzOW3;N+sMR_Ig{gQ5Mqg11%6UuS49NyiO&^1q`ec zZ(nGnxchydX<`(rlKpPuH0j9XTN7Cilj&G^c`D|U!$~IrQH+6YXqUf2a0bZCc!<9u zT##73y!hn+uM0B2nL3-i17;aNx&Wz}1x#CWhl?u?tA%ANji^oyidvAtNk%5+9zXsQS-0`^7m%+9b zpkP#az0BpSyZwZ7pR>lVC!1%*eaU4x*N<}@QwHpNKd+if-F7o41>KmJVaex&I-IVl zeqot+I!}OOs+BbWtL=$7nUN9-libHr!Qq7g1+ueaTnLdSGjMQ#^_A9cAPvH7W*V#@ zCFwTPqvD^-4u1otvy%r+uDg!lzI7ghIX$k}B!CCe(M-h)4i{q=;-n)*%L(0Z8GfAA z6Bpj_U!EQ4;qZg3i^jww#sDTBAq3qy$rY)&bD`|R>N5rs9{A5+Qu(~Z;Av*Dn_{L1 zz{q?+%A<=fy0g{?Y+JHCrWb>!hiRYvdp*hD^!J=com|}LEV{3S?aUTB$H*o&vvBZ zZ1>OhMDX4%4y-OY%imUie+)A1FstZZ)Gk)%jM(Solx(QW+(~F=c9!2db<#NcGp1p< zd-q5amXtDD>qyjtsG~UglLwiPlnH>Z<_C+7yn!hCWgM1lYXGl5M`oQ1<3N!&1iVAM1rLTw;2!`yP1_sG~ttL3=YOPEmrzrKw_gEKQWdxwuu`LP&V{*I zV%=N-f8<8RPsIP!Uv&1%UE>^~=rVqDjcjaHb{>{^dGMTJgyUu;z1!l>omomr{Fm-8 z?dAOhaGpRxo*HM!k1!rKL8qM)Vx;8M_rRcq>y!? zK;OxZn9fsXKr6d?jRVKn=A+K1u)=bEA!D5&ycICd@N3hFR0KIjKrp)xnf|p$ys657 zt2u`wyvW38`WtW)QM_;>S+cPVMb8I~m;`a*ZyzBSlG2^~{ zYi$`xvu{xlle5i*M;~KVGn3SZ(H#r9V%5>m&U?p|^ZNgM8I3pNy+J%tQ6nhqIFK(P z-Ord9NPTOyg+;|1vlcsnJI$`uPc)GQgMr_Phxu8126`n*A@GM7d&#gTPP2b4;2(zq zRmHM&wbVp&*Td>)MP8Eko;E1Rq_X`{qs-S%?42s57dw}|JzezPIyGQadaDyKXw<^q zgXdDHWrKhBRowX6it$`pdon0$*19>y46*4n=a{9bg3cjO)g`|KMM#inX1_T!{;sG@ zgIGrs$Bj$5GU;g|Gbf?+BGtMVgws~t&gA)Yit|TFo-U^~^v~z0o{q4lTgZh%oG{(f zkug9?(JWT_8u1T*j{=phXF&KU+ zA;2*=l9XN2Bm;C%uvV6t>I}UW$Xt15A#I#%ykpgNmg8;PReArr46}io=aSY|TLnAd zi*^g_62CXCQ)jxy%-Z$rBLh{c8Hhp6uR z-p*JcujJ#$$^YP^nxb>d*1=rs4!k zSt6gcJpqREB+3c@A`|gGBp3f}S;l47sLJjaC zJ~BV}Ieux09#?4+e0o^kVgG*!8M)hiOcWkVod1(I+rzlnEtr1dH?z(4s z$O=U-|5}IXkM$^wvh?(>TE(BDE2hX#LYb#g^+?o@N~n95PVUxKyV%?i<70UWZ^*=$ zyXJ&B3u3aaeqSwplaX_C1)M&%hc?)=qrK+n*0orUIZ997VjDO)bk4F&we=?;WxVhu+q)7Kv}vW@1eI-Y!<$Tk_CcT!bt!GH*g$f zmuWEo3xZCfMPs5EY15~_dh(!xMkE53qP&=X?ZVwC5~jAO5)S?u&Gsd;y8FUPiGPM+ zgZQM-?VnWL(j(>qD8n%tkZHPG94gYO{r~_nchmtu=1c4UWa?CaOkJkdf1jy?KZeSf z+*x}k42(J5AlD(kRzkUeFpG-`PfXkFQ=_7L?=$jK4FC}*vfYz7(HX)|uCxEX4EO|? zXh2O3hgQGUykXH}!XG~P1d-$7w)mbW`NW|pypUYjCQ~z7?0Xp1Y5ytpJ2b6`fR=}$ zDUXPTU*7T4k{7d9Y~#q>EJvvUgE;|L##gx0BeTnV1gBowUOuHvCT z3F=!`Y-?UyHCq^de=R9oSwcFBcerK<8?>VH3ies!;bFAQH0mhnS#hO9lZ(~Ggk|K^RvpLiqhmW$Q? zdLzF+`kS8r@FiU#br%y!qPc8h5t`v_5oi8jObDLmV`|ZMB;hg8#nR5cMU%nh3xNDa z?EsKpjLieH>={W{Q>*|6uamOB+Pr=*G+(a%g%@HByEF_@`P!dE4e@UZQ_;mjP_Y zxy$fhXSqu~va{T!)DV!nEPEd1WTSN&PLke=n|cuY@=>+!qhKkiVo`@rsX!rQ0Xw^X zmW8A^O^06lL^3%&L0p9@fFmDbvyI*V<>zIv6)NcT-3sE(XUWan@r!Nb%Hwr>=UnZ3 z>*K(1zl8>_wFHPI^Pvi?CDuH6dbi($xiz?-?0x#el{I)*L#q^k)YoGbF#j% z>-*mj5tTOoiYr;c_xy}>iH#i(smb+o|GoLkolujVCI}M7#tW8%X92hnanO(v<>3ZI zEc~!dJ9dsJr|pP``Lw-mp2t%a^U$!%4NS-dh6r!$x3VKo(b-e^$EQ#1-vsOHu;ab+ zA9=jJV+6r}{TbkpGRl_V%4=s>w+_znVP@Ber>H_Tiks@waG}xi{L$X$Cvxj-IDp{M z5-Q9|3*_QAk=|0@KqGit|GFz7H?zV`S3uDG4%T#J1x<;WhgUZFAB^+t4(=Bm zzH7GNUa!0&w8^;sM&#z6?BTnaIa2QuyTGfD^*<~gva=T9(g-I)mFZi=Y&V^}OnSLs zi6iCy?xJxizdT+Y@I-rhy3fhK54?6#1DmkH8<`SCE1!_Us9ECUwWXhW za!i~e-`gN&W7Z3IV;tC;=145RH|nb6S;(32aG}?#*a)~Pi|CWO>)#*;CV7W|%)=Vc zD^bvHPfrF7+OV$RiSqOtgL_IS&hgxvFQva_Qpj7E9do|uf7N9H@8R6F?bLE-3JWpx zD#ijRdS6`jjHIP>CQ#aa;lH6p%#y{|7|8!-5XK4v&I8D zeB{JyyHTL8HHZES>fLkI^Ed!P)a}zB;xcMFuv$s9zSkF`Sd)$ij?)73C@6NquRP_h zDm3eH&&kAY3f}6^tw;wyV;n2!vum}Ln-FAepD5$hhktt3)j#nP#QXev-;nPDbK9zu zuFL(E)pchv&vaxBO{P^#X|7nweEAchPF;=&*NK6yyVZT+qnP&FlfQnv{9$4-1}l9e zs<4G*GZAk4?)leCBnXxAwZu36DC`!D*VLI(y?b-8!UzQ|Fh(W7|9XcMc414KYs22; zsatO{3KDh&H9R0jX0eU=AmrlUn`@*;KWK+DItyP)eM;5ttDFQY!47g2x72Mn^P^V) zCY`6Bofjv(Q6#l1GOG$y_^BCr+O>P~bl)V0yu7NBSt9N`5kL{N+RBO#-PVNH zJ)`(;2Hnx|FXP{-(|j@&Mg(+8D*R?wsZ@RhTu|5=gj|Re>qB;z7<1G(1(V=h(?Q-U zPKyjzdzCMc*!=Lquh(JtZa^pSd9_u7vj$W1xy+?3|H|XI_I=CTypzg@2KA|bs7h*U z7xr{A*{Oi4vT#-G*5#rXWK{{WMoBV@S1A0(ClW6%tI7VTV45vACpu1{y+}JQ7Q1R| z-D@i+(FW3X#qHD_;}_`6#&Rr_da9XxWd}IuF;Qlyo?McniX##tmQ=L&0Fp`bH8&Ms zIo6>~2T&m7TS&!p7tVb*jmVv^)6tg&TO2}m zrQI(X<^x|Z956;JmC zHGWN(I4^mV@LR@DbFBH8a9u=e)2O>R&BacLmC3!DHMl_;%dydv%e%@ zS|#G@8=u1sn>&^6yTpes>w6%MVTZA(&lA51QDikn zs`w&5vgoV?I4 z??2bV(|p+pVdd1HuegILbeM!xl0@^QW{rOtD%}>Jf}K(y?9(mVl0}EtE5Xl zD5C{3m`AruCo)#Nmq}L2SWEgdSv4j*sxVRZ-vG(N71Xn8Dstj5M@xtU zX|JBw27UyjsPbu99m@{zl~7AxAJ82cXG5Oo`x@seQjOOvGY%rLh=>VYq@Tr!N-_0`Il z!>h%54pc}ut7!GFx1URGw&e5{+=$wh-$kyXMiZe5yh=xI@oUnD=iA$$zI`E5$Ekt zW-5knQDTWLBhuE99p7ZB)^UJKLXub{y~!_*U=a9b2ci9CL#oTyD%pq^YB1(|B7 zC~!Yq8_q}MrTXs*#D9mrZDsmm`t29$-od8Rx0L%eqh%|lALw! z%g)U1Zm#}R>6AJOQw#EIyyS=NPYHO*y>67o?0&eYrC{3DwSkPP#nI)%WPqLeX&coA zBRHbAH`2wx<3_nW_)A9(Z5vNX%7L>SSPuTd`)e=H`-;0q59p5GTq;B?1tJvaCcf;V z9z2yz8yu2b$JpXu@^^QOD>X*ZT~Yv;(|^16=`(WfCqjx}+J|n05DB+sF77E$IMDv| zidDks!)K;=O0Gtlaq2!^WYFAR^P|%$fw{oB>jBCvh{;~agGX&tF#kEBpu^u^M37!( zB?Z~z*Y~Cni`z;_2(04ubUw+DB~q2>?&V;@i@hLM-1)Z1C|Oa}Hj4 zmS1+{f==+-5ffwX?t0C^WA}JU)^)f*!ibb_*Ovjv5I=4oLz~cM_)KGZjcet`cwIZJ zV-SA+@)H>C@%uxxy$;nS3O{9mk?EDY*Hl+l4!S@AIL4EY+&GzH3p{uYp5(G+`{oBO zSv(W9yQFrcfAHOJDi#yApy#KAAO7^ZpPNiS6Jtkv`U~H2*6IHjoG3wkW3jdlLh0@tJjfG1~U?RKc>A^FLxoIMd9*{@VI%0Fr6Z5#2Ar^>w(% zb)*91ouDq;o|vLO;ETjg<@Q?Du%}CWUl#Har+~`|O0)Ijgh&C8 zAoJ+BGnRq~sLd1f_kE>MD=C<@=pC$J+`9h}cBS^G(e{O&BXgF*jha6Rjt1Fd|LBAa z_fNw5(br$GiVw|IXrybVP|UoXNGDU5gtnnKqR{as}7(S2E=F z`HZ&+$|e$UKl_v0G!>Br7zF=_FIESfJIr0&w3>7#gQl zG#4%QF}p19xM5Yfz&yQ%Y5LRaH*6mLoOiv zxiO_y?dp7t;ClNw>7!bJT4hj2+Iyx+KvB71q1>LMcjs|(MAtXuPI|g}O1rW7mY6zF z{&R%LjmW3u0^(NTFFJ|1D*VencBh&TmkO8aK4kZrMg|btBtFvP^-VnJyruYhRWlhz zRu{<+X30oN=#hJvtLGJSPlSLbD9UNqjDrNz*^oNsS4;6wyj-ZLcj>{JEr&gk$OM-pZc+os#RYvz*a76@>i zoz&5+A@8zwL}lRS5?Z)8#^|&JrkOs*2!H+&GlEQ3SZpXlAFxT)SS@b9-ptnluj-Zn zgfdlK*94YZyiJiWf3z*_5XyPl78!+wIjF33H;J|{0u*`tQ=tTNK7!XuciBZO7f6mQ zS9m&f;XR}CUsRcZ%a<}8joAuR^5cVj!KO{vrIX6nBlMq&f;ZrkK=Lt_qvMeKG-O4W zJGi32I&=DSTpZJZ455;q)X|;%w`@#I67JF}=91In*!v$k4ogAqMI}JB>q7T6PoEZJ zVe?}Y?4rC)+v89x+MdN>G`3TJt&cA8(_M)jHW;_gaimPB;AHcfsyoTU9&@ofD(P*% z{mc!MumnO^PPF_)SXNx!B`Kqt@M&_F>8l8i8oWh{T;o)v8+T*6yzzhwvbmj|kK#p)k$8x+XG3?%c+07aF+%2P#w*8HmwP;R5`A3j-<{;v z%O*1JYODXb)?`!+%c%=-R}Aki6H|CpS!LRNK>qfKOj*;)B?n)`k|xNEmUbn+ zb>R;G-O+^Wb&_K+?%RTG>v!|7Hp3>o6vE#P0sUc+YDKBG!f0n7N9Kfk7J^ zOOB{MR_r@1C28od)b<7nt$HI5j~x3rixyWs@L-m|AjIBaxOyAGvG&ni*pk-SLu6nt zfa^#7*nOwuDKB}<&7F4(d3k;+IomO@bZcqqvhc~>O9bU41$fihM6QURgS(6?MNe0x zb8p9>B&Fqj# znNK5msrId~C#`)zL@hG!`<88|@#B5`3tfA@o+q$euaozwxuF;QuaQ_J5A2%^L7P6ZEnB zE#_ioobYzRElaYkSW~?kM>X2R_wTztmy}d&Z#0)kNg9Zk3{#$F*VlE9(K_gV2^&84 z-Sgt{*i@U0z+-O4n!JHSpcPNH0V>^ja2P-q2f(s$EiDzo7HsN+U)44;{ur)7@Go)M znX(9p3AbEN4wEdPBa(^;-tYg#(4ByFvruzD<S^7Vow>4{5#%6nGdh?qMBC=H;);{7$zk zFWYm$&p8O`gns;|{Tn?0`*OM~+{I+VK|dd)hF`w%dSP|Y*#euzrBRT{Ucxgk8@F9` z``-OPfYg1r=11xMg4fxeVIm`jTn5^B`Uazx2f2RKggVNgN$1($-^+KeC)JW7z77fV zqBD0k{B97)Nma8j*D!D^-%Nh!B-pzKs(;~;6jqSpa%kis)6%cGKBimuRfM>lZ33;E zNebcXYMPZNaj|f+nc!gt_Kw_46gZuli@^1nHuGFksxCL;G`+sT^N*wfFLU8YUjA!C zbB+%JcIcTVK&V6XIWB8#GVk7XKp>E5aXW9gYEL`?(BUpA6-!eCM9p;+HB9UW_JW`F zFLTxNATp_lZS=Y8Y0+#dVk^}pVnG1?@o2PKl%y3`J!e;?DDllvT|`yhkS1ijve!fM zNi^cql{TBNf7M^J6SsbPyq1_QE{eD2@+5hxo5%^4qZOl1tIE~*t2ebBw`zV+qxs8% zEpgJndTvx2T4gyxt6F3E5nbN8`HdMNY1fK0igF0X`%A;_b@Sw|%RFcui(d)QcslQ> zZ@N=l4Djy?b=JM`wT;#LF#(^-Q%+E~?rzcVH;pFln}EQi79QEEmqxUl^W)sKQC0Wx zFy+neyVL?*xjfEEqvp1rB}u##B3E%-gf>HOu1E|y8I9Jwt9ZJ!0X?1K>VHZK0Z^{Y zN<*z_g}IHr%a|mfDY#AD+B7*?yU-MZ7K3jvHSIL`S?+FC((4Gjo4xS$IH>23?VUFP z)UD!HM5=GuezhOa*tr|kUn`$1T$y&4ovu@LZMkKEafFz*IjO$;!zBH;31qFbpuAz5 z0CR-4zB`4*O>K<_qhUAFiuBPn7McB%U9aNk%D7jvvL=niQ2yE3e4@l_BD_=;PwhQS z<^D8xD)*!s2U~OA45d_Dh%dw&$s4>XxUxFd*NHR#t($d=eN2}sHQNxNtyDIA2S&~%zI!1$S(FY>YtxLFnhUrMD6$^xr5BY3D}SKwjq0|Jt=4U2 zbkEiO#Nb)IBWJ8ibm(ZrNhKmI)jCm!$_;w5F3aar78112ag)zVf0aut_v+C^e_zd> zEq+b3WtO`>y~>f1X}?5>_~IK?c2~N@DfaH`ITj0jBKvzeE0U+_XgAzRV(lLw7~a2u zV1K!MX;vP6==!|ev~;CIdN$XDZoK2*0V-j>==3dn=u<_HMLyU;2y0s;fB`e_kt`cs zVh2EAOsl&7Y)Tru=f@T(?6^9`+^qkY{f!ZZO&v>3Z5J5Kh^$;6RqxJMb2Yf+HQUKJ ztB1rZD)?b^3XQmp%(7l*9!v^i%p_$!ny;x{|sFZZu}8Ry__lHA{& zM_a84riuf#HH88ny-!^QW6qwR3`x>(N)y(XJ0rC%=M+%| z1Wc7a5tVsZZB7LNi)QAt`4%)-I3aXvXyuPVfiN1+F}?;^;#0K?ZtFAM=gT5AS4Qp$ z@=*v6dY+-;z@{f!I|BC#4}jo_t?>D1&tJ3ofruQ5Z4zqy(4WDU{cP?hL?db*#Rl4}p) zX(b8Xsv4I?ym6l0`&sbraZfOL;!6u771?#@%_kB*q#*-I1E)ZXb5j#-Av~0$+km=d zdkFpM0Gz9LKL84oPyfEr|M#OT{#T&P9>}|${_`8YFYBR|?hK7bXE(^gt zD&<u1%>XY;j6dZphl5MU*^}~R(uf1hQWTfyi1v@Cr=Yhw@z`kR)@+@ghyz@hk(^M zAnh$u?B3p#qwul-xFdR5p=Rg35#wIB@~9*SmVa>+llJ%-vD}oKo;LlRp#S-c{anJC zq0J(2whbe7(W|-Vy&WAjyaemHWd#J2YwkU+`c-c+&L^koq-2-)WWm0xaVgUi&K>-k z+!HmCXlxQf3+&YZBr~l@+QP8@~`m|+T>6sGIl=kMSFl)D+&vs%eX4Q=2{n%yEg-Tx?pDN*3*>6CuZM;-B=v$qdiG7ADQ46c*;c})k{E6^T zkG21TjVE+Oe_8xk+d|xCdHFlB@B^XGf3#Gs<>O5C%*%uobQ@g7*5svz(=c9jSK8dH zjR)5QMIJ3@a)8Sp{^`XVK2GLA;4oBY>U|1`Ot{!{=W$h9GEYxcf3zDq|D%1%w7=kH zIxqFXzR9Z$gP8=S6NPGZ=Z|ZBvttfbD`UC3yjzS#Es^7#HhKkZX*}-ZaSOG_(nM`# zfNFKFIa4}o-Mu>kwmKHVHb0rEX|(uwcEs|=xCsG;*Ey3dx3Hx}D|xA_g?a^fF0EhAM|&ix{9JXy7*@uC%|>8TJ*9b-Y72qw*gyJDt@8W&_d_1$$O^g8_O(SbMiPJw|XY5$$_cTRA*-lv)^zDv!Cbi8+n2Gf?>iKim~{Vc=P(~9!ymk2BplK2OYmB z<(8c&u-;CSduKPLXLH+&J@g`8zmnlc3w$8-NRQ4f{s*T_=ViZB?t{H~H}|$K>qC2x z!h$Q(e410|?OJKPPp@R>grtG(iol{qy((YLj@6}-cWVL~)+yTLW;D5U_Khj&6o$Wi z=KDYXht2DsyII!gu_W}3u5{{yrccWWNeBhwhl$DDfV`)qT{yxRX@8A$WV2qdhimVX zfvR88NP;+)>Q`z4W+}#kKu1CEdr6O39-Ie7+^bkS^Xzn0MFD5~v8K=+r7i#G+u17k z#njSi?71;2T3mMMu*o}pZ4q!tam2O0^?GLD#cwnOOe`onZEfzcqJ;9&O+lU0SSBM` zK=G@L6|sZC%6cvwe9YjFNQ_CSuF`Xz4kiK5^q!6t)xonb0SB?V74N)xz9@ zBF~)`NX*0%VF_~|pzYEEivlrD$+OwJU($tKnMDc^PJl{%Y2d(bwl6MmVR@i1Cq?fx zjHa0}K~1UrXjj;h1<9^}V(%dvKg7wSS4OSt*$S01WwdEN<7r1BvtIH9W}Zae=FBXVO!=se zu{D>aWZB0-8#Td~#ugm}?1<5itFPmDiSyH+L8UnL&Asvu>)T)Y#NU6XBh=-xiOEmx4CvV(s9-D*&x7@+G~?$|9bxk)F;up2wh ziNPukB9=J>J=Yozu8O!MwleK{;S00PdC^+P-=55v>c3Ra#iK0OUaZqTBT6mcVp7%R z6MH&YnvU;7OfLp<;vdYtK1ert{Lpd!LV$~=(D02cM!vfMuZVM=ZunS{bC3wtcHV;_ zBO1Fpp2vduM&=3&OmHWlI4*--@sb6bG1G!GIohO}oFwC}NTA#3mhbbd3R}n5x?;qLwHgOb z0oS_yM@1gurr1{(J))=&+TnY$}C#sBhsO06tHw7gV#JB9{$%H0)E537N&`=}2 z(c>C^ZH=^1zB;496*5dxIZcR+EhyLuUmMienYXbb-=jOy_|$&&puLz`3hE>mceJ8L zFP~{pv%#V}s%hX=x3Nh_)E7SaW!abWsig>*7V9-wU_AcP&mz?w#L()@^2kL{|Ma>$ zb^kwrI-RiAAu+4!oU3Z@gRtu&TFPo3h8gojJ8Z(_!a9dxT73hKuZf}nmTvVyM|H`E zxr29DUKV8g*6@_2rCamZ+#lFc_VoDXvX;)GgHt)W>BWjwsK-fmqLY_TQvd0UiRK0ImNOHn$vJGNv&KkVku^Z~E!{&)A;5}jdn``1F1#ib(c_^Qz~(>X)knys5ic%3`A$XE*?XYTGf zG=`1xA9wb-PkSttRe>7LX%&pK)xeab(KX|$JofCmR~20L!P!kXtA)KUusySY&d(61 z@~U^FB)HDx6^PQ`n8CW1X;-ClC`U6j(h_;lFEuB30DY4;Z(ttjR+dr{hrlgK}J$ z<04LwTe=Gdc0mwLLYt#*^W8!T7 z)D8Y3)9eA$pYBP0+*yCTk{*NdIW! zTUAW|5x8kte@Go?$8UdZ&_wzpGA>B>{ zu83wJbOO;`f_VV1aB30zbN`B<{A;m1{HEuWJK&gl7B8tcLF_^Sn(w2qf=A|pgGX@e z7{uu`v<_e>4ZC3bEu7;96!cbmlBcgece|$osdgnm7i3oi*b>oa}#AXcpt3*BgBd0)#e$9I`^*a4z3R zwr~nU;LAt7l(pq%ROAXQe_m1lHCbc35WAC#!N6dFn!@VmI`jsw=yC?`Q6aZo+62y3 zYC9II6!v3RL=1TMt{#oK9(iGxK}S_b-$A%4kOu{9^=KNCdNfctFop8|-ABvwnOR8w z&%5p71L0NntxQa@A3!PhWn6Wh&c`LMwJWfGPZM?Tf}tfdKsd@Cp)U|LUk1dk(H(}} zg>hmS-8Mm6sklQ1=O6|T=Z858!!?et z-91`^3xU5LsBhLq6ZUvWpM3p|-7lcSFiyx$jVVeU0=({sMCX?c?G4X~2MHn8xM>pX zPdBYAp*M8IW-D;>st8IP(qVX@yVPX1$YbfkQRcX~xeMokIf|3n2NHfS1p5VEuAoz( zKZ)Itj4Q|X;11}IklshR&!ijo<`n1`g%UZQ`s3J}dvN=l*kuJIdgb|AN}+zF{?WWX zb|!VWS1!gS+3eQ-(E9KG<+pHGf%3|2SzHy#p`Aju2tE_X(GsIptxJ;kcR6v$0;eEu zjuOHGI!a?5_DT|J!~WI+4Tr8zgi0z%<5t+Si$Y-V_INGHjvu#Yk?->ZKBV^@++knS@&*S{%{1CaApXy z%ZzYMQ8T>#yU9-XhesC-w2zAHrsHMcNAZz}FG&*^0b!K}-MSuJd<4}QmX#Ry}7 zZxLi)T~EbrrefOGM}?MP`?INu%smGvL5G7+lp2_6Oj|V%#2q&VF|~u!V~HFDIhJ58 zn2@GDaZwcO>^nj58j9d>%)i417u*ON^Z422GX5n4MuzmdbfH(_C)^fgSu>X1epvHu z*R z5=l!vJ{DBB8Zsc1x=0A}S>u8e@1t>C(p##qpmhlFuk!-=`~GA4?dyOZU>gT^49231 z?SR)0UC5t}Wqh<*n-@dsGcw{``w>n*>}iDTCR199sy_hiBIJ7`IcI4eQ-T9eN zWCMf3wQ*t{SqtjQu^qvnqvtv)yUO_35WpBPD+mODKTSH!hh_CMSJ>&^bAuIgRC*2# zULbK_{!QouHL6fQ2+LT>s;N}FMsm_yeR-Lre8NA|pm16pH{GLKUKDI}G?N++=x#6o zD`Kk#a`04+oBaM*kj3pA`8w3#S`YNcC|PwxauTS>v?~^l&CN5L4krFP3%Q6%Fe+ z-dhkAjjE$vxp3E?4KPxLI-Vbgd#gIe>lnw-8Y|{8yRUX+J+i=*?U0fV#eM7pUU5qh$ zAl0?gWH)xDuP&w*+QboQzuO8@Am)9YypVM7GZZ`g?mYpPc&wVo6ZiHxAcORI0#rC$ z9WXap$X)}sVc!Y2n}a|XQo<*)9_(ur&}V&sxnaeY;tMCfkhgGO=<(71t{}P&juzeqnVBKo%CxGyCzi3eqg0$SSSJvP zRuqhSz#Y)AU2RyI>T^VQ^}8fr=7@%vFy@dlr0>V$)^Izy=Xuti7sUU|(@<}CQ? zpvYiRXj!*QGOQ$}S2bqgIRIAhZ}PCKmw%tz^}7pkxgpRN?FmQgrK?9&k;AF2tgrQ! z?uXwxZ|c;XbUp1EW#h(7uq08&k1{)zO)#uvpoFu2U)w@or6ZhdX{8Y?kU`cWB=^-L znPQ#X82_2+WpAnK;n60opqw5N^giYbmc5Ja2;Qwmt@z(KR^G5xaEM-Yqu-w zpycx?2DdDo-OzFg83%OI4?!~_MJRiUo~MPXgNH^LKcn@9{`+eliFmy^xKVT=QMR*c z^9m`$;|ra*6qNp-Mm^qkwY@Adck!@#vnk>ec`=#iuayot#YO;n1Q8b zjoUlrg+;lW$6AJJ3&LPvA>iAUS8=5)HrJ$bT`RN-SoA2B5IF%ucSQ{^#&?lAiGH|n zEfT3C6JiDbYPhgAe%xBS*2GR2b(qN90@%Vr3dSx9h zXCgn=Drm@8rTXE_ZouDRfAc?)B#!kW#rm- z9C>CZgnBWBqts}{PYG}M7KZpdIcmj}T@6#1RO;k|figI}JKP^c?&&u70n>^7dKGlj zmJWecw+X>K`1P_Oaa+SK_eF$8JK@UDbLVc&NWXZlQbv9Y8?;WJ%KSggBA~auaO_@% z2r)cd=GW~PwREI6?lxHG#gj3T+lMPZ`Re@$(gcuCAGus=ah) zGKo4^_u|&LQ%_(*)BC;4%?aC-{8{>O{$0;+luRcn%h1H!_`&@Z>2pIoMgGH39nytH z+b$!4l|4i!qL}A~0dueN)Dny`Ye@TWI~8|3?Vgehd*G5>dVpLLx`gGix8IQiT{jEH z_u~GM*?d4JZSo|-Y*z|R(B)I7RAlvm?n+WQY!}rN(5&4s-;$_oRHPtw_=R2`)H7k5 z(Z}F(+a9+anABI;(X?oF8pJ&wh*Xg(*W>x{{QPzYfs6`7&s0Ce=l6ET z#7Y!MS#*~H4jyLnLul|yU8bAW9a-_$*8|Bn<6S&)6)4C<1EKedHK{KmvMzmkDB7uJ zu^=ORS3Uj7q83k9G}cYDwPjaNxt1q9gYi+oiy*ho>N?yQ8Wi&=<@?tOh0j0xF1)(Q zV4C~HDI+6-RSD{p4^@_d2&K)=coecsQvXyUz4d}oT*!1{61Rp)mATdPx*y4`IA?MG zYtZ!)RcKXj@6VeoT~%sbEE%AbUk(m+L*#bE#@UyzGDa}cmDsN1_OJ@~Kbk$VL`6N= zDm|wn9L z%4FJXmu@%(8PFYBUgJJGS_IkEv38$tEwv(49^Bf${37PvHm7g(OfF$>7u5qpJXv>) zv`=J~4XS)gCMiHY8p3#km|IoWDm|5!V6Q=qIO7qX z!|hwQbyaTgEqbJ47CUL;IWB;NwmT6(W?@=w5VUou2hn;d(9I6NXaF` z=aWxYM$UwE#09FCQnwv?GDbd8EJVcZVV`r}PanUJ3c;7ZjWBoHz~0p=IQ(sIs8D@> zy&t`3xewUO+~0;#&9Qw~#K9P^PkhQhL98!6#&#W4|4^zWM$`jO_WOs399EY_3{+ON zCW`SASA6(WM^D_^H@Rk+3KTu{Wvh|71X5MPNy!*d-A&c!4-3e9X*39#KC0+u3$738 z{9~#ywB7NyfLZ?QnMMaw&&RJy8zMR6%Rz;NN)8#}lMPCb4APfnCfs2QN(0Drskc{| z?u7z}fS@&5QSTbYAHPwH*WDBt8I)qiD|Ii`@E}&-9sJmLSMI8+FL$GP;&NP>#R-ic zF*wZaVaE&-17ff<>o5s{=G;F9&a%>cxNIo@JY7nx`PbY|=g;5vjA9;Z>T~0yz5f?2 z+KJWTYED=Y*BeDs?kZilgp5Q>;4z0fKRNo1Qm9=9MvddGBgS$V)&1nxvt>7ZM}ANH%PrR9Co(>kQIrrIPFQ;;IV=&Ux=eZk^T7wi8MSCWdc&UX7K7@^^LKY~MV=$657 z*DE*ccPjZW5MUuQdb|oc?e-n&V6E+2}B1AqQH`;ox(YsEiplwIUl3*PAUQiApq z2Il^}b0cOq)IGA-aouA%1AAM2uR#u!4nDAXyb&yTMAlHE-+Vj-hsrdxG2DP!>0~#Ix z2a=)Jhcw#JaI;it9mF0stQ*+HBW@jD|EGID*D!pGd)OA%2cxRn;EU#+UgdOB{+n6? z>PCn)OmN^Psh_L4Sc*53Lk94y0InVmV;H$1MlWj3^~C1$a6<;;r4coUqAOAYdOVI4 zxMnnGzA#7Tdfpd%g1fz4_l@7d(hqdXxNI8&nD?TsAc$*-}ya$urF0om*y*^!Tz)PrL ze&Mmgz~(ENROL>JEB?DLJeV_sEA6tiJcKy_#Yh~+s8Ryc~qk9>3TJ|l3o_T${ zDTOzw-rz!I@4`}I(W7HMil<1luW0&-0L0i*`eP(y7n`oZdWxoYR@4K zvzkJY35GiIKuSTV%ZO+x&q0z`KWr-h#D&;Qmh-oFSnj&9_>?& zE>=w6#ucFo=lA34(ypxJTM0$?E|QmsHyQ{>AXy`vNm1;ovKV94O@p;5l-vh$>>F(n zhuno|*X!E%KYJFiHUX_oa#yc=`)E7oJ3{L^T}1xujNt&mX9j9CUn|oeIu_@TYBG+wO3bv#U(8r2y_)evXewVt>)4e2Nl%M(ZC z-8u-fhZU3sVgOpAWC89uq5evTNrvT8PxDYDrod&`n<(W0FSp5qo6~z(?WsNNTL#il zJo(Z^y$dwr0>C9A3qA=k3#>rt%l?;f#D4#n4vgb>vKG_Ks~Iw{>t1}qgES=KCq*Rn z%2>zTSZQ3mIMW$k`SuMn(*Lrs`aQAj)|MQS7hlev*kR1AqTTC(?FJrm+>Wl>}@!Z<$HrL!z4wj}A(mIAtOj01t@K&;D>s|LH zxfQnb8JDGS>b zAcuW1y!q(1y(w7+lLa}kWf}b|Y}}$r1n!$Hpnb|W#H}rz*lVF;bT7sW%Z2^r0)j_e z8OX#9K_0q~>h@T~5zZH(R052&4^){)FPI6gfyr)Re&>uuuBln*D#;GUT$6Zg)h5QD zD;W&B-o0P3kF^R5@TPRM3H&woXlj>&syU)$7Qtm-7Pz7++MMJqWg%aSem>K@BgM{b zN8M|ZS$Hv-hN1iYj;?q1<(TG9?K>olNcG@Xe#Z@gmh-!iCc>06g9BN_v)zP0C#2o& zeXHbRh`(71mwSWt_q|^TujI&4VVgZaou}7%J+IgE4}350&*%O9z2D!@=llBECBmRVlz={? z%9V~CfKMJSRiW=vjEXPzFmOIrX{{K3zdgzf);D^KFx}k&J`muCXCGPm-57OY9WnR> z#B=eP7`mGubfWLsHjxo8vqk0nNKSiM1 zV|{bRbGOpkdW{Op4Fm6HHTXx;v`nVwy$}h!F%2#A-@PyYmiDH|+U~m9?0Xf=ev;sm zFZ{uQ3*!oDnixhz&XFsgk$rC;_tzJUNGpz@{QMw@o;gsznqjdzfue1PtCPhkNa=LB z8f)wv!sYqs*SW6wr6op!sIASZnl)M`$nHZ$(Y$hfmMP?0_V^cQ&Uiq537Z=#SMYh- zjy~k6?`Uj&A(k`EhR+uR;o-@f#S=`%@h!r1(yG zTjz44yOgU@chSAG+Oe3T=S_)nH`N#nS)UP;dpYF2ctz`m@cvU*+EFPxVfoInll7>* zoHx4mj9%No6b_1%^L>(qeOP*^-h$Ce#}S|CTUw2;mDal>R;~PLY!9*>ZrJ*`<#|VU z)-6-x7ukE#g8Z{E!4S~3IwSpD|BiHyIqF&Ghh2PMMa-dM9bzQM&$in4fno4Yib*hv zeavg-?X1A#O>ynghNX3-Jbs*U{oND$FX*cRh@Sp5-)j#QHTXdN=Y;vDzR**TvqvDG3uBhO3 zNXHgeHZa1KjaUX&F9{yC(G~x$7QM$ewQiJ*{d&G9d-Y zn){xcZsQkr(6N!gcZL%$V0?^t$ohgXL_xDt7ZgayX*Xs5i{c`ET*`b-qLprSsa0^k zB6XWHJ%x-*ji^lTev>rITb9N9p!ecp^GK_ctP3}Hpgb3F$RJ&9INc6MaWMHBtb?@u zuN(CeUN&N@^61{Cn19+Uz51+g$BA= zB%XsP5Y&CVpNd!0*2gOJM)y!5=Y9>?-BRHH(O9nc4O9m#i5F{&akw4&6Svre9S*LED` zVTn9=ImJB$T3~Ti6hR8zDx~}H4$pQwPnVg7xh@3mrjpK+!^q|C!$RK*yGp8g6#hmG zQ_jBY?O;2vZ{%+)a^Wt{;B0j&hDKaG>J1T~DyK707D%W!B7M64fL!DcMX{|NZ{PYs zsiS0_@zT@<)`Y#Yp9+qPK(p-)3^>z}n#;M$7h?wR7<)P&Q~%I!AS%F$WRf9d_w+Aw zmT-~LjT^G1@aJ%dLd$`Cr}-a`r~5e@>n@QlVu4#v!b`_yV+fL`4o@`ByL~gE@38m7 zbPjRjVael%Z17th;;2m$Gxcd@s;k1MsU~CVBlGbqtb>{Z+KY<^o(H|hq}^nN!fx;g z{LI$!LsknqV3lFxu7g0})6g@h zzr6YTUbL=vl!O?yf}W7S$EI=56D9G1nQINTyQ#;#jj#|eT3s+t)#ll?Wl~Jsu1R0? zI;(zSSH>k<(OIx$&!Z;XbtY?!Nf2a;JHpAUr(IK*0p^d3JH=0CD(3>tCO2ONC8JZM zW3nk5?BZyQ*?YMYAY*u^<|;24h9kM`T;g|WlFx5L`xU`$=F1611z8G!KMkBVM(?7 z4YFJYB}y3s^k zS)TX{N*C+LTxZBO1f{|SpWEZgz1>Mo{&$&l4fUYblyUuO77A&)nB@iX$%Te<6VXWv^ zqO?od?Q^C0*~~{U(2S5NR2`ZjV%?VTSn0=2>p`%|;HqB8Txli_t*#AVJ9iutKixhO zo#Fs$bq0tPOySTXMn-oZEzc4 zU>KNhpZnf>?)%kW^;P}5irUq^ce8tX_0!K4ck>+?mbrd2=y*dcwi;1xK^!G*= z>KyozUj_cJCRj;t?os~p(o1V)m5^aEkj?Kpm4FEn#97*M_cC|Ne*EW_Kn3F+utIFPEF?47)@?&*@mogrHGO)N?NtIGGOL9RHepZ;zz0g}zJu zE8o7u^louKF4vJ&PS3V4S6lN}!N59pcCPF6pTrGPVL6T5fgH|TIZlU0+lIVNRCh#< z7=$|Y#?)Iq)>j8gjgs3BFnC+k>GF9$F7X*PJB35hurWT(c8gf8jf>JkVP*AE?d+Rr z{x?fiH)Crh`o-`6%)^yr@L3BK6igHB$=Ok1QPR5}Yu3FZug0QrR9OG{odurH6+hZP zdh7mdUI+MXc<-P{dSdCshYovFB}v;%wcXM-QzBZgoIcFQ=DyPEhuO*Tfj~;u0~4G~ zAJ43;zh2c450h75YJTx^+Atrto4LxVsu()ql@jRM;|0upFgr-x4kc6fBYn?YG&D3&6&N0hYmdaHvdnHXNWH2 z!8$bqax{RIHOeUKobf*$f0z5obhIr@g2-g~$D1#MHPUvy&{{lu|1$(6t26d3t+#lUD-tL0*ggw3g^OfHFFoqGN+pRW-#I3DZ@Rq)K$`mjJ!gwg-8}UXoCj&>?3%R0gc|cq zo0_!TbCs#LdiBqAOL>F?NneysO0f7Sez_U952+yRs(m0=cIxFvu2>-w`fmR+ddLPZ z@xBsOwle;DM`a$$r*Vp}-1?bfT__cmgbCL`SKd~MoL1J>UR5&N-;3A3uHsj;x6ZP} zVPb44%qOID-#12Se0WP`TqcEY(}Zurj-aGU=AL!d zHy_g_4bw4gNVJ5O8C58oWryumUsX<5?w%8DwBTHK7%GRa&8pwF8eT?-@)^A~e1hLh zC1%oFNxzl&vUO`uz1;Xe{l>z)d1yvl=e=xY!G(WpHmY)ev?b=~nSbJ`b1l{8uF$g| zX6e5dv7@Yia?L&KI8uIDn*Yp6fRNh~+x@nA!3##OxR9-teF+{s$d4M#Tu+SiP#kIM zu)#DGe>>c{Tb#)_bhlqBP7f`U?p`8kDnFpiZERjcf!2E#wM)Bnf#SSNI} zT*U0yYo0SwCOw$~_eK>Ll$qiPeV3cu*;O0HzYq6_eytilr~2_wixXS=+Rw$FpFjLw z*ozVl)#ZLATRA?Vp<46mogC2|D{-biZCv~sWdcI-gF4SR1CegEIWcJBXMv43C$koq zhEl0C$@+p{U;ViyZo=l>Lcy*pvI_S?(4ap;Acg}`d1g{ zR8`pFTVC|!f<1iEV)(iouo-1pr7ljQkH2GME#k|Er3vrrTd)LrXd$$&$e!AOh-@4N z|1y2;xBF{@R#4HWwc7`3PWg)qcDMQ!A{ngKS&r&+$BUr8o5p9_uTgU4PS5b-Su2d8 z-(kB?T5=<;e{5cCI|EmeHR7<%DL$~Bf1~Y(zhHcj_={&gc6a&PKmE5R?2@JdI7wR7 zmdtmXWdgdv!+OJB?QlYE;l4jzChl`tv+y^i|NF{>?NW~dm5XdSKl=YB_J3b*hAbcO zt6IyZUDW@t+Sy8+%zq4vg$3^4C8qvQ3*f%|4(q?%h=sm~ZT;VeCr=;zucjT`&jWD& z`>_50N5iy-nL-f)dhSZXOf*WnOc-vb^~X-Llaipo{@UMSK^F?*U;Hy`RA8G%=|3wA zUV!iHB_zo9s_7w5oSOUP=7y|L4_XZ6e7 zgYyW7WzP?U?Nfh2{NI>5YA{#-0$!(^MxiC!6CN4RP6AhFo-X@(X%lkpaOM)=KHc zCH)HdQq#zcS6-(t8 zd#F~|HQk?zq(um>RM83{q0ImeejGASOKFpk^gby&g5Yg>*$1NVcL?kt{SC-r^a=`j zOf`-V((3!Rm}V*EV~k^Wf6MEBd?~aXhTr=|Gu9Hu&lhRu>_8=v03B1ljBrct zpNZd#t#YsoADAd3V%5EuyDTvMvsSqHsRG}GX_q}zP{`z+euTjEb*Ju}5rJc6i_f$8 z>2Tkr!$dRFJ&MZa@cqTQDVM~W{m)_~8u&(9S#X*ol&Qwth3EG3OX}&3nmQc>N=KyA z>eziS^f^v(h9>;G&{@WR!2jjXDD~((53yI6x)Ql{sCS)(Sv+cMZ;rUYNjU}*(o-{w zk@);r#ddgl-xHnK?!WRE=gv(Q*Bp84OFShi&qN0p?s`Y6yR>qXcky48 zE&Np{P5Wb>H^YdsRC_D7$}WHQ+i2b^$=Z5m`5>*Wuk<#SY_o>^DqS6pe3QCx+zqNk zkLa9JzU!CzLd_Oaz8n(8-d3*e>2`(#iKe`%Pg-}r&XA=hY*lGT#U)HM-O-44>ilaA zrc3@c1}FcF!P+0FaAsWh8_D%;`F58*oQL!9sZA(3HO?uT> zox0CHSNGmY#1LbOAc3^ZG=ARvKI5#7V^%F-Pa1>adV_4jt9=uP3(FzYA{ z*3H~H{T6NaLz_R!Bx-Q6Z^JrX;+LSoov3H!)Jwrar%-Q})5>^aMW`xsc5Z1U>@HB4(dV4ri4vHm)PU`v*~ArEjRby z8a$(2p1O?Q;^dEl$XI|v-#!Z;CU1da~R=ON4I=Khp@b@fs ziTH?M8*i_P_}v^b8;?6!ilaZgsWTq?+97^6C5f9$_khPB2I9y|6|3yJA&33rnNYrYi2Gmv;3{bMV6K|s@qcSpMf1^U zaLj}M)|}8xR9Qf!3IAKuAtxJ6JA7~S9MT`qK`G?8SgKc@ot@n+g7xm#p9P~=U2_Dl z&IPeN365W7-cQ2yj5d)meTc?>}zE!&>eVeZ14L1rWCE z?EiL?u)q)hZy##^8iI}!!DK9@iEI!q-{q{1Pt4Y38 zS6ap4?akd$+^6zL&(Q@t^_h_P#4n6LLp9%`)_1JxYg>#;$@8!CVkWNl$g$i$yJ79+ z&91(1=L=jY56Sj&f7pgOZ5!6=QT>K>vU>KLD1pRiwA)*2p^S2^lk2(qVyh)qW^oSe zsma4kJ$^w+e_qUpIa-M@`h^^`&L*=C9E!PV$N1b`!!OMGB;Bvo*z+5ObK~`3U(gsF$b|t=kD*D>BgPUg6_l<(OVP`D?i&KADi}Ip~kIg{69lC(LRhb znQA!`b91IW3BP{*YH1PctC6@UD)`_o^woy!b`wt^d6VQR!S}2^+ofxsPK10@XSsv{ zxXCyjhweb>TIXiKwLMv4cRP6@+J7$Ry3DkiES`xS_xM-NKxOOEWyjs^jnBm%tG3|) zY{n0Bx|;src!BF-W?jds>Tq-#_mcRp!qS~Kq!I+o%4OfI#V9tLH%4_?5q{8D9~#e1 zUIZEZl<&0+UR$fW1Z zvsK;<|B^D9anFV==OEzn_6SX9=hIu{3Z}yUHk^>5WG{z3u7zG>e2-dkm{BB!RuTWTG|!-0fhz+=tJ%bd(s!?}5jSxR zuN8cdM?i32(Ke`4l3li9)%N@Ia63HT@AMEmW-I7Z`7jm1Yw=u3>3OqrukKKHxa~}N zt#wSJaVxZ{8fGm~h{Y*m0|%uoDnj9Pl2XuMiN>Tvm>-W9VAk;ZmI1-$F7t4BLj)mZ zv;>iR_uS|6WD$)}+_BiGzA~quHKM*wdB-(p^*W@!`H5qJ!C77sw|p*x#0L+cry37o zFH+MteAK>kd*Q2+f5|QJ7f;u#Pzi)ZS6l&hW*+oQcS8&vt2peAp{J9C#5ol`gZ-$V zvp(&krg5w#6C<^`RX&`0B^X=_7_W$qVWz-P;vq~kF_PMJnrm+AbNzXQ)BbrI4%Q9}g8K zakpyUv$7Y@67hramQtEtq1fwUieamxzVF@D{-km!1>bBj8W+z=TCO@QHZH-lhVS}N z_qZsjch@k_c5lKQZ7#f_o0{Z)HtOotNbzMy9sx%(R~$?>>^%1=J6LM2{}7CCHIn9q z+AT2hGryVcrNPbcwz%F*TE4bNAQ_+^nGt8CLw~S0JM~{2KexRQ)g~>CF;`&gX}i#l$KWaSQw7Qzb!8!Y#KksWTXje(`A;7X_Ml z)zs8fdQh+|P$W(cvinvG8d)99PF8>S_#@c$M`NMb`HxOink-eQijK?be3m-BeT|TVHZB`gBUT2 z0lVP#kV$ISv^7pGWG-Wy!o+mh!ZV3(eEL#j&G_vP_LunXIR-OYT5D&h0wl-Q3u!gI zF?5#kmQ7$hLE(s^u8c0qPouh3MWF38q*u6{|kM>T_H z2Io`i*C1B8dT_KHAQEy$n~nDL^h8UbcKTx&VHx5#zzQ>6W`JA^rW2&ayw<$x#HCB) zvx?G1>H|-A-#nuYG{^}%*xXjh4;I08EGjhC$Q4sZ?3F3u`bmS(yA=l$K|QY%61MY7+M4zTp(#f0J?Art z+S=L~&7a)P>)WO2?@JigyUwEpG>lF3zLx*4Y2kot`Yk6{yYCshuwl@v!I1WiHF$n& zlQglw%Xm9iG7J-=f6j}?7VgJ@RIyaQ6Dgnj>;b8gqN0tSZvRgX>teM)lF>>r*HhoJ zUa+6(1#l}zUEDU8H+js()XUa_uZGWsqnT7_FGfOg{i4INaempKQtPX;pHrNEeHXP2j#_XVGl^?xJtrH!n_{=~S-2pzF154YjxdrSZy&-w)uU z#mU^gpD+r0H85ZqrvN4rNVXgeEC4=-Uew}6aPm2^oIa)XCB1f`)>ps}K4c9&7`|dfmzv(8TTt{aOFEjG{GfwbDyJ46cJ}@5P@70W=wx!ZmvqQL-2#?Hdn%QG!r7UjU? zs#M0#Rxrs#L`2oTNP_wmF2~i&Ne$K0ACfJt+xr96%RaBX29m4c(81CeJ^f3{Q%}f? zXmMe)a+YGdTOr)LAz>fbYKD&CwCB)Mr7FZo8tYqsUZnET?sq8ymFBZz%ZZGX-@CyZ z2vTk$(VZt-^I{zX)jAP8+%qk&a5XSl zbMUNXN3`S-MsC97DJ-RU=6MO2x+Z39wC3rdd~>)vGN}L2&WjmO650BVg?X%(X`X}u z`7lY;lb&{&vCC?MK$>H_u&K*c-?;*I*H7t@PVtc*X7yzqC&w973l%uHmGdBWc2M>FMbS3GPRW zjZewA(g1aLb0E&FH^P}BFrSjvdnZe~OkYb|d)y0STqjp&uywN5Wr}N{sjN31HF&n2 z>XZSr079j`>9YMZa>FP#LS_YE;!ZkS(e-9VJIucEu=j|wIlzgbw*#lGDyiwtRBS-5pu13_qB9q8h zkTwux2MFult7Y}1#G55%qq3%bEjU&-9(Jxc8=1Z(vXPW%Hcn29K#|=gV4g2-ZElX9 z{S8F^LgQ9%hS!*j2>H4Ei#ZvHfq>1Guf;`DhOepb0U~qO!Tf*8ty&+_<~$-q&j^@G=*KYu*iesJ6B2PW?Tv{ot@_I47y-TOED< zIy)y6!EXCzI+uLQWs1a-=ownnX@9BKj!Y4Jcj3`~cx|GWHSw-MpJiqn`|h&vd82WT z2UT%|j)IMx=1(rCsN?mJ=zzprrLDXLZ^LD))6RI&)aB||zIPu^HpDQeuCMe=!Q4dMzT45|poS+*59gh+38xE(VkO zPDe^jSY=&wZj6AG)J7%Uxb8>IGt@(Sf}{L&+S`$l{=LyFE|#}{$s)PL(DSqVv;`ws zT;7foR|WGe{I0McM;AA({w!PN`*I;Pi&=(j;DonO=bv@Op@tp@EA1u+2fv#~m@s4h zM&#x~4}($kiVcA#Hfa6q*Z!?1AEl)GGV{&ZluS3f0bJz#RT17{L=Ms}H)K`EK8Ji! zvv_^*q>LrWxPdc8^2qv}ic{t>$)Jv;HQ9U&2>Ehz&_j*8RZ75IvTeB9+qz=XB*n}B zS(=mG{eU+c3Ro!;m`M{x4jya8-#dH`30f&v%fLM#3KDSzr2(vQ-&h^DZNCV%#Hu4 z7BlJltBpqP*^cZ5z#|?9^+Z&H$A+~o*H)Mt^W#nkMk3i`&#kl41mg)l%Vnm6uz*HM z0_IiH#GL2tn?At_J?s!z{${U0HYSLTzhKc{gQZ}>x3eC5`Pi%p;9)(;1t$tC?REHbH!mulBa^E8)ZX0;Qp zH*dQgN%6Pn1*yzt5REYsQ|Su)Ny>w32ISR7 z{|1d$ytZDLp{kb#!Qs?SWX3YxefZxTGUO?NTZ6KDfKgNw{vlCwMaE$TF-}>@=Ffq3 z>){Ow$rC6j9Oh{$|c8;VJxa#=?xW#H}Y79AAm8nm}oti<1pu_p8MpyXZLOpV4%nY$T zT)*Pyqpv@o19=i@FeDJJb+HB?Gv<#Jl?T-Em)E3ceVp7sDLkhYpa1Y7Tt71&4 z!D~Ec-nBXwt0Sw(f^kjv0@e7oyM>Nu2sSW2sQyiP&X>_z*sSh}w8|jcc#mJB|0P zukLFxX6EcL=YdH@w^Q9%YHjlIre%+Z(Dc&|7YB28Kw3{ld&VDJI8HAx3h$W5xIXQF zL$3H^qC}7SM@I6QM%h~F1Rdt%op>FOms`)*Hw2!0&t!LL*yh*Q2I3Nc_9ktRM@uaM zO6SIG>Qy*+lp*m!o#o|v)!TE`R{Jv*s&Lfbcs-i0vUr;3kGb`5*N+FS52{3B(08vO z$VRQWp9Ac9DpPE+r0vaa2OrpZqs2!B@6vCBX~{u-gRr)i}?x; z>%~S3OagJ<4oPPKeKnn5)FM#vVRx9wn6I6UKlM)^3T3;#J)DDaqzg3m&TX7m>a=>- zPS4cpR_^(yd*ija_sh2>@qH_D*bAS4_?c_wNYpoIrCMG2Fjgw<=LiuA#MnhII~K7G z=h1_oM9GAY2-<3{oQ~DlD1%04JViemU`Fj*(BRF z2^~7GguM_qIH#zU-bmV#zKeGcBl|jMc7(4K5fwEEh34exdIYI_JA3$xdx|mBA?c^= zyKpgs^B0tyFgN%!@rw866#4B>S8Q|jZ_6{P9c*vBmlDw^6aY?biQxo`GmmHq=iAZ> zmD1}gsY@SeQM3pg$|mJoc!NAX-df@mYUwm#?UZSinjuKHRz&0(;rmDa&X!s~n42PN zhb9F;>nTNu7U~@H>c4EobBGwr5@h7pS9N%NH$YfkJts&QuT_Xvt*+3P^u!iuz6a)B z*K0|*JX1JNMDVdG(aTbm-L9-Mh}Ib}fNt?j}uNplTPMg>9=70>4+Ruu5i&~Guo zszXYLJzPH>V~{0`p4&oGQ9G3mTPqr1lRt0(M3!ypQ9!Zj`iZyD;)M_b2nJ9#|Muzr z1U;Q}62mf5Q>!!|i2WP5H^=SA69v(;ozqvAHGku;xDpzgDE8$O0LPt$ zuK<`Y59TJy4C(<;uDC!sA-7RId1fFX zJwJf>5rh+x-6UNxAf2cfnL?Qi`a9mqBm6HEPIgcu&5jYSKT;S+-o=8#V^s zFpV*VKH9m5QW)@H%#57WHg*IF!g?j|cuK!?{hUmie5|LZ$1npE0UgLQSIXjfHA$$B z87u+|67pikL`Xe7N%HQhYi=Q(4>WcHF!=V>>Be5lLX8a{AOUe1&2l`EoxRO3^S!yO z(Ue;NAjho^c#7cR6anCQ7U`06AI+put4}pSTScY zj9nlp!uRVGw|_*Klk;)fH9@VN_+=4XcG+)#yh74*r~KxMOM?G8&*Rw7{<8RDg)L?d zhlE)||H_{oe%pK*w36`lxS3tsWFboq>F3==G7){t)8JH}IGlRtxBjXu1C2;3V2a%L z(x|6hYL>W|W$g18mZdZ9^Sj+>;;N7IJgKBZ&bB3sJW_Vh%M%zORtR=gTr3{uL$|7B zZJv~k6t*)JP1KdXuquw88BF?7{vpUvH_+kE@Y~hPM>}Zn zWywc<$_Ocg<%Kenz<&W#R_-0qwK-3s)+Kf^T_8w7LU3lKQapcUO7%SL;ahL59(2dNNZA8Y~wQ){>~;6n{Qzf_e>e} zN!kALBM$&(K7NsFrB4!3mXWpGyisYhYAB_Tq*aiR>${s1#zd-o-@ zN7??!@QT`olOB$=uN5VY4UmYT>>K^6 zjcCccbs%uRy<7lh;Vl3;W|cI6Jpdn)pTIULF;P7|rS@>8U6P*u3qT?@0RJ*`wxjk+ z0Al%+Zqy9AZ3lKe0F|GBf_HO(8Pak&Z+G0Pv%!o#vh-q0m^06 z#P77cSia4i;rSkpv;s|53le#2Xw9esVJxG1Q(*=G`hQ`PL4<9Oi$L}rdp>8<-LZtt zbczU_qy}i=oU*IJMug3xGgwtwEY{uj)Qflqzs@EJm7z~8JM zI;7%*3Tx2g+@fS0p7!dsnHVYdrGBC|dEQi@rEnaPSVf8#g%};Judh2`)}B>@H|f zK~y|#dfODLoxV)OeyTs&L4-Fmp{skY{98O0nsX$GMQ2^SPCz>MxR1}yK7H~Mh}nO5 zr=j{aSdu*g8Q5&7g7Yzbph6r#g+b441g%HbpBsSJe;9!r_P=J`&XXD!I#?THFk zf&Y~sJ{L9nIpV(>;Q#0&*GODIz<45+oA&&s=^FV2O`|~Lp)D(p0Jjn6rMuc4EWGmq zS<;ei+jv`g(<)NYVV8Z{TPx`T#rAreB*PP-bR@ z9%N?B7&xCqj` z8LK2c*a)OKYl!O@xla{9{F?l3y+w*A3RHar@1>x9lF!$zcY6ff^YPhLQcU*4led{cGsphCehtw*V#)=DR^6*0a@wlYFZ_S75X38n*DY0TD8^`G&_1k{? z^ss6uX~DtbrF$1j%?f5@J;UU;++5;ua9#O3Wa;xuwx+x5r$M!LGbk_UjY)-Pt@|H$ zFt-)I-9oh!GU(~?q+sjqwrf7pm?O+dZ6S4jXLj$duKnXKozOJ9lkRc0RCV!+$Ugct zW^uDh5Oz{8r_wrDzvoIqk%h$5j#G(G7Hlm30mT1WvL9UM=KM!Fl!DWX1pZC_0hG%T75p)F~fEk$N)A$DTnsb_mHX+3IyhmIo~(d|nAUT6G zPPF5S4x$l5iTUZzJe&}Wx;egYf=*=of^8-+c69BmOtz8y{6<-v zY2L^8xZZ1aYtg-AA5;XL=;G>=#}g5I^xB8Qmq|>!BqO|QRhd$39*SJIIhK1@Vz;5h z7=I=qOo;W!DI+={A3!15r|V`G=vwlR!M8V8xnPvFj;RosBHd65`V+5=hbE@t;sw<1 zO#|`G+f`>c7kut3rv-HlDrk2Rw<$mI*K1kFS~SY%Fq8;$GsuHL*>%=?V*O*K{4|9xq6o znQP2kkLqKa1a{d_W)IGL#;=h{LkqRO)r86EtzUbl51ak2&)AEq&d@9VNo1rMBO|`Y zC$N%s!@0VTc9p4#s>>O*J`>D2KUbXhlskj|Fcc4io#QGe$kKUAo^%M;#{@&L6w!I`gUE$c-~g^a?63Tn8d<^d{4wNT~Ls-|o`PvYt;}!}=Y) zkhr&M`}VM@oZ-c%61xg_y;Q4B4{a#`litBxUn;U;V0>#DoPs;ldc7($lSK6;G9j>{ z!Doy67|#i`GCm}9>~zszQ&AA13SQquLckW2b^rqXJhSC$PRh_vf42k+214$EURb?8 zvIkW6KfL$!%~%x+M>`Rccz{hM@$dlr5!?h;RfecQCPKo;jorgXS_qkO6eMtJ;3@Gb?d$EDnP zat;ogr^;Qh|0%ACyN-p$Yl3&-?q++FMTljeV*%^YOh8d5At4D03IcWty!XrM6Gg6o zsmXq+CJeqh0Zt`(950s{-<@<3)GXH8=>QUDox=hMpi01TRXO9x>!gGH}Fdlt@*q(h5df>G&-AC&FVS)v;;!@BOkLM#DNLzChsU&k5wq zK%qpe$s3P$=4Q9C*D6)NF0HDb4Q{QF;Q zWNp*oa>N)j%V8}Hm(Ti=NJS)9n=iPh08w0$1M{QAZav+&rtoR=ww`?Rn%6*^88lKe zlRF+8%V#wh9$qq4c~DiQMm`IeG*gVAXk5GKW7l{O4Lr9Hnvi{nhr}6TMKb=DFR|2 z#nEKKn!$;0^q2@1zYiaj0*7aGhRxM!fh>-}Xccfn>{6|Hi_>lAT+lG$y0TI1J>!gI zGn-~R*(Vsb!{*Wu-yQ`Yki{^E)l8ERJZm`RNmru9(6bB#Grb!lQDB!>SkITmp1mVN z>1Go!-<5qQL^)!Wbh)ss0l{-uPmj~AsRCkE+DPuSsG$jn|YR-mQLj;w2X zW^;YtH(Wi0!y_K5AxTu}NKS|Ad%cweD5dGz&2GCcIi$m@#IVhJc$Gn&&BQja)XzY? zK785KxkbDD52!w_BTT=FmO8H~gde(wSmvjbX7~2opHvXU?la}V4fYDYeKuMbzrQ%A z)J0+3ObOE=5SgY6-~ef?T}xGbOXR{BOGzG;asUZSVzk(|KAkqCtd~8HtetE(cy=UR zlz8u0T0R+imH+-@$ZABqRA{xbaCY`=Sn+@z;M+{1nd$<9w$-DB`siq^y|ElQ;JgT+ zRxUKUTD1+lq{XWMWJ(~5uI+Z35=+b0arXC`Q~PZ%U*zwnrJ_**T&&K%P=O=Bq0F}^ z(Jr$Vc3KwGKbw(&=@g`UU3}TSPH;CSR|6wCcH1YQOx$IB@XqyxJ}vO2Ep# zN~tncHLnG+5}(ID6*EWwkt?6yT`_%UCv1HD8(9)FxZ1(1{-_ZbKg2h!h{FlIB@k)0 zUqE-Ywo{JjiKn*vwDJCnUQg}JZ%7lJ=V~BGs72snH_Awag37R>m=g)FMG}bc-a%fQ z_<5mY<8_hmf#}I(uoW%tT-X-||+1TNuNl59h zecnjQF!MO+VFY024mrsEpSV^_GCm~zT?vIHUU;;VADUTi&VE!YC&m9sX=a%TjCtC1 zap82m8yuA7a7wq=6Lw6@|HZx7YUsC5!=^7@6lLp}2Auv$(JaYtpOE)Qt3JEjc!Pf6 z)KkiXLC@#7^(9iR-H)uXzV;%p__GL@Nj(Lry{Db`h!@hi?+4QTuHLD>(>`lp$G(a> z>gT^Ay!fz5$r!vb`%?7ct?1wjR-LQ2JHQ_FDS3@5wV3A#u<^i0=*#37$r<_8xK01? z&_ zSWPzAcC%KH1fd3T9qUwbe!3j=5M8Vr7BcyIt!lDR?^Iv`2%o_I*Gc^#nXxB5J9{|% zYsRUWm7(Hf>1-UHUEI{ z0T!{}h~RW5E^iGW^Ya(3jE&G3bCiVINWuY-s00X4Z%|Zil}pT}K(eDsV4DS6wD z?fGK&`2@xrE&1old^GAxn?Ux%MB8L5ND0Ugt07~awqSs!KS?-C@o98_-OzGZ@3=5u zV#VD?tjD`Wj$Vu7M%W3Fe=1n(XyOUHij|B{^S{&ZdEys@6ezTiZ2O3z9|R`fS8#~S z&r_rGq+W`OPI(I7C;?9mDlF+o757HFD)5mRf z20_6*z3PW&w3pI0oY_B0W-D3BWa3ZCaRU7-RxQqxJq7R0&$(pEF=n=w9!?ga8`z0* zZ3V&<+1Q5?x={54S9j3GG{Z{abpe}k>D-o%#wXa_x_;#VK<#o)9I9l4VTD^1~h);KXlHcc<8D}26_V%hnp-93@ zGQi-4SB#=Jk>V>!mrd7mIwk^yGoFWA@b;xDXIQz@o}3(fGhG-o@22DdHKHr=h*vIk z7qBy*F28sPn<$vnt}qtYAC`=pI4FJ!)>vvH;lWeMkkrvHo41J@7{#qrH?X&V=1Yo6 zPeABQ4{Q&Y=R-41+S{h~Q_hCwf)cX*=u-H6qGEzsXh?s0fEeZ1J~;Y52@ooF)ImTb z4niD$o_^(fv0v9+$0k9kpZvIKJRByyXTY5U`*8_m1OfUY=H`BL*Yn!`i;(yTC->g1 z3#as3;jisumOyS_P6E4*-_=?$cz{*7Ml0TR3VlQpg!=QhbtTN zNxo@wyEZH;Dgv@vO?zm7jR!Hu5>nw>;SAqEw-I?aDvdiSM90nGO#AUb%YuaPO|m3i z8@h@q$UcbU-%$k7}d?W~#@rl~+!mA9H&%7+XD0wEBs(Ozc_WNi}IPP_=1 zf1A#oHu8POv1jF40|+*h(gU$af7s!?93O=T)iY+&yNz14Ggb}<04ut$Q{_x{F%X`& z2RCUp?(oS$_GLrHSFIe4^28WQ+xb=6Y1{aB>MoO%+eI%!Ma@JEHD*4aQxrF$13A=J z_0H#X)+?IpfZT(rVlAJ$D3hNn_P>E)XZgJ$IB+BGN@CY}n zPx;4%>uAZ-T{mB6g zK}!yG=rC}qs0Xy<09Q*=>)d?SGs=}YtLe@;h`0Q=VQH`IOVGXK}H2rMj+m?v}R zP2G#Ta?UqbJplxiCphI40rDRpWRKqA5=pdRzkI)X$Q8SGfJ^92h1C-5K{)yidcP(3 ze}?(to%jFaT&*3J_hN&yM(eNdPg;K5;RZbVR^KfDvzksGu=4+5>nj7I+Pbg@3l$L% z5Rnw5W2C#grJGT@k?sF#bAx`yuWaJ~1w@B4k<`7yv@&diRr_g;Ig z=Xv%4;k*PtGCd$*@u8CwxxCX0lrCpxa7!Eh7=#}B{~@5vuc(HrA$dLJhrXo+HMpKx zh9e<~S=AlGX;eU+T)B!X)0W>-7x04$I&2*Znz$ECaz1Ul#h za_|QPe<(i0nZhC>0a!{b*V*Pt0tX6|zGekT#@81wKu&tpw}F4?KE%I;KQ~5E2i--5 zFg?|I{_P8@cKdiD`tb7>=Sp#L~9R*oP_?`3^?Idk{}sDkB$1kS4g21+bTjxDRS z5BWTQp3TY4pxnD1eN8|>rw|bZg@P6K4g^q9b%g^>*^?<0_WUooY8M%|6>0odrw-A5 zScJs}1_q!T)mVwBi|ajwOmPVb)C!ihK&A&=yFpT-yJWc`l!p88(8A)A7SI$MJ9PwJ zS*mXv+Rr2QNm$Q`JULI0{88K432Tfx7XBuQki7@67^t|6!UbOYlsLh4s-Z2aSL-up zFZYJLv)928ChOx5DGr9WTxR6F%)zl*hfOjWdpl=~zbWRE`NYf}{LYlWwQ~J!KR|_u z+C5E!V)P2Oa)R_id5K1e{Zh>Lw&p)3S{e@1wcB`>}qNu1C84>Y2kgK$`l=E`N>8~mJCmU1lu z#q=%>hh(0p{-_@9#Y$_rJWh$J+AnYH#5rsXs95n{FAo{zq#F)sb5@J_Aye=QY_1#j zrm(wAUOSE-$n;CQ8Bb>M_&FuHj3(d2WLY9;FQwhou>W+7k~Y9?>H=WCWgL?2Ou(E) zHS+0c8!`*Czl4WJLQQ&s`F8&CVmynbM-t%{!;ocKwu)|t@9-0#7WLn+uLDNE^uZ|Q zS=u@%%y@qr>ZR`ou%4rHIRz5M9l{!OC>^-ld#=#cc~9k^X};lO3ka5nm<2j7O8oEC_nx+kS+a|$@3z?aeW{y|7Hy* zi~DSNCjbp~+kFNk-#U(|Xf$kdx{Q6pSp_%v{t}PFP`D|+xHtRQt3^oto!-Bd^z{ZD zRqvR`j~@du3j2;w0%tf7YjkdX3VU*&L_|oVndVGwo46b#QNQPO;blqcC>@pR#v#iq z9g)zC^6RvL?9xxrpc!${Z$8>sUWsI4QvWh*IR)T|5`e9i?c>K64lDrG$0TW`(Q=Gm zY}o)+U};R|=@A`+abq_`mV1}y_A=9VO?j&;$B3oRpGlcU;XCeIq~tn_7CWRgy+O9S zWEQt}i$L^JTzlR$hj38E*Z5?0(?wY1bpA55ixX z6W_*ZhfkVqocaBpHD*9%GC?l**yJE)~)SP6QTP>iVwrsdjyzv z<5NXA4npflQ_I#SOZ0f@+ARBlsEs5l$pooRsEUdxzbakIqts2Z-?5U$Y5~0KawRV{ zV|r?3rjqj%bO$BlCMlwANiOjXfZYBCRy*9w5(}pX^U!gDVBoeYG~l&R`Yy6W&yl&g zrc8c5)6}VSOjKe2r)}IA`$qM7qKy3fdU?lF&BG=u$nDwh`(e&lyHMQ$#besY3eO-+ zLJWTBc0LQ)T*>O~9Voll?YUkvdvdmUFe_DNQcRIGfX|X6REJk5TYr|du9ML8g}f)8 z(IKh@lK)pzWqI6OCHHginEM9Jy!*56@J~|yKn6pqzj%Y?puBWOb)SJ)20#TXcU4h| zQDcy{f`=s7i?qscf9)kQWC|h>6RX34EVCSx%c5T70nw~N+O`CQ;0Dm>!Waz^z|E+s6BSYIpCAkKsiO0PFd15?a2B z4aez}QuflM{}qFfefuKVD^$+>YDCg3Cr-a8C3YkhEx@CouSMxKTj(x_w^1+tl<|m4 zjs9s?lCVY-SBgliswC+JJl>IlGBM}N475>nlEar$HL72sqmyApbk2FC^Qxoax;3hZ z?L_Ciy(jA4%v2$c1Z!Gz%eCLivS*YTt&e{q`S>+n?^88c;e9ZIA8$`r%-qctZNDmZ z1?+F8!c2@Kq}W(Gww$t1Dw}$`JAEKqj?Le!83n9eA4T!U0Ez1f*uN|SE@i5)ghW@K za=x+sM3J^zBnI2;mtS&xH|1Imf}+n#=yEpv4EpsWRzamR#*mc3+;plfp>LqR<6qt%szfsVn<2K5YS;y1#8KWh>wNWp>reOidvQ@Vn7BqWL7I zIB2tGb0A>n^75!e>yM?G90rQgNlwlspi|!z-6WPrAt!-0rC4i8YBhFCfSsw(YgU`A zr)e)(E>$6!JL*j=c-%UVqzE+;TsfO;k=IFz&huIRS~okpJ?@DCv(n1kT(93;Q5~%x zLyekhbnk9&lm@ymDexfHEZ_pUY-&lj#=Ro|*>|AMzEQzsxbvp+Z}~7^s@uo{weZXY z7PE06pJg^t6xFy}ITL*SBfn_r32xJ~cVxhP^kVD_XYq9++wZ`_(!%25tm_@Vwptv- z5sEZ<_-U{#D&mdN_RfIA(E7NACh3!1%=@NvVtkD3S(ZxX`K)B{0E@$N`{(M~*f~n@ z#G-iFD-8ri`h#x8p0?eZJnjUY)q0R7qGVtTmZRk{>}Dm(ulbYxxU-TER<_g^av30z z7GJkwTSfN~4%j7h>Fql#Dx8!Rg{Uu<8#%}np@fxZ*^oHk=n25jvVw^!Oa|WN`61*| z&O>mTF@>JZa4G&UVn(*&nkUJ08vt8Ik|FGjfI%~w&Fo3&U3N+Wz`T(E9&Kp=p zdb(k6T$;I!g!ayp3v%DR@sA_#bd)Pkl&eg?NKhd3egLERVh1eWf?IKcx z=}vB@T2CX`JYob+Vrke8*}>_V&~}_VHX^s2wl(hWr5fmqb4RR7=)~c1ny>Z@NY7r4 zfNgc+5Wj<`>MAYMdT$Vm283l-CX^G!$3~{ZmR5uIIu|6cK8(?3G3fqKWV>vs{i) zmiANp&vXM;f=}PcYRT^jL!W7{gZX}WfH{rGgWm36hRWof0C2+ro%(dh`Z&-kHwYBP z96^;)-Q9#}rnNX0i{ztLEQSZQq*ogJPCUOmgNBNzQ))J|yXEKTHOjIN5>zI7A@#bW z4H=Y}Lq>niQ(jL6_=XWs3y#RHsH9mYJ}9a_`OuKLB&s`a&bzCDYfQhMCTAZ$=`pjn z#Y=70tY~#f7eZRT?z@6xlGEyqFY7P{N3j9fr!{|@MT}stBEi3d5akGzcmU?#pTHtz zZYSt`NSXH8o>%V5w^s00cqeW+QJxE4sMew(4AihNz7|T~7B6b%C_4Ijl zp{(1|{y6Jwz)9D%OM;EEeQm0If2DxWUTznAA z0OaP=VyP-AHB!D*Bm zoN{!1#M~gh5hx$CH5fN%zsY0&?fN9w!?dIYSS?3w8UfN2?ovDcAi8rfM4tOnTI#ZX zN$PTEDe?S8|Alh_b;t7y?jc~Q1!4>eVTQ>GqskZA^hMxda54O*DTI_r8y3U-I{WUu_qg30v|z zU5u8aK$nvp&d7i4x&V?DsvAt-#efCqat9-kXW1b6i0$=DONd2Vf9vNt`t;*C-qWV* zKPnVn;)XbPC;ZK(i${9wz^>w9((W4Vf;RVM@SAeB56E`d%U$?`+~?Z@PbXdHx$Voj z$3kD#pH@=`dp+4d9Eb}*T;l=a2s;>coX#&a%$Yvvda~{x{KT7?D{$S9f z6+)`}*nFw*Pj?WH9uVna9OMFEDVyyV)JPkgUAs=90!=_-_?p}|bSJ$ep(A&+-Ad5d zwXd_w z1kLk@f~)#dZ=V_yaFV9B1#$t!uB!01P8;;LJH-H`#)z6V|LBSE=PacW0x<&;kH%R} zA0QDK+#lk5K@!sYeR2WVnHrl-ou_AiaYkwA;Qy&`{v2GLE=XIpe1 z=V9F{vi1`@QDL>R*x{Cej`!y3M4o`Wvj34vdrI|&RTDNOH^uI=*zoZgKY;%f1|(Ja z$AqmmNFIml15qG1HD5L40}3rGP&lag_`@%`95tW-PoLb2inKmzNLvAyk)Yqwqdj;w zfye*8nTDtL0FxRB?SQ^|H>#=uQ#A;rOwdq>c^$2y-#X>T-+J-{{n>%!ebYhjHQI;^ zKGiD#!YTjrpZ$0Lqnql@asOokQQWGe5Z%(I&XxiDX!a{$Y9x7l%jR-y%xfH8^gKoH z$8AGi-r&jW57j^4`2$};`sEUc`fhCCJ-!d*EHx(*VVM*o6AsLH=0p@%nrYLK)sV~K z3Dk{5+`ZUA%`@8lZd>+xJc+ZYK#tA&1MjKc%BBbtC=Ap5|~t! zi*L1LB>4`UR9&qf~zou`N0 zcuk793s*#U1S7i@$hBjemtl@Gg>k2{qE)}if!Y14Vi&0Vtq%}x4REWx{UabyH2gNGmh-jzf zjTiMmS&FZ-UFj{WGYc5&7*8`weX1bB9EnXVL4y4?_(*hY;h$+NSrw6$-;nSu2CM{K zo^6i`>PN*W{31JUDlmt z(a{RhgsoO*$pljwJeuHI?4}(OqbMuFfKt18+v7@K9p{kP|dVD%qYqiiv2)Qe>oM;~|UWYNY4Tm`+^nPtC zgm9`m?_Gb6`UaVK2?C*u-^(Wp7qwK4Mr983SvA3%D<+ioVloxK!HfHM`KHc4t+7Ob zt;S9dr>CoqbDa#@$#$)bKN2UhlpXy*+BBMH#(_pZmy4(7RFS&ciJ~ktyUAjd$TL1# z*s0#BH;omKwyCZdidVG0vY~{`=XG@A4-&E|iftTw$>Bpr`7{kw7`B^4T1Uc>;<#ElbtQt+^@A_%EgIF}BEvkw<_N$l zu=Bb#W)vx|V1Jb`7Pv{JR)Yq8EvMqnFNYshmSw^DK+l1%jqF`ud8YZ8n!P`Wf% zO)Xw}-Ac_xB{u+L^EK+Yq zUo{Xpc2Bp53q3l?wdHDec& zFEw?M$dNhz)M+#tdM%s}hj_&Hua$JbSf-V(g)eSgbbd>5avn>~sb)>Ac~4N{8uYv5 zI{GMXAMwWa@3P)C%-F4N;OeL?46`ktx=qCqM${B6C)Jz>+r8_N-YixbgLRm7Rfup< zXoY&6X2o*~W+S_$Uu57N)r>t;Tz79{%$jX7PsZ=RF$F}Jv$?0Q^SgGl$qNicPW!s6 zHMxdou7|1&?f1CNARf2vVu?ZA$U%haj=P!&+lG_L3KF^Ymn3ViOO^>euFE)slzBRE zPQp4rRN$vN%0!(O0^6>skY(Mr1QGa_bK=`BpLC+_*aii@goI=QHeGmcxP_-iJoVx4 z2^%j~S4CM8B1Ma?66_h3LP0G8S# ztS^ZpE!&;x(M3Gr_C$_FlPEG%0dgx^Ds;EFtDMnRH9Lz!VWiWyF84EuW{_br==rVS zIOKBnus))63&>hU=BY-SPW+ILP|8nGs;afCl+ODgMF)#yKm`}di>09SxC<228?}iQ zavfXD8Y%_xoBBSM#`wM8y`t8;F~Wrw^mShHW^7#b_Ju5XFgdz; z6c#MC*nzAAsn?C%XG@sj;Ed zVD6q_n@}@RQGUgn6qdh+oR!*lbg_j7>2CJ+Cu9qZ{$i=>*Up1+3;LwxZomIr3V;;_9n#eYUL$DMBoQ#KEj^ z|L0soIWmF;@7sQ}D7+$pjDG4X$#@~Ha}gPp{OiH5!vO9Feaiy@hkFFyQGmgYcd|9r zL;k?xBYb>^wPBgAW%oPA0sYBvn=Tq2Kb_z7x>LW z3PCOkTG}St&^0R}_PW(i5+gxVvkFtr>xpJ_lwmKWWmfYZ!4Ot2-7u^!i#(1rsU_LQ zYd69c&Wf*`XOKf&c*q?TyNX8&JW#2a!uo24Xf*=E43Rb>*Qb2(wwnf)4XO*=y36DJ zh45p(bt(^8xtfSGQHm^*gs&nvsdDUz;Ha zgJX~VcCB7)m1uKDPMf^6e6R(qH=<&z4crr_=nlb%r#5sPfFF<>P+D{y|3fc&Y;?)!7Lh_lc$;s=FP zOgx(Sya6)Ck-Mh{=BBc=%FS7CF*u|%SnD?r11!p>Zq%L;*q(Mfn?E|PFNTGWPt~Sv zrQ@(PWK7YuvGR2m=4dLsyUB<@9)K&Xs~wrSN+EmNZTb25WtSH^nE8A~<8|9jwjCQ6 z>+m=~V}tIf(Vv!lB#MIzY^gRHjc3-|Yl1Hv!Zyp;?sO7KPD>675cNVtybf!p8)i>l z-!+UX9ZB~|(HCk*V7rcxR;0fTnj763rHaX{D~b`-p|cMO*SQpkFdfY$y?cGp*D>8g z=DUnozCI%9bN=FFR&_jCbq05-amP!lXQ1E8J8#!6GIz4*-mD7jruTOToN&RvJW*m~ zBR|$VYsV%Jcjnvr(V;XS&(YfaX{%x>oGqmc=3U<7{%xpx-pJTOww8?)U76BN`e{Yc z71uYL%i~&&ot5rkXVtx_*(5?pp~d-huM5cpwhw74M^!?XC)Vh-_YYrLG$-*!fWU@e?!|IC!n6I7x~Ii4(ndm4jKgHLHd5u4jl5UNCq4t(6v+A z$Um#-rkFPx$TPBZ9PGzpAqpQDhEtRPpH=q89JtUPl4~>R(o`fal5jdSR@r7x- zZ-tN5=&9h>Z2ca`L>qJ39H&WuD9B&^9>)7lcD(0&RPEYcwLQ7qLuv(9zYCn~`%08j z<};dGe}qUuOH^mF?sWAMrptzcMonaI53KWCfp;28g@)>zQ~7uE zoE>!2NL^Jn7JDw=Je=nJ;Usd_gmP>BV#r0#5!-ovcbD=_14TPtvh$seC8|n2Av#6-;+-Z zjFg{u>%Syls$(V-hYvS&x(6+A$}KJ#n#I)1<|BGLBu{Mu%KR<-Mv7ls z`b-L)!piku;Nvn8_QoYA7r#Gq;Cm%?}cofsbY)@F}E9RAhvWXW<^${tNVEo zr)s2-iG3p{K8>w%(JMFAq1djkl@F z(7NsG(y{VuC=LO{)qdoXf)7GjnOm*wJf0G}qJc)ubK!8J0gotCy=mC>1E1ciGgU@U zwzL%CT;80nu2FQTW^`CZz8%a{>VLvDQ6l@iFKbM<%qj{8d!_@5TlKQIy1u+s>*6Xm zqbY?GA!RA*F_gO?cQ?G(ov6vOIq4Yg;C~+l!MZ>927NPKP3?5?ySoe{p55fnsv%GK zq_ldD$>uWhle6o^@7?QG+qR2c9c=|c03bo=yPb<+H(lWXpIpy;L-K7o+&^?F?U|?L z#XI`^@#yj-o`DTWL@hqj&H4guimX(hA+@HuyyJNh^0{K!FWViYGIjIlSnu{M&r@>* z3eL-*T!wU_n$tq88V_qU!JZqWD=_EnnEr*OH$vR>ln8s8DOzUoa3jjMVmBjWhR?=n zqu}aHI$EeRw61L99Lt1G)9lWlIqJCv`PHXi4eF1Fc8#Qj&brc$H5KM-9g^8IZ}S3j zf8mBXJ|d>Y{yKR)P-&~+6ePpi5D?;N_*w6DpZ0~~X_hle7 z$3({>Wajg&D^q1x42OTq!S0e`vIvWds3Rgl2yT=O}_GFv|SQ0hvOnFCp7a2XX+ufh$MsUUw zP~FyHL#9ufQ~LV0wLCJXtb+5@1Z2oj1|n>S;?1 zbqPvvI#ynSjS|WsN%X9BCM(OHvo{-DetaHV`wI@^mM-pV&bGg*T_|k(lV}6**l%Uz zJH3!0e9S-1uC>`DtZom!L`uDtQkW|^Y6@+#ZrLWnwz~bi@Ll~zm?Mn)Uob=8^|gsS<&4t{Zpk1mRjmOeZR)#*{Q6PjEK{>D2Q{LrFj2HlM}}7hK= zyAZxo1M}mxa=7UOG<+<^rb8V2Rm+BrIglp=x8+*~`?Y z$<-B|Y)jgk`tQy=?L+xIm@fxCiP$-vtQwA+PIqp16B{}lnwZ>@%Qlz*kJY~!APNA2 z@oVB_)7HmAbsmy@6Rd~BM%m0w1px-*t)O&0C*xKqGE?{T`_UxE!)5Z2{2@**mA+k5>@w(bAg%V{)|>dnES6^=3uQ|v^c{m?F3 zsN2Rk1<+vfYerSYfmGb8E7uoWB{IvF?u&@J6!e+dvkmPDZBON2vJ))%&QmNFR#1Ux zqUpvw`>-YL@3KYOX9|Fs%qyO?L*yxHMeXL^o&;s;UO0A%L?|UWFHb~XwAI1U;BEE7 zerKx-wvxSL!?!0gNA1r@R8ju5hd<$ORX$3=vE`W$-jH{)IO5m3=roOr--@cdTE3wQ z_^NWg@g4z!@&aNDl=t!Cr^x3542;TYACL%A#0B^V+lUqZeRs<@RnBVP|M%VRq(R=k z+o;5^7NZFb|Bby{-lqWmxjel82SoW-VE&)~Edt2ZKk1$OJAckjL+5P=c=GmJN+l04 zo){7RlVrG0RJyg6n@^R#6Z-DY0s`eEoV#zeJr{oAVdk3T{`AHFldibbx&LN<_GS>w zUxZJ2c}J*u(dD84BPW<2?tLnQxLOS~ZO$45eAd6$0s{~-$cDiJD$rR0Q8EC$8i*Zq zd~6r~99Mab{hu@p2y|@?bz6mpXUsu?1HW1^=nCZ^g7TUnn6@ZGu`PU0l;QzH`&^*Ns%ac@`FBT>PUy zMlk0T9{NrG$vWMaGS$?E^8qI(XRX@yt*^bb`LuD#GU>fo)|xK>F?B$`bMs-l8@t4i zbo;VVc*n()VssgHxp)$0+f@sVcv3M}X_44z4+F?#L416~_c@!tuOwTJOnV^rv-_l^ znQHwAkyIMHjWIZD2F|LePH!ruWlg+ccv;IIOR4V#uxz59_OcsWM|}?~%SKA4{O2=3 zUJNACo4%BpB&_TJ@5djtR#+Y-5p?Vu&~PDC(36tDx;hyU(j8%Qjpd{AGoF__4?^Ic z;&4WB%$|hLraQl-s%nvnJb>JE{uw^mGBKjphDP!$w*U>|y!8veuJNj^FnPj4l-ae;-ePSl8~J#IETYd<`W z3n7T5-=FbSY1C$LlByfXrs#&b1@QFbceDlC*&@8<2}Gum2!0KdFFR?;zfHFc_aUtM z{QUl08!!Hu+sAb#tnLPDjsp%fElZk!s|3W{+9p2aW*@-z4YxL6dQkv@JJ&(clwFi7 zdXQ;%qo5wpGr~6j^FH=F#UCv9fj=62UKgL5%MG!oN&AViqkyE5*Hit1e_IS%b8FQg z(~~hJWn8llD_-Spzpn%q(sTa&2YnoOcsKKG!)s@>Mi`&xJ`|~rvh=iU^dtlP!|@fL z7f)KEP3^;yJnWYE?(RE~e=&r>jpvSJOXaVIe$cy@7wwJb%i!Z=x7VvPo{=zP;&9 zmVpr&Hj+@-0Li|~;TxM4hhIP!(B%5G#w=Fgi^U+b^cWl?)6P8hAM@5rl*~aKE_8}y zZl|O;9kpLL_@#yFDqc!#^2FF4wPagDPMByW+IMCjP6B+4&+z zxQ)L67YaWfhf;^{*YyJ*wl`jl_C4=YAdD-`eV$1(NBuHx(H~#Ru|G+jYBn-~GdW5^ zz~@%ZL0K|^Uv7*oXq5C z92&+G`sa0^asaQ60=JUS@`mfUG8DF9-vC?Hv2^C%LSF*TkFvI7PwkK6m0VYS#d84; zy>efnp2{nSSlm50xORnMS+nCtl^>S;-30hp}%E@l(^nTr0M@F4oL5349>U-l&7`9wx}O$X`7^WobAP zTG(@52P8S+9J8?v>(e1#ul0UJ!fu9-^Wd;(2iM;v+Rlx6!4PG8-S~rMSHA9yT_NXi~dWKS1p)8-rBT zKMIpREYk53&@ZC4L28Bq7*tUymrXJXilZcIVGgIruHV2(y??F;_xr%|W7=}TI!Ywt z#6)xzc^^MChiwdOY=hVp#JiMdpuDJiPfiJ2-|+x0efrOXPv1a&Ra)PH2-^F53q;WV zYuWz@BL?+p>D<|bM-1g5(A8VM7#bFOR(SXl`V0K)QSmG56M4y63!0PPr~BaKo@AwV z@)x&3p+V8;EVk{^b!tZtqgz0H!!qbCSi$2L0J#o%^B5h8uL9wrq(8geAfRh2FREF z3Umw*EkqTfw;WQ)3G^bz!svB?PMtH94sk8;SSe>nFhS@PTvzQjw zrvFfcTeV~DWWPG&jsOvi>qvW}tS{MQ>5yqFy<6AoDhK+a&ev~I1Wrz(@ZcPQ0dGFHP)YFYqs^L7$1QEyCpc#li3!r_FJXt4ZDf(n!O)mqNmw39C-e%|D z(c??Hi!qo9Xr2`L@tIYVeGAbhED!w_Z?Ui7*w)%7YL`OxYOi)J zT5hfG>7JUsD|`pm6rg26CrIhu4X|1Q&OOghaj)3oa`F&-Q;8pTYTe_{dEo85^LN2Q3YRhJq7%4ILZF zzO}_&5F1HM+p4{g+T%VRhwt3|$;3>Wd2D}_knV3`whI4glqX(ibi{N5ouVB;cDG02 z5K{FQhGK&W%i|q{2z>ScXSw|P@DlBu8szJBEEIqEtNo;Nu9m~kXmxc}^6=McSK5f8 z;>gOf?h$Q?Nq>=7=O17ifE;6!72}sn;a2Cm?0v#`boG4tn@Lun__llEP(;ASp~bf zt608T?IDWI_TGE%&Y{xy?DN&Ya&~Zy2K}ZGQV_507$L{dU18Np#sHIffEMVW>6mWj zD6>~+oZrTU8gJGuheb0~JUA!>gx?DnFM&L*%D!x~Tciue~Ht7_c1( zF8yXSlviq@C;6PFpro$W!{tF`ch&ZJkW9s)B+=#;1Kv~;o@c|5Sj1(0>0z|$`BuCf zqy!o?O&Fw@Pe?Ms!O0qqVCmansxrB=;M2MD?w?!-cv%9O<|Cj*M~+0C_xVp4J5F$0 z$Q@+m445o`x3A%M2QQ5XYffS}QVv;*BXqpHw}g(O$GF6uHa3}r zE0c@xRqJQC`K;@i*+pFy`p2I#(soe}=Keeg&@MynC*%yVFr7v>4myv04y@S zR`tiGU_O9fdH8Fl&at?&R9sFj`0K`IHoj8C=M?!=3K2+S&U7SZlBhu!8JThQCj%jKb3taq9 zInIGn^l-l{9Hi%d4aj~Q1H4l}9YojFU%mQEn#U(L;g|Dk)^7prl#VyY<*eqXMxYP$ znGXg7NF)3LCavLbW0#EnJ==_U(v8R~3pPpUqV*(oq_G=Qy`{{dEwb@BKc@YQw*Z(M z&3EIevEDz(N08SdLK*bdmfw$(Y$NzSuJre%B>x6!m+6TZe-JI`fbZ6f)8%vE2{lZT zi2Lt1_#zFYV{-QwFg)&1wx~UNm6oM?_4?9VdwS~#WT#`Y9jD>I=;iiw_`~X8?wQnexxI1glkUqE!>8V4F@x3?ct^%FxH9DD3Y@}4 zFM&4acITeFX}!;9RlN@-Kt460mo#1)GODN_9wz}9#N7YA_}3482WSrVxzNFo7>G_q2Q{ZHcdZWOy+a&6q;qvl?KoiZ zL?l@hmnokvZd)EME&`tv#;QCxtoEX|g{KUvRri$;%|H0Zp?!M@Q0{-O#pDO7@cv|) zz&!TkhqcGjvUiPh0PO}5*gY|SdEQ=>+*bRI5&4(`55-w?TYkPve$|tfL}~J}sos(6MaTW_<@_YQ zV|5JIExd4>B(&O185AAMi#glaox6D)Z50|l?FzqAntx8|QN2wk26Eg0=gpgZMFX(=NdUR_I&yOPlS1b%@OJbFEUMpAQ;Dal<2NP@^_FXoi@>V7WGQO|Hmo@VLSrlg^z zFV;+`xXQnq<^fK)YTjF<`s{eXR!OBw=);A-_$|Pto@t%=a>rBKl5n(ef`H9#cLKKF zj8}UC6VuHmoN(N7cOKamc}B;5SzxG7A6otU5f#%2dEkBtP%rZ108E-9`9u8*i~wC9 zs~Tq|LdFAH-fdH`CRW_bXLJFiJK)kq_&?U>%LlZ4pk!`l`LdwAdP_}&;^Q`sY?Lw^ z@U=5SOG3Tv+cF{|D8Zp-jmQD5Bpc`U((op&?$W-d)DgT13v=|BMw=wyUYjJic0@MI zA=;{88D%hD8CuV`I@T{TC15+*%C{wI%X&7=U|@f&&XX`>@Pd1| zwQLXx(p2CHK2rBh6G1)nl7U)IeGMh$_n~jd3qQwBweFVuS|$GS#kM}Ce7?6(4&mAF zzp7t{@5kt|dO%|ht?2pmGMQcZWo-Gaao{Pla^ve!xNSgQJ15Nw_}!pehb=*j5mb?l zt`qF`O1E#FWOc`um0(#P-1OADGJoN$`UCq((yfUBM5#9 zR_TI^1`Y@r7pQX{B~XvEZNj zIcV}HFUEa-Vx{s9xv416xOSM@lOJlUmies9Y>af2W={?k$=7GPfG_3T6G$GMxWUux zV!9;;I)g%jP;5f0BF4cn?WIiz8@yF(x)(y@O&n$sCq3t3yAv4gM=DMULSQm7KRYQ7 zQ&uoxv+6Z%bsxp(WXu%G-cde?ud;JIZozQUI_?)Hkq2>R&oo19?b#e3lKp^YCOZU!j` znW2w%c95%feQjD1GrWhX7r#qhYy`ysk}5!G(XecaVK2$Eh?%X4{mM=r#@GcM^d>iM z6;m;`_pZ{U7IFL7HOz7et*3rqOFCugb)6627xsb97T~;}@96`cZd{*7w}meBx~oV= z#CqXdBxD&l5#n8u);Kt^{s8@GgUN{UN#UaoU0qw%lAN{HU4^hEn>d@VZz?4eMli*K zx5Il>aV#Af1BCnG$}>lZO0cx7&QA_mDl7d_Bi((%;ZwRzT4w4LeHlyhBw@L?b;>$2 zI&tC6q1~OI*{Sp(H5FZ5W{fM!e#Qi`4dhi~R^9zm^(;({74^yX6Doxz9eI^jUG^K& z@!+~?k}R>EcQx->(qgZLW#7Wmd1b=c0y!xt*hG1+0vF* zOORy*k)2@igR2A@3s{;sHUFh!KMqpm~*Y=%%8>mWIwT) zUgA6}NC6>sh%3-$ZvMPinzG>_z$j#l*ZeGTz;|=X2%qb{G~e-B`AlEzhyd4>w>g&( z$I`h4M7D0utsUsjkAefDx`RM}qSBk~ym8DD>)!;N2B~!EX@=SR?wmOlDtX1N?tHQ3 zGr$KiU0n>|eA4&WY2HZ0;2X3y2vS22(tD)$-uqqX`|h*%`Sv;Y-0xog zG5q+MtgJcLoMSv=%<=Hp3P2sV;SZ7@FI422_B95H5L}!wh`iTAVXJF)hb{R9UP1`{ z9|%*aYBG(k!x5h2bb>yU-xGj?X|6_YnCqH@6fdWm9cHhKg+rtlQ z!_K##I6cflNnVKrb%{=$q!uA?&^zRTurmg$*J-qYq4kxx@s;Uy@!b+D{3|4pLRYtt zIS-_zqx}cUZ#bm#i2RC+A%+Lv)lm)RIM-1Oe>))kEw{Xnb;z_xQd%_Hk{>rWnY_SY zVO17i56O7bKajR)S{TxGIBMK%a^O#=hb71ewatx2!FAL68M;))1{dGw8TW8}N^!lB z6d?GWA2Gn3u~0_MGxF}e0wnYpd~9_ZiWd&VHp zmTn-Gw(vflo8cuoCaiR(!RFIl9<}$-_$w-RNfVFNM14aOH>g<^w$!doN5#Nr5&nFe zO^{})f&~-GEyGWt+a|?_ie9RmqP3uhe?^uS7jBk%z?O`@_p>8oT+7bZVVBJ^l!Kgu zyKxt{3Z4s^&(9is5M6Z?1}t-;PL-T4p{hf+MLiygRJ3;$ATK-W)Ad6edv8X=NnsZg$l?h7$Mi;p)@w~v(5KzMCy?Q0JY0ny`% zlF6{2D_xAX8+?=%h)TxPLD62oB@JZ#fG(1glbKY@tH{rsHwTL|`}GZti}UiBSd2LZ ziQ_c;vc6TAtR0qEKfdgg8&}!nayu+_&UpmH-bC_I)f{BJqrBDfsi`X~e2vridqwB5 z?eX%8#{$d#eia0B)ykEW2musUdET{u&C6qe6Kln!lhrxgRCT1&ON0(=&=e8#E={ow zb15U{K2bVybO$ehhF{FF?q|>Y&r=G}xvEc5je$0H8T_Y6+>tapT`JbaKDXc}VWC3| zbE4wl%cKd17$c|M$!+1Yr%M6>0Lt>{3D1LVx$Ri5FVKsF;Spq4JD@#s(ph8SOM>5j zqwEH5ef^^U&e+KE*X@hGBk0LT!Lvp?_!}_a^@cy<6-w6NG2=%J9H@V;d879ij8(5H zM2bGTZwF{QaY6@)qEpDs#=rL(LstTxF~^&2m;G6f-2#o3Zd$VGCbyOJ@?|%W#w$CS zzzVd_Z6F^7sH&^VAZEBYBc?^lGHgU#WgHTJu2|GQQB0ifTwTYb!JJ?{+UTiUlvJiW z(Yu?zfw^LJE1kJX7=v6{{9J$VR2ARi59~+Z`RTQTy%mt+Y9l#8opTNo)>`DZ6Di-CYbWb_(A^TZ}}q zlfrL6zCuO6yd_M%sJvXYz`Q`GXE0mA#JG{QE`-wvG}(T$ul6VC!~4g8E4y)|tU>W9 zqkjhV{VP=}O)9*^@WtSmcHc!Qn?3v0pI&A7?!P&RWGZkeiVzxJ(RcYiv~P(&Jg&iV zTIu(T^~MzgAD^B9nfk;rgu_5$Mg{bXpDUzV!rA)cL*bkh?>#KlL^))AJ3hp{_JH3ea`F@~+UIR&Awc3^8##Y@y4raX| zdhE*F1DA9Vw49!5NjEZ~BjlfMA>Gq|OqXT|-tS14u4VB$j7*Bs{#4KhK%i|hlBR?z1h*b)oS2wsWj@wl*1um}O(0||1-r3C?eE-m88{!lZe zHhfm*Fdh=s{*Qt&#vRt%SQgXSeIu9_j?NL0FMNa)I~iXF2al;g!Thyp%=adZpO}3) zhgaAcnIElEf=7Pf(TTnfYq4_0XL`t?KFb?F0zrxEW(GhZpMmJKYFsgSxiXk74$UNv&CP9avB zeMA^;qD;JE(V3@I?FUoKK71IGnipr*?cqBkkTI6ZZ{{Yub&cg znLVp^g{*DYb#YIlb;+}Y_=W$IioIpntIrv<`DXuT?3!q`_9Y9`&AzlNn~NuRXeQ%t z0FMu*|L@|_j|^bGl;OX(5J_a!yz$`ojCmU{znHamJ-OdwrA=vJT2KO#tjdd+Xia^F zmyCQ`4h8A)4(0#MSc6&X=SheV!J^BQZ#rHpGze--TmA|Ngt}R(8t2c|Y z0dn$RIXG=Ik12wI<@Qw?U)k(aA|*}I2nL?x*d(ci=QmMJwF1<_xLK&p!_ti1Kx!|a zVX`&#C7Q6(hKCn%e=9l<{0%vBKwBbtr-D$f*{po|{iF}`uL)U4Kfe+IuTkAfY!e8#Maylua*zq3N zCZ?0C0kIiF5;X>G>2oI8ArixuGB;j;_HI0ilT$Q|daOl*yq(atqcoDDGUf9mprWs*Wr{sG|`E7G7E?_wQR8P=Q@HB70nLf4-xKyO)} zpqDmp@HZ3xyPELS3m~_S#wt3-_WXFq6nx398Kq2D`j&Ry_J=E(ot?5Oy^Xu{YrI|K zR!4wuZE4IH0Fqed)6Yb&q!2fs3)4Y&dzOv;#w@kiL8!7C$@RP})VXHR7t_ALqB%!L zZDp>i>L+2)kUJV*Y&++87T%L{0stIs_y33rKQgd&d=hP2UF^+s=;Sb7*8N!oq90n| zyd%lOKP==q%n?hiA{$guurZkBQeR*+-Qie{mS>vWoEV8QO{wB{a^b+shN&xhyfL)V zPo{MAO4sXDfw}Ksl(mg6&=eUlg>px1I_j~+-fT@>g31iD{yi7cJCK-wOUKJB-Ttzs z|9mfdEH`*L!m)O_dn}S6%0=DzBOvWM{0ZbQ4is2=-S@{Dev?wpd^#9Q2>=yX z9x*-+`w8k*#?{3MYi(I9HW6?3o5-eUUSOEH#cmVzEr&kr$q02^i9jgsqK#ptFe~`1 zX@358(%kRj0o~!|b6a#|FICj6%VGQEYNc|Q`I^}GynPH7%>{^3C_DYg2WT!eQfvlCdiS0$0ztSFZys}8LILP_Ed1^e_r~CaOfuW`YL|`-(*cdob zx2ImnlHUot%Z#aE`wx1E-TS|%hao5A|4I*)*4N5Ihe25eCOUEzozk+Tjt-TkNW`Z6 z$WnykaN$EHLC&x$3p2;r^M5QM>9cbfTsk#Qnyc`VVgsHe3WGUPuhYwQrMUW2w5yT( z>5fkzepR>m-p8BN&Or8PQc#eItQ@J#LgsW zITY@kF}k`RJN7&sS{p33-@K8eJO2^xA(CEhQsu0vcc4JXf8azV;ZO1C1><9*{ehOQ zwm^UvC!}`HX8G&FvW46u5-Et+-W}pmlf4`v904_b|BQPH9ky>2qO;+;guY&} z(z1Q`y2>WpHl=+Q75lc>lw{<5Ji)kU$84tIZ*l6w_QDy4liM9Tz*D3y>s9CYr#cxO z72`RBidvHGe91x>$9mqu8TZCM%)PzP`i?>tC4>4pe?rg4 z<1F?nQz$Wp2xtPavr_}D8#PdGkJOExK{uU`j>+<1lZj5qCSK^-7=PDWkYD9S^sK=~c_{9Ix6Rt4*kf1$=hQD)uM=N* ztogW8iNr)vy;Z5#*B5iW3v=(HoX=S4OKWK>gj`w{YY&XBEn?R{AMW1h8{d`H3s(Oc zoy2%TPPotk?P8FEb`t2t#f-`~HuC?2Z(TB>34img0Oq7TUQPM2uR>Jp!p;gTtn5O= z9m#l-sSWWiiGB3+F+C*RoiP5-DPdz~1Vnndr|lgPP^mI2N5xuIoaRk!kT5P!_$_o- zYLrA}$>catn(KdR#$#gjX@SJXRSDIuCY4XlV7o=W)|XIF>@xMHrhGqS)UAVKqQZrQ z*lP%=-l;enXrDFGesMvsmtJw1JJG}IR9og!FSn8y{T6%X{W36>k}?rqH`+GluCG+KMHmgv{88|e}) zct2IxCS`S8XFuV$)Y!iK`N4DKf3PWo?Z4Pmv3th!5JEu2)pH2^ZwXb7(^(%%Ce93kv-k9Ssw-Jr>8T*Oo;fw5X%3{EUZB3f|`c^bxO6H_6E0xN&;Pe?moT z9b0*TTv%J1I;_^lOLvh#2CFM0#X$=TjB_b_sF=kx)*cZ9$?)WX*Pz~5$pj`fNkQds z-$kcPROCXjTdFKsW1CaK3SQ4OF|uZErg3M>2NyU8I>(xcm1El;6&HqXf1~^~l<@MS zPa9jAql27?l+XN7f4Dxk+*v+bn%`^e?R>Uuu49A2 zaZ)K$0yENIY`nAl4ckK<=-He1trSRV&NicsR@2nfG$=@ogLwQQ9Y8xyAUYijDSqYx z^iJ8;k^#unhlF?@SqQgCGHqxN?3PpB^wfS4U^H^Fj4XsPM2};L<6?vLbpQ=oAz$wV z;75xNq|;u9+m@Gn-lc)<3zzMJ&nuJbX2XCiw~bJx*XHfV0&{O7{)sSQHJ~t=Rdszn|^hypYDfJBTmybwno5#{6u@>W~tY0@&P zdptT+W^=V5E!OMn0;=3K4F-rO-$BIW`B#ktyI0Gq^%Iva{L^E;82i4|@Pn-pfP0l^Ht8E$ z+C9;rXFR&0S9HBfi0Z>PkyD2s!3Vgcvbf`~_iI)ZP}9eIKAJz(kRgeXGtoq@I}sSy zS5z^NX<@>EMSi`xQLwvD5VMRXQ(s%dX8K?H`uTPhNgQavomTT-8ECconPHsnr4>=$ z{7Bg1N$q8R8RGLo#pf2*lk3aI+cXddIQxHJQrIlSaQd42i|?$}jA}t`VX^aG>w@38 ziuxOVlghkvpu>nxU=JagzI>4c5GPuei^#}cD?|Cr%eaQbf?x5SwrzMqK`XF&uCAA+wpay;!~`#2aVD**iNGoqo&z zw1*R)^QZgR_RC$LoOf=s;URtu%(A$>?|UDc-2u9@3#>2!jnK2@a0d8^o7O3H%~1z69_ z`cb(p0#<+CD%Gde4A2 z%KNnCiRi`&UUW=#(v1f+$k)O%yZK8x4|2U(dfAaiLcp0=Bh!?P1y+g_NblJLoL$#c z48xOTQ#k(peOSY-ZAkdNqD86bU)bp=Z~Fuo1LuM#kE8%2s}af8wI+7uWqG(l)A@}YXq)_b_^%#r)9Gy0q%;%s| zC#iZ7KB3;09k%wUxq1TN!F5vd^5OP%ch~qdZ$7X7E>b_sS#8%_w%HQiR z%IGi9@6X|gE-( zhlX{alA=;0h)Ic;kYtq0u?~YahC*Ucb{Bq`HDWnag>Pq*vB3*?$|%QSnzgtc%AykL z;t55ecKcycC)z^2RqgPrCzgoC6}C|>YR~+nlA5@y@x4G~%40x>eo{cs zByu3s_1tC4vt@Jaq7zmv5>C3N?Wl1+NG-DRFqWWhOXy?WZlEcgfu7$z-dprc=^6S+ zI*(hl|CT6*La(>|7=Y%12P#-9(|z{K&zr)j>81lY%^j==K*@m;8(yNIBj%`tI1Zng z$?Pq}$DXGPko)-hIRH7%L0K>vQC?{a223N zJ~+4)&zcB!Vm+lxhig6jj(&)8h*!2o%vCN0lcCP&e|XuCB06zy93`>lUi4dv|0)a~ zmKHYNxqeJd&k)E_5zmKw6d!zwv2*TvuXb2cI^F5QsoWAmSjE|fCA(M|vf`F{rOp>o*mg3Zs5}K<*PBMw|5oYz-&5HXpjnJFs8%FA8D{9LHyd#6 zD}3Mlrevd=Z#*-mCveY5(Je2NncLxODtD2rQvk^S-8V~(ij!3GcQF=FYSe=XEzjF2 z5ntS5=h~$c3fi?U2;QcWSCu$?>V^641Im`w12!Yz_f;G=)C0IIR}bfER3fl`pxPmqjb(9O=^4x#AOsMO~jdrI$ZRzG}}bmwAZ ze70mcH|MD1UIx$mN?Xa$oVKukhbKYOcVsx0AX$PbMs%-?Nth}dS?x|QY^smzM z9D3`1oAe{a@iL5KQ(r`pN2mJA;YOj}t1eS1n_|7Ih0p#k$5pAZS9AsnB>ml7q~9cJ zzE0X26W0uE6WCoZg;Z+wkyr+R_yN5wKgerH6mxOR`0OS9GN5gvo?3rf#Mm1$J}XGY z*jq0J#7m*MhPE$niAnfrPy#f!uBFS3yN%P^MyBL`Z}$pCZlz18*VOF3vge1#P{ET- zNEQM#PI`mPXaTMAJz%yU`3d4DGY=K*;`O7pIc6m_>7rW=B~s&|373{vGKlJu!wq-# z2JyLHnv7e%N=sJf0kJWn79Y9@n8zuo15A}uE+F2dA{(0B{_9+@uQcMNp#z zJ_BzlsDx4CMJ+VZ<%sEp=A`QD3Fpc&>xvBpdaj)8E>sY@r`Gx?BK|l{&0#vw@4o*@ z5KaCfant<&lDgNAWCEw2WXK{WPrv@eA%7JXCq)T>^7oc8J1SMvJvfh64K@ma@Nlh* z937ZBkR%Wo6jbhMuYuFq$jJMQ8zg&O-UiTlHO^+NgA?-GW1)IusO2wwWb(IzS-J)< z!5udG<~r@vWvSedhQ7E+%1EE(_(>H=@VlF~M@&d*u*Kkw9{+Y0KVn+Qr(zWLZ zYS{?v;ki9WI{tiIMp*zx0PWr1I9ROGU*Lr)9_~`3fuTr#%Y)XQfW*uhUmYuzD%D4j ziQYZDhgR;noI}CDd8zMD2KShdI}p^)n0r5~5M*tyv@DEUt4B%L$XS}LVei&q=ZHmI zg!f_E55$(A{$9sD);c!RHr(Gb2?Vf33kfuurUJ1TCccgp_n7Gw5+&tmA3yz$u@98s zt8LF_03gv?8)oVV508yy)DoBcpUbCG|D}AIq54}sy$loe?8hsB)UC<;O<-D-r2Pym z#S_C;eJD!I-4K22FXF@d3aEF4cQ4LC@Bpjm4uZb?#=YO3(YG@Y*2pBKf)-6KcV~_&+Tvr(JA;hNhzi zjBEb?`Y~YJgi2EUF0BBb)bLTjj(Sbf|8}fKOnN1sO51t2t6s@I`v%BTHcaz4uVK(4 zp$w7_B0SZeT^+mgFKv#`(wz$`7y6eUN4ZFvV~opLk5s+dC!x|gzMUS};R1F0A-P-P zhjUHo{#c#=jrRGUm8|85-*INjYO?6*i+MTM2sp!Jndz97R)(1u^2X{+RfB<#3NZOa z_b+vTSI;n}GBq+Q-lbcvW63-%jYl08IoK3S{|Chvi@^u(m*o!=o>O<}#K2Ov3ZkSW z(->*rq~oGH|EMTne4@Rz2z)=+^;+@ZF>-8XUdrf27jOc5Po3L`y}wZC-e-!~R8fZMN9*PJ|O)Ca%% z{`+a-Z`~Acr}{}1teI<8K~_2%f>EI-4;XT^w^?Uu*Z z>+S;}LOX^@H~SVUQ0)K6YRju~{y%cso_hj`%71=72vcIG$NlAJzw0)WV+g_C-xzGr zIuiufTZ(P!jcjm!XMNrb(l-!`$id%Yfri$IGVh54?~bVv(%NUV>h7lk^jPH*XxLsq@lH{N>(O zO1rp*8AhYcPo;uKn<=O5#|*ey2wXAh({+hO*@!-;!^ztbswz@xqT}k~a?R|^EyFuC z{7kVV6MDE(npnt}zHb&(vO3={*bAE+yLj3|z8nPvuCRw`WkmCGEOHeql^k~$B{@O` zcd|;2w3hP12E+ab)N-pfkz5G;DotcHqMiv^^Bd&ij#Ox<-y||FRo*FGZ;TAEk)y+VJ!6R0-- zSAJ3niNE}$R8Rl*laiR>6B8%p>N?A4q-roURV!)(BU>q(nYGK(Os(>IR!_CCg%YospUDuv-!+`)gm5nOqTo7t-s#N8m*ZN$L!hN@? zzc(c7(aGKu>8USxk;6qnEqozDFPnsDdK|Fl+QpfTSVF_rKT^)NJ&!nAij$GRs)(<3F)9y0LSg zt*HU?3*I$%c%9vX6eLb&9nM8~D|mVE}k|_yyJBokKA+Rbg6K4+!LR>pf3nfin+ZT37KDs&HL2wI>u` zq`6j#WUItxmFM@&C^sjX-4fioCGyDCZ8^4&qb|4k)_t41->Ijly|60ooSWKQN&u-a zlcvbUH`}xiBlb`XRF13JcgQc9{HOGG%?AXjarY(DEzjeotWUt0-Zi=*^9_R2 z!YlUrozG3^sTauy1OVMHeGOSN8NRF96$1^r4cjSSn?+M=26wU&#>V`UM7i-PbJt+y z4(VkVUEW*H+sL33)<`Lu9N^gC0__*1uDR!X8dR*jpu%!gROh0%`NG)ew%rh7-O-lr z;S+iXAf!SCI-VR=tlm_rx2S{Hd{X}~g{%OwFib~}7d6Z<@LY|63H284>G392Kz2jS z(sN7Ozjt3kOVD(LL1Suo9Gd$=HM4IpH*)e`t(K{VIJy=KH$qCh%qYPBz%3osoffDr z*>53oHqb16(%4*fGNn4K_lXsF20ouCO@BXrEgMl`7;<+1j8`Od5mpU(w8|(N!D%Cat|?HB;=Z-+zUf>?IAJ$sK>rJQH~%F} z$lrl53_FKVC+Y=1X{VKIo;uFNNQT4OImPayAd_JS9%eHFzvb369CXA6?N+b0%aOg- zoCDYrlVwTZTiO;BYxC__L4ngqMOp7&D1sTGTIdT6A=FX|)M10e4Q+C=pT{ zK*66I+?&iC$YF_{e(m~S0W>Yw);;OjJCA;;+H06T-=w`-H^gU4yR>*Aw>_@Jfw#AnLLT9pP)cccCZ(+CBVy>>% zFYbGhjzE50JfP_+Um%vLYOg!aj=1^q(d`NQ+3K%>HeCwk>4~wM1%m_A8%?&l96#rn zYk0dZ2Hn4p?8Cff>lda(Bt>;-fv$|@3cOk&;Fe*cv6hGp23lU1jc+o~U(!7iEF)*6 zGn1--x<-Cq>gIof`yl~S?8dWz6Nu=b#p18-ZhjCJ&HhLl$crDV1AK<4$FxD83|5Qy zKyGxeA|zypx|X33wY*c_*Nc^h1O8$oXZm%&e7C+NOjX-zU1XQoeQa!Ol&0a};-ZPI zwaKSAABeJAAVmvgX)@`Bi)LwhA2*{_7gY=C z6n+&aNq`o;GgrU!3%MUnup($Rg|bj$+!M9V){c&QK$ZjytsjH3sylvBDC@o9yW8M5 z5th&F+)K>hI=#O&>mRatA^hq0`Hvh2#M&h844K}k zzI}1}uxa7Wue# z=>F78tr*PZhAaD3q!|Cs+q=LQ?hI?pJ@d#~Ul#Gb{$=y`SH~5Z8X;wDME$u<3~(Wo zAr!+YBKOyJm`K($SBRHubA5yNgp|)gv1g*4^>-sLzs*tiHS!|^*SVtFF9bx8sxA;q z*tl}N{kpb&=5ZyQ!oxzVXu4fNeXDAMw4aqw>tm5_rcf`HzXyk=-_NC2QhX(+8TG9V zR)?lv4$UWBswT>gL0G@3)^?f+R(hZa@lWJty{Oj}d0O33bHh%4xZf6hk5}Zxey0+2 zRE+I{-t%v``Vo52%Wmem?|wKQzE&w@(pSmdHvdznUd5182_l1iUJIubHBXLbRdfU@2dLRN<1D%%@s**iqDvY8|=w9a41Nln0u8ZARxnr7u zif4 z_Cke4TSr5D_jA(y1&T;A`AjFgdE5mYh2gyta2GT4ZSzk1%!){LogDR)cXH4~kEvl- z_DqtjRRi=w@~#ivA?1tBNr4q4v}nv>-J)ARlw7zf`%M+K%dZbF+0D(hybaUHy0;yC z_$r6M6}P|fWEr(4NlfHu$fVoWLINKCNl9U7pi*l5Xd**1yvnWij2{om)==uUIq6;> z5ls%!+zk@_??*>*34n?{VKrN+-EpPRT2)zYk389txWR^@MtOe)V&#%OX$gf5TiRh_W2Sg1EncBH*r9tD0I&(=_oH_7P zR~?S#kW~|j@ts}XUM@?JOt-~+P?#;+7{TJdMv`k|rH4*S?RhF6^>j5EQjx;!?p%%( z=kf;isK6w-E)8?}?8>kQE(8RQgiY0L*N-~xF8YoPuBs#@2pbnAX*R3BARu@C*k+** z_N%&$e1p|J^f99B7Z3mXO$CL5mH-9XwZA3=0p{}E%I{x;_!#%@1uwBOC5w3ObdQ}l z5-&REuWZjxp+&YsPRoIs#hA6|%3*k zL#rp1mE80;N&I80T{r1&7W780pxU~-p=FTp1U^QXN~`#MjwLXO$~D}%nu`?M8ehwl zcX43z5QRl={CrX8S+!=O(zyQ0>U*6TZjMgQQO_81aIA%eyPRIp8MiRpZmhPIqI+^g z#h!Gi6JM~@r`$V@n`|`>Bf4)IauC&AxG5gtd?0}2=OL;dR=Cd$50z*p@c0vPl5TTH zOe?Q-9x%fkEwy&m$_*PQb9<8&YHj#3bKSXgAAa6wI}gYBvgU&{clVilTS z_m+sDm-lkL<>{(EBw8a?L={F&Gl%MUYv+{oXKX3rL$%*)i3LGa zion(4B)^J-*WrF)1E2HdY}1P4o`etYPTb07$yMsON+~kk@pamLWImQ;LNd849gk)F zo)QBmCrocu!kniN`Zktd?WCq0F>OGpTr5!5pVo6w@4yi_ib+C9h?F6h3?>(jwfVwW zonuZm>HW1YY(sOp_^W>P7K>?<=V3ff$7W&1HHSv0yMz<`FtdAyzh)!U^FkX{( zL)YTh&BwRR4}`f3+=q=w9CGk4=)NXw6|$e&M5;N&Kh4@XR^Mf@C0B4#K#M_F_oLn+e2`a}ep_rV0*g4-n*zKEJJ6Vr(n+y^NVxd8O zMR?lmeioKZXoaO?P5lCDldxbPk)LC5T9KuIpeQ4(cXoO1%>o56#}dM1+I{| z;&$cIWO_-8PGOyTwglv0_-J&Tw1F3=h+U-!+PIkQK15=}19AT({#E9mv3^T?8w(qo zI-$b)$Ero5!6N$ME@p{|5#ro~0dme%>9tY>Qg$u1b zeZZXLYjjcPD!8<`_~y-<$+j9eT(2a-uI55(t8Px>UU1-vUrSTdY|Wm7`H~~?N0XVTR~F!-u&fisWo7%=u~T5{u6)vb0)n%E?Y_uT zu9{Sq^r0O^N3yu9Ga@9c9ul`pkJtG(@M?7NSFo#^}`T(pSC?+e0mZDL+qFTLZ5 z!oGiN?eT+xSG|XKKWQLt0f?tPD_o?2x6{rH#nJ~syQE!j^ro}`#QN9m{U0dk&Detb zVPm5>QHnAXQl(LfmH$8C&@F{ zNWh$Ok+=P`iW8!9Z|0-xSv0YnZr@7pVc7fNr5bxOG53iGID^!NoVXzQV3-4}+m9e$ z(lm@Pbnn>k0yxU3EAtvvJ-Nn|0IK3V{tdAJRAq*|Mweyy=aUeuw9U29@~*x0*1KYH zThBjlHeKLkxJzD!xlP2gv^YG1{CQ?EO3PmY<(crR*K_7iJZejx^U!Mg1$J1L;o99( zz)hO?Q;){@ppkRqMqi`t7jIR~srOyVp32b`u9clgOYS#or&1ENPwzUp?b_A<^`01v z8OM%dco6SB=IZm%mmP~-*iLrcmP72eT)G7GgA%)q;mu!{?xx8F~u^92gfzqKX$!7;=fc zc$x?T=?DGs&%%FjWSt>4E;~htJ_8Wd$0cpc(SEG30$3W@s#6Sk^Rp|brcTtQ)W1HzPF)3&R(IFM&mvavt9RR z6ui~DF`TF(j%VF63q2o@e(W$!Y6!yEy&N0Zu##>e5Ni#9c z6ro~-bK$*$LCx|`@Kv3ix7v8oCaSQcq*9~2wgh61-aDyED}arccu~ZOc#3%qU3{zH zMZAE&8`9|~71gL3XT8FQv+_?qFI0R!rQ|XV-hwRYL57Sj%qCA3?+u|L%tJaycvyMw zh_)ZT;*()oC6WTC2^5Xktp8jpfq05D?S)-q#n?Fl^Q3TW2+0v(^!Z66d z53Ka)p_$h9=wVwVevC>HEa8nT&qB!pG!(!wFCSKCo4aUZ-9Msa?_NiZs|Q)Q9(~ld z*g~WTh;k9NcCqaZZbuN%hplgIUiPb1YF=DOSsi}&la6|Iw80f7L>?6(1Bpa}tgY_b z_a}n7dp0kk4$3u7xSF#S7aP?KfCpmKMUOzueJmWcS*JvZ5<2KE+Ysy?S$Hoz7p-8s zb~XS5@Pn#E3M{+|Mq8qI$QRJI1?9Jj4QNBJ5h9rn-_{yQEg?W51)&!rJKfl<8_~4f zl^%oU=1SUYIYVq3K_PJNH&K*)uO>d3Qr}!${n4)dK8ft`V-B5$NlT9A0d^Fw;srjX znn3Q;HIJNb=G>ooR4Z|YUQ|WFJaqmvFCbzCvTu&mo}LOco7R&Pgxyr-)`x>->cv|~ zjXfNQkW^&vTt(+Jk4A=2b;CtH#ur6klVjz&VuZ&ff9%-=Lc#f>MQxH5q6xm}gX9)& zWuL_139rV955gYu!-c!RnIZ(%I^Ow>e1I`$6WXM<0rngYV@B zz&HTjb=lOk0wYExr--^58^$F*P@2BjxQWVR_1xD^C0yEccXvOXIEoL1agb_|N!leI zZcI|?#Yk~ZuD&DFkEVe$Ilfh!kshN+X@UcY<}pz>%eOCGdTnnL%{2hq5-g;v%&vn6|4T+A08 zy&iWg$?;CIALczNl{H?$bxIvj*Odg9*fOwbd4n^9s_HGU#}5B8}pqkF)3*NZ&=K z64tPDf>>fC0dDuf^wCUF>hq1>qoE_=l3vZV2FF5mfb-*BuE=b$dOl^XW2Vdf#pY8a z7B=x;a3KD|BICij6NCEL3h!C)L87tHw%FlSc*n6xAe~`vnxao^VcAWNXKqgZ`iUJd z^HiJukJ`4OUF(!5?E>Gkt}8r+Y3d+_h1-Sk?-4s7`eEgz)hy`lencYqa}pm#EJxVd zyk>tRQ)S=urYGnq&SBM#@uz|Q!eCPR{t|QG$DB3^_yMSV=dhvzIs9|=T-xeD&TI3| zD&DuuH51!f1k#zSK-B>Y>q75n?B=X5T23xUG>Metrs@<{qB>~6`=$zA*sUAXJsKj+ zhKEJdI!h&mtjF`Zj1=g@v(x>3>d>EVrS)C(5rKoKqxp44?`7}9A+> zSJTV@B5nHY6WZ=w z(=Hz`VGLUSNoP@hVX5=f!xbV1v|Ez(;TbvV?4U8hey6NVM(_NP*=1k5oTPX%G1310 znfNQgDm{1jD~BlrJOpQJ%#@A>E=MP*rwSpqw#sunRKd?Of|-IjE^SD=ZxGtD!HP}G zlPsH)hK>gF7In~6oPAx7Z^v@REc1%%p!953IRj4;m{^t?HRj*xsD%z4HOw7)>j-N> z!aGfte&)HHR&S{cI+=X4e{LnSiO!GgSO#V^uI%|)(@Q0HvC@1m5sD)(WgQU z8&V-t&Z~MK-16-;P~NG$6Cb$tolo=5#=z$}bXOOHoMyjQaTFeO!f1L`joqjI$oAa1 z5TxwtedyZs@)EH$JZ5#_YRAo}hLO1Jn6XF@4BX5#6(bO95PrS8%jJGTFfl3@Nb!3I z&|0aqo)2$dUfPHdDt=vQ;AquU9MsFowOO7f4I+2*(j2nLxA%FK#P3r!x{_hQi9Mg( zSdK`R807|y;9lvdOeK&|4+YKSnwt!Z)10)_s&me_;8>N&vncckxfOIxd4?d1^0Jm+ z?c%P$k#tIsSZCOX+#v6w!%OwGRLTfh8;;apXbZEF5`XJ8( z2ulkh$*l^D1iLy$&Y1BZ1qhYO-x>MC`_A!Gs_UMfei=X5dftH^&^-{1Ns|`J7-71- z-^KH^FiPTxGcY`3W?s!r*F{UK)|Fz4H#=KY3-w`s=wetU@mZ>F36|gF zc?YWc(ql_&gWhn{t6uYK!Le&G-v5_!7Q}$P)V|&fBAkXZp(dkB3m6)sA*{cJJP`*e1i_ z@Pova*a@G5UO|zH()f5IX(lEnAQB4XBI0T+?v>I|y4@Yoyw+O>wK6YFlc0+Iu>(S z%xqzw8^9CV0kFC-o%Z>t2Aa;VtV%5EIl{9ObX)I=(Wc}X(^5klrTct@6eUC*936M8 zyz6zeSM7?n6Q&v~G@9k+mWV&siR5|OrJ^!L%)xr`LF4O?fwkEFp(-?Jb4%piR?XQ5 zLW|pHEWq$+TTPJNKMvoD>UY;Z3G(h?2GfkBvH7x;+ zW^66=3IUi%|AH2Ml9;D^k#;y_RRH@^^r^HP?qdeN)Uf|A$#GGL|3gJ5d7ds31N^L_ zo5C8$xC69&-m~9gw!C%+{}+4j9njRepO-r{Mlq~Ds@ zjVJ*`ce#Z~Qh96uxK^zxh)y?@3drzY94fpuxI7 z`FC5Tu%tzcXvchl1QC&)J|hr_dZI8-aU0pNDy-7vzPE&H3}7tr)?ifSdoF zgc>f8H!~n0;NipZFP7KxM8ajm!onI7KUc~=t#aZ@N!SR+d!u552Z(;P$UA7evr|KV?e72}Isl72 zsH(W@8Nk9^c)GYz`p^6xe?xy-Byq)jVjy#VeHW{wHwHMVD4~;b?3=YO)qdeS#wI-c zfn25B-g8s!o0$>yUv@HXl8PKoq z<#-zCwPtb#v>?}^5gxtUiS?+^V2ABl^ob`61;KzK<~Gs90;|fVhpB|nB^q2(V~q^2 zYD*YmRU(T+UpU3)wt&_MYz6Y8v}EtgyZ>@W-1U5dss(%#nwzlPCFP%viP*7ez-{pa z=KQC5DjaMGs(hpGa^Yx*5bK9mHTDVg`}lv~wx09)N85S}1;W_9#n9!Bt)@_j)789VuCWhQU*5;`HP<~>Is9v>wnqtg~AEGMR;&ws1Y?L)2<%j^k}eP@2K zpe$5f&?RcQyk?+MNZ#`gE2;_K0)Rba6RKcPLNBTCX_MiYxe3iG&*>!pXQV&rMr zFdNGl5j(q-qr2s)EZJyQG$y&^vTrJ4PQMe=lO@$-IB8xXw~qB_g8j(V3_mmU$(RX!S6 zTK-DW@V|uiBpL9|>{C_zqiqlVp1wUXT+J~fyuZIc`J*GzDi_TC=HLe z+ztL+py%fNjMUe-9i~ZbG<>l+U)X*dzI$J%BpzLEm&Q1EsAYBtduB(gy5>AGMkFVA zM4smJyX+3rtamgpGR)5OGT8?JIsh_q%(u0+?)wuL(?zkZ{Ka~f|Dc!0=({w*vgA1^ zbCM>0gLEyOlp1g12xEy!RK>zq`$l{3RLD997@;rbqo}3;fX}URIb-0SmA`BKd3PnI zNkIcw6LqHRwA*80T%u3WEl$f4S;=DL_w#dOk#=6HpU?sKQelGFNiU{#pw=>-q%4s8>Q#1QO&*o2V#1C2z=O=t$@g6E>LUeL zBQNZC(r#BMXP$X`dN{w@{A`62!Mx!Q%DmLE*Z7MuDH7Q=VY0HliW`?gy3m7#^8Cos zLpD1?<=&VKNDa1s8gD20*%_?n!LCh^_?0OctbwzijPguFh&EqUvSvPrOV|2%g*6@* zI7Ifi0Tl8B{?vD0x&@*G43C)QSTVZF;P3}&M1HeELte0bwj(w{S3Ffs9(fayg1K~i zjW|f_flFGbpyYN3zdrrE<1|8U(kYu-Ow&`in#pcCZmM|KIU=>_t)KHH&#`3_XnID2 zbq8l{GS+y0t+OD3!>!|i39RGnd}6Vfh^US>SxV;7`}; zP`#UuP$4JR_TMd3yDZHchdbByD0D0RM&QccgIruU&qcp|d$zFGT8lh}N;ME8Q2Y5y zEC+oV{3R=2g;h2Cp1RRDOHC-o$3k-b=OD^58W4xQc5fV4#D^ z**>vqz4dmmx9Rt#P0O4dot#F?T^}_h*+V~`bY_Z}O}ts2Obz?mvVeQnan} zB+dxk`lghFbtNrWZmQRUh_$-_VMWZ(^FOcVaC33x%={7R)6w2O%Qq5YURQ*{n2YBd z+tP@E>*tEe-w7*TEh55Mlff?{YC5de22qhHg~wCMC>4+K@5+3m-g9}>M4!2NFhLC~ z^(y(CQkW|D{pFWx0?kQ+89l?@So%X)~o_@Rk0N?@Obke|$3#T0gZEq8{019K1 z*ytSqzsKMl>dw>D`NV>y!rOP$+WsXy^5@6dS`xN zjv$bs;WEc2?pjTz%J9SJvYNH)%Qs%jF1*Os!$Mqjnn^z zICP14s`IJ(8Ti`ZKPZZItN$8O1D)kQD}MUF$sx)gD?XA6SlDWRenakxqsqj zE;H~sTMrMlC}pf2y6RQ>Mz(eaea~mj|BM1VopRq~OMxw3xkp(Td1rw$sLHjTj+0QP zWM6;3V!Zltp>MI$YoidJns{sB{r%_)N3bg{s@*o{+ZFrF(|33m@k!zz<-0sz7@U92 zQ};$8wXdJDVVAr|zw>%Z|G~S-g^rLUm6VE9^Xa$dRc;weM1{NeZ{>*V=jaq&G}Oj8 z%~hS~3cpJ(Sn}@p_LM`aL{7%^eH#OynOPxMKF|+NxSdkA<87}K*6r<8^1_~L7OMND zz1G9K`|q0fp2(j=$8k@Ypl%#GFX(v1&(ZGWtLM?D!sODim1;2xPhgvxUw8z6m1%dC zs9L<|$w#gj=pn!_U*4)bRZ`G0Y|%^#SkGU~O(J9$zjf@%xzGue+cwZd9TF@PxIpxc|Isu*w7tnAnS zNZ-C(tOFc0f8OR-J$zsRU#35uu?U$s%9HG4M{No@rn&Crg`Ca4|I^@jyWx3KGc~fg z>AhO>WU*|?3x&t+GDI5+tJ!xj$3JoWp2+H0wxky%z@aKXB)fXP5$-iA5(-cCC4Tcv zkj{PaFYu{C;A-B5nc_zp2j8*c>+9Rv=6P4+j8A`WEVNChYL?6Wqsq;L5T@`B@%EmU z-*dC!+3=~QF1vGJ_S@9x*)-;AIaOg)vCnuwCZjAjwR|4XVOf<6#Q+3`XXvEJVkrrh z`h9Ci@MvBQy?BG)zt012f&vC)E-Pi;6dxszWp1RhPU&GzK^DT~)l)DZD-{DKQf59J zxN?)}wkopZ4>PA6hauuuIf0$S&h;#htKlZ#1v6GatWP8quTD$0&E4w(xC2YIAb_#JN4N*$MESUDx!t^#4DPOPb5pf$&JUqvaIT z^>D@Gp)&U{2dH4XOKyy-%iY)WMMso<+df)>gK#2Ya2xEg>~ z^eka#lC5AgmisOy8M06&7pzY>oIA${DpK^HAP3I_D8K-IHo}>GLeCugV_JS!npm%; z+kP&x{T@qe{6%}PDY7}c2Y|4W*cd|fG{vE2sLCA5Yd6X$u+X{>SuO)GNI~y2%8EJ- zd`2qLSv{yJyZONIC_(($c$<~H1d*gCXADgzr8hLyinta(0Qmj}NuPulTviS%(|KmD_ZHjo_`D2? zp1#3vrkQ@y$EzPjpol{W%=uhGOS^NIdyzqYGHN{+!F4iAx0*GEm^NaLTpz@vC(0_= zhXL$#HKe|0nuz;=F6Pq+8C6k6(%b68rh78S^ojs`F&$?!BEf@{6WTA*v<6GC6cZuk zcj?PLSvpkDrJ|N=_o=rW!r$@VF`;-Cj+_6UdKN+X_I;n}6L{l^}G9u467W+1r12Wuc(#pPNruLVmiXx&LAA zBwZ0HNFh|~btgcwS-bDFNtJ`H+s~Nb;|Jstb{^UL3F>q6@&`5P50+jDZUITAA88MNQws?RmMPi(y z`nITY>4$lT?&c1gQB4CX=30;@;>T8u%qR;SXJ)uetu<XeurW547OL~%&Gg7fazJg$Hx2RO;oYwwXM|&x3c=R$+{DJj%J3fb&eNqW zIrKe;F?Z%r+?G|Ewq0d=<+uz;y-x&_gvXA^X@lG z(0vK0VqnI@{gLNZR&}la^Bx8ONC8sV@o1U_xE`C?Mm>y607K){Uv_a0$Bvm6AnC%E z4XoaG=C3lmm-g-4uFn?u`hPF~6wv?5WNV)M?}Yf}GrAOFw^v>BTr|K+XM`eW`+r>q z-RV0Vn3{W{^6K`5%(3nN{@lA`i#`pwl5ZJY2hk0l3IH$Pw7c`JRYrE zwE3$}^SxLrbr`DbYcRL+;=2}r`7Y-)@*!@}L&Z3FyT;+AKAGLYwBw&go;b!xN@pJx zqdUaD0TVMtf1#!z@wOc)Hj=6wCx5l#qt&jo9xM3Ia`l^+;xZFCI+NrayX}2iML2x- z{qquPcjiNYBwUs0I%|i5>e?Q8gs2!)XFH~x8eFg=R-aLC$ zU^%mAi}4Nu<#=<=Oba8|34)NLsz25stX177M}B=dEj&j8r0Tbl;?5^2DSTAdp8}I} ztfnVwC#`b}S(x2PR`eS0lOOGl4Yf;;klb#zfeDDdR3ora%t4rClE(D`?hy{0tb|x! z8+11^D-Bpok;zxRj-(=4Q*5~H9U}=*)g7GrF53;%Chtm%Vw_;P7p@qTo>M|!vWjs@0S#=6XB38|`9j_(QG$==%va^okk}>NnzeZ^ZkR%>+>u~e=bKl>e!YK8=($fPvmPpiqXd@hJtKo%)kF>EdR=aB zZ+9H}l-;@Q==~95dnIRJzY#E%W}d=XJw~bCBve4PXa0QGys7(ifDc2LWxmQTSsFmn z`saa|g^Tw6j24e2r61gcDvB37ijzfQYb|6XtN6I>6XTalSlj0G8-%ShW`<^SE`XRZ zMsO$ESijeRnes;eNTTdhBN#GWwR^;SLn1NL0mEGb-2Lux6B&(jBAk9`1YV$o2Hs@dxfVyL7*o|0P2Z^jM&dxEYls&l*Q!4i7)+dm%I3 zfgH;eV67~%mMf67=3+=Fdyvftrr>`F-t}(2Xy2&G?LP@l7xE|gtvTH?oG(w?7Ghwq z=stA{JByQ>9aRvgi}zURO>mzEzk~=BRMWfItUC6b7}BpV)1Hr)7YF2Jp2&~E4zJ9C z{im0(9zR+<_*3$8- zwULEu#kUdm0B1S}GT-Ym&y~M>MnG|MP{80VV50Kz@;Q4iRmoX+(7O0wbYfWzvji>l zX9XZa77j~Yk=LwQ2fReCkEDgxA=a|e|6cGz4Fs{zU8NaK6S3L!~N%w0ka9wwGsmkW^b@mVsjY`fgnxE563}v><4_$UEvL6~YEhS*SO>=>^>{pr&S33aZ3FLid>Re805$n%0SzSWPGg`Bk zgHY_AuM7E>`p2CPjJ_A!UVCw*_qUwAb4o#EcNm5upg-+Zel_P=hVRo+PNsx^Dk_XIe58k4j9 zN#Zd|+vkx%3><|pMDrBbO$TnTjpOxrpC084NB+8?5O(977tgh&xZ{A)c|2IJ=h9qJ zOZwk{KpWXnzoX&7LIK`v7V~1trALzu5u*#o;X?fUT^gX<=!yyxj1+l2rzl5&`)8wa zK6k%6c0{Hl&+@G$CTC|S0)e0hJvn{)v=WHe3y@`_a+SN|=9l1`V*E;EXS;=O;iOK*1^f69jr(5FkY zb91eG)sZvTg^r2=qp=*@!3XiTr8L**8t<^3Y(+7Ce8naBjr`V=USArn&&{O5_wZe14cAckc32b#=f#ZnoZ} zT?OoOb;4Bc{5zuq`)n)d34I3QwHb^i*7P&LoOQc-X&wml*W${5X%2*$^Qistqh@1K zUrdxaSSv!Wabg%Y#`tQL&$<(ovi&ivafl4e+i8UZb|i_Li`5T5RMNdM6(265tj4g+ z%AqkixdIIT{54HL$xu^JWqSH`bbDX%+hUuPsj?Dn_fQ(P4`YnqPM}Ivj&;bfWk&So}5CyZi7H!l<3kN5AK8aYF z44oX~AQ<_yM>VS#2P+Hd^Ju&2aDj|(?PHd4l*Pw=3=@E$%#8>4dFAZdZvFzD|e zqh6ni;Lo`Xa=du8Dgz=Wk0|KzHr8e2t)?8B%y1U$y%_1VzaNs7HM1=U@7#`qGm(*8 zOEbl@#}F{29t+Vktu!aZ`lyI*0A>-TEs%ACKoLsnb$4+XT5ER0?Z6x^7ytOyb9u!} z!os4;+ob&qJ&{H>C4w>Q6Bz>?=qj6s?Jw&8aAf@`3HdyApdps;n30*W8B(gy=I(Y) z6Lk{!p}c9^fW zWqZau!w1ZXi&(eCer3RQ_T#*fMHQ_@LZHkUOH)-YtuC3R)|X6Axd!gP3&g!GE(Uw{ zWy)nF(5N7u;%GC0=C8W=1rse_^~m&h*V9CA`|t)DxvLniK! z!OLTfHgl$;qNL=-Z<9(jjEpRs@Xk@y7Zn)x@9lyzW69>f#zE_Wi_7JsAqNi~3dxYn zRmt%IifB9=)d{V)%vr{%ZRAPbSKOd1QJet()JRiPQ!5yor&a7Q^l3KHzF_d^Sd zb2mzW@#Eb2v>1-7p%Igk+xJm&g| zL?Upmd3t%xy?Yk4?wK2B?MM=CABi_v=?yo5I_h*Rj#QXCCE#CKSDFGLQDt^Yg(tx^ z`#!v`ld3rQMsv#wxKsk!k>cDJ_4i(GV#FTTy^!w^B79sAWiLFB_A*~irI`8P;ZBdS>)rOc=H7Fp2m$aO$()`Y zvFAL;T)(EOo*Jrhp3YOv_qMU|)Y1l`TV9ahQT)nQ?EvYr|9qgS$}pwr#W&)2%Cljo0WeoFTZr#sbY#33U__@u!rYq(rED_W*`saJK2X-a3u*fWFgmA zk4@uH@i*BqcGkIY6yA}X zkd##Ay*wd1U{>t2O3aqvPBkw#>!U5_!nsxD$l=_^vP4)iaifWJXB<0zfDkY>?aZFXs!~uh?PO` z1`tWb32D><*Z8m0;zkjKfFD|#fVh&Cgx(-1*ve&$Y!YOBNp6WjSz;Ls&`gJM_-eFT zH?q(ADKe2wE?%AE19v!&JaEW2uUZcMY@gMSf>k@TCwjmmBI2F0+20z~Jezq+R#!u0 z`M`>+4GbJ|xusu>fSkQQ>72!)k9~*jK6(=`ONInYHS!UpC1tF{1wLGn(N%pke?{c? zICqnIgW8!PJ!ae^>24K(8n}olvyqm2RIvE%ZrnbFYknTd#--OAYN7;VXy3ATCM2fZ zoha}eut>OZTDcR$ZrYd7dFa;e^WqaSCP}nj{D+0-k4uhvMUwKUcJh!=TF%CV`zJ?NK+u8)5()$hzj=6vHs8(7e=~j1q|3Tck_!A1 zHIY+nnawg;j9Hv!e9*^FzUo5M8ljldN^@nn0Dy|q2glAU!#+~FjN&cs0PnF$I|2Xo=hx56y~nrT1| zn?%3qk&}~*HGz=g1Mygd=koX2FhKSKd<38MU~md`YE~UMB+ZJ)+wCI(djeRhkAIL( zhXiRna((Nx+8zUDQ!|?7N6QYI!JB5^KW#at{G*(frdoJzIv~#Hi<_jatVw-acwzmk zWw^VCUwdA~eM_0*9DYOPw!xg9lX2z~w?Fw!tC^Je!InAmhg%E`0;a-ki*Q3ltn6;zjrmD1|l_e*;wc%#;%$z(zmo;go9Po*71#+Y*!nn$0;NZEb){ja*EP>gSYv zX%{bU=G)#(sac-LM$)H+PC;1xxF{?cKaFD6N9j81j#Sr{11TZ(_-#_@-SH05-@ZL{ zD*3IY{dY&NGM=6i3oTQLd;^(0&kv8UIPB)SDC{?|Rta^#)y@Yg<4R=f4?SjC+3B)G zNF=u6_dEUDPtF9j=7FP##pVS#an6$AhHQGzC8r3Iv zV##k;no;&4clKN;ijA`;)_5c=d#aB#>hzzCcQCGYo17qOhuEW~3v7so(sDka9!_XR z(;pik>ZXq__Y9bN9DHV5O%;EqW@^mnL0GpX^K?xFFXkcNiPQG zulHnFN2sK!e>Zb}4gQw2A*pm}be05*wbbyZEp&$oSa@7T5QL}@y`@wiHK7DxBX=NU(o=w3cIVHZ2Mn7c$=!Ol|Hk?C@+yga~=dS`?tm>*b4I?iZO!^!-E zGn#@vH}bcvzdt)RGr<^!#_rGtRod=V=isRi9Vab7>+PxmKTfasDUDi#Er-Kr0ezP< zJsI&9zLOF1qrP+b17_|KlDF@dm6tybs39_FiL29c7S%4z6VC#6e9k%g&EgO6mY!2| z7Dx-Q=?g0G!ib$X*x%O|5(_B5o7`eMuMt;G1cLeH+I@doOHj}-*kUiNY8ggY6XPEF zu?RCS^IjbZX$4z!ZWmBhe+*bHH=e3LXpNJoec%EZW&&~!-UC&m7v*rNs<|*vS8|_G zSPO(&%Y8$;&~4Bd`N`=C(%-G#?)smO?1UuVq7lTn-DV453s?QPpwbdACrIEFPC{h%Q`P# z>g2$jSO>|cxoT_JK9V-yZr`7`Z`V$A^-4>E44gO(WL#1MG(WGYSR+!rOrAM*okTGY zm?CN?$%V9R+HIq376ql^vjW&_HI}Z+2myJAZ*JrYAr-&nz5zZMa*`p2Mj@FIVKIg6 z0|XcR1g%vvwTE*@+*v`#gID;|_c`{S_|5#1VX9c?m9#R$QRAdV+7nn_w%OU4u170R z|Cq>y@@-8PgG&I@ZMvnENGPU_fB_fu3oY=78#BZd?RVZ_W5^F20h43X`wyWP%k4;^ zCGaQEo~flu@(^Xoj569FVOmC`SZJA*EQfNk z@h>0@#uSn&R5$R&I_X%kNWtJI*9C?K;`oEviA}=!EbHUwl`lXFAMa0Hx9=Iw5v4_o zgRI~5ho4U}DCsTbuhT|5@Hq(S-l53OuHGokKN)~gh}PhUZUfFSkB^`1r80VEw3j6~oXoodz# z+S&fo5$=b`Mh?dA_l?ZmXX%s?S`GI|4Y^WVpsbn_!Y3(+Sk7{;UT&D5pHGmnd8HI> ztU&IV029poSb4@}HN1e6+|10_*z9t+#;W>f={oL1x_^}JCdb8xL^cy|oICrqCpz*~ z%NxN%+ByP{96fxMV>KP1a$e@UpABC-_6{spdEi|P5K1b&3pF}-(T-IvB`t0w%&L9K z4vh}2C)nIU_RI)$Hg}~<6y=RS#3WEN^M!v7pn3%`zf?l%#lYC__;`%V!k3oY^{;F@XG_<$coFZ_?%p^|uq%T{CG!qo;weev35W<_#88o*$O5tn9KNfMD4EzA8j zg?pDy8*5_XVm$1nb}D0nz1+%X>|Q4KBUxX9P|PcZKCAPlB9aB>S-Cq~yYygL11hp> z9yu;dXpUQzIlVS6>s@8RU%tf<29FWWEL=(ltmoK+gB~^&gz|SH4?9R8~HZ5 z;`{4(z6cm=zC^Zm;i;^Bg!%)iv+G=W?6G!d#uHNC=fnf?76rLGwS;Am!Q4D@={L$@ z#2xZcO@o)DMFx%Ytxn*T55wpdSj)9H&0P(j&Vrk`>j*coiqJLn+}bCne>OLb0k9mB zZ&*r6L7c_Sl9AK#VXJUn9Js9-^U-^Jt=>yV;GI9q@?7-cKFNx8q8Qp3qU`k$KlIsN z25ynec(@RRtgKT&bG>Urn<#sbOV}#%@~g#09B+sFt0_kP)f){ZWuxnK`yPn*bUq53 z>-6-X?eVMS#kiRdS{eq8Lv7^m{>R-yx!f-T>C9r>&jfEwJ?SX??UAYNyYzt(m|=g6 zD0=@m(J=O7O5>di{1}Us3!QPp;gv?=Z$@4Etui68M`6H$QX$a?cO0Q02t5 zYCnWSL6m6|QIR+ltNu$i(q}nn8l37kMNb0fVXqnOj1&2$COPdC7lOGL({&06uf3>s zF6M39VDR(d@JOZA`pWURx9bneAr;u<14Hhf`)Q}Jm60L)Dv%ey>jZCTMlkND_Su`8 zeTZH<*=`pwjkMl{w6WSlS#XlMDHMm4ca(pJK0z)^2>RJ0kTsx~Ph8;TJH`iiGKMQZ zdNUdR?F?G`>gs^xu2HyI!0J%$+_3{nAdJGs2qiL=>NNjdcQPgkT-U$5+YPnQk#7ot zR*{j8v{(^v#Y(dlI)G*Ffi^39J|hz<2J|E=Uaw6d4=O!MfT)cM9v`;Mwwr6dbiq7s z2vXsm<92c*BvdMDWyJ(>%Q+Hrz*jYQKufyQ?nG2eIB^+i|H%g~%l>?sl^?Kod=Vbb zRrqTKSZuBU%jI4z_8irnkeI;8f-!o^qL3747{u)dA5N7<&cv073_jrKU}y7m#kV@5R*eyt_&5&!`j;fKIdc#_aBBm?oncY{;**n zeO9T$sV~p(4rWVQdWOJzJK8GjS)}?Xmi2rbma!bnndKxzZ%#pyTN>GPJ_?fDp2=3E z%)z)n0nAiHrRdgAK?aur4$nus540kl`wEf~TN`R+^x^hr`(`~j1 zq&VU$p@{f-APurcZexy<%Fi!;*x4GQ^U{2;I^Zqf=tTbDM5Ya_tk#1ATmh75;?0Ec zgXSVqJm8A!E(-{#6Zj4|nC}rT!u%Fw%@a}YuoZDV-pl41@mre6pJDqed1XNPuxtah zed;hIlk9t*&-EPuUac243bt~26k3_YwhmbM<#)=zR0Uy*oC~I|SRjnL&{O9;k82g8 zhjxL)uU}9d0~Qwv)e8oLQ{m zK{9yj{0d+VU)|tUb{RAyY1N6)C4ZLP183X&vWjn_QYl0@IPrKpbG#Lyw^5t3G>kYKt zS_{z=ALjLFzd7moR-dU+T_t7#>D1e;*8ACXBbDW)bVy_j=gD( zDsb%95Qa{ietAR-s+~7|9lD;CT>G1pn&4#HYin5vvi6eIzK0{y*ow8QDdLKIwizSh zF#dA~>ros-%7@R#RSK$19gABLgvW{JKF)Ub<=Y+P+R6r+?os&1i7UU7M(c@ZM9Lh8 zfEG4iWzL@3Ay3cU-&1xJ%gL{F0DaKL^rkf7v$NhPg1xZ z30gZkK^T2{qOg}-^r|QREc{KIU$RM2UM4UmDL2j?DO@W6c1~wWgr10&ZS}Q-Ta25Z z4Yk-YlcDhkhC|9SdrtA}o|IbUJ4DqEaTCLydxSNUxKp1crjxXUuC%@uKp+0awQ0SZ z`t6xL$hW!hLD}K(l3ude;pZJ=M}$|mdr5yn!jG@p=~(*#!p$_bndGtDV(mS6+`=9!z~x+zM~nTo~AImxc3 zO_M8L7%;i+s$IEqrPz=qywO5p$iJ`+(Nxxm*YL6kpjn$IE^@QRxK9PwN1u#iZct3q zTV7jxfbliX3Pm-}SLEfx@c0_6qG)}Ry<&tB0Sc7fI|=2f#v>?rOIYD+I0B?Dw~R6P znbo^*0$AcL5W}=D2LRf#s8Sbzg~FPWzFBvK2La)zr*_zCimFZU26ph5n|!Ib5v;ni z3LrwL`BOiRHa$NPms}VCNWEh8~kY@2c|{>pZzO|IYI5 z5kF96C*d&r3t!DEOxPvdCNB#cv3TU0xyBA#shyQuA=dy`sMqW!2)OlbQ5e2w?Pv#7 z7D(>DZJ|OXcmn%($2yj9rjfVFU)1LZ)kTi(!$6k}ok8^TLbR6_+e|0IUjOj}u+H52 zbs_d7ve!P-Tcuzi6kf6`?RjmQMXn@rMFjb7U~2~e8Ke*x?Sx)?EuKzf{O9Hv5pv?= z^yyW~`oeG-k{y5zuv2k&I2r|S=nE|gf{xI!u_9BKAlD(Eu49V0i#NC z2(Iem44gD*%zEN|oD22G_$G(oQ(x6HQ_y{90}zmK{ltDhg;8Z*)LTm-a|H#3Z(Uu{ zuI%Gi2Ak@&oF& zCLRl9b$HdJ%ez%jM*YNOYG!!@Q>lx8j5cMs!XM2#VF7b`ONN1VC5*JilbE2QDiQ8V zl3fpVpz$Po1_z*QLe8Jib2-2IlrwO9PnxRDVH>ycz6F%yS3z3@KLq za4dm6l)F7>pZ6R4g6M#m-))~6-k$9C;>~K*)xYh^ZnDb7_etH=A^3LU_c>*N`Xm8H z&|*-GB0<~2n&u(zqDT6TF?J>105RV}#@fNRBE9yq@O*0>H|w0s@KRPR+?#BVMSpGR z=4$C=s;AKTo zYxZv4&%lvMk3TejCQyM4qnFEPGn0B-w1i8XczjzSdE(z;K9Z2i(p!891|dMY``c}N z?)tF@+|cdF%GvY25-A0VDF< z(B|7|o`?4b_1*cFb z(E8r2odc25HvS#o+Ad1WDIVF|ls9c4_o?Spr>C?_HFN_)Z=Y+PJ|`o|Hbm;EM)Nt8MArpqz&0yfL>ujr+N{&pI&hxW?h($P->0hdMu$UM8&9+}E4mfoHXO8T?*4vIDPc!Si|D36jcN}WG$3BDz>Ta-a7_t(MEh$$ zk~Mf~;%w!5P*)j9_nf`|^;1YM9&nz(%ti^h;iamEO9=0c7Bm5 zbU78sK+`LL%ifnZ6^_K{#cJuw*_igOcJ% zlq)nAKN|89Q-EdB!;`19Z2}dla3sAg6|8XRL46vaeZ?Y-YnPksC;*#A>(exltgbWT zmgS{+a{V%PHDzhUZwX`P4s0T$v;-t$F~TS$Hi}7jo})+8Maqlh_?Y$bT-iQO{lA|V zN}w*@n1l(%6cUx&UKUr}VXVS-sjY3eA;x5G<|cHp zy&g@0aXpaSLGI9nALCyq@&?yheGHli-ImV`*G$AcqGRsuIt3M68!vM%MrOww3dNEg zV7Kk?smFe)D{1c}WIoLOxx@SdKH1-uSjZDLU+n>U+R^LB{c2TiVixL1`yro@l6qNtY4N#v+ zb94F^g*Lu5_TD}M5C>}z8*A%DgVTW)Ul_uGUh?3wtxzbbv#aZ^WFhKN=XQlsz539@ zf&ECnB%at}VP#2;H`2Uevn8S9yn(!}&xnWu|H1fg!Q1K4(n5Iv6rgnP{ogXjs7;z` z?*>FeOn=&vn}cK+-IDTbThkkJw_hV{4IKz{oaf&sum2NH`wf6I^cRr}q<10ucc9X3 zJ*;y3ae>wXCr{qqod(1zJdxVI*eW9}eKh2T*>s>9gPZ+;-JP+0=X>K>5R(sqn9y>* zpve0RBLIokHkfS&Mws`#*TVDMhc$l_lL6to`ZEWTy|Md${nq$5_tYmB1(?qcm^a_V zj@>fSzMaHDF9o=nslZaO-ta7BEeZM5<)twRQEH-b5GBufWq{T69La)1%kMh?@fJUA zh}Lv9#W3ABTE=(lGJopAaSsVKh)wHew2A($;&G2~A>jIdKXe>u(0DRg!cudI?v#h= zJ=MvzV+O-sCxnubeZ3cQMVMb_J{Sv9bFnP|&65_BBp9HB7V}cuVx3~{n*G$`WNRk8 zpG3v42yQCmXOI&dmGmpH&do0AiPLudxq!O1y6;jhW>;alDRch(u$J4dG&ZHb|8&`? zX#Z)BPE92BD_idJ*sC22{A>wM+@6YxIO9`!gUparern+whdzN~&WDj3#?mT+eg4S% zIkzs_AXt*No8G2NN5~01f;URt4_tx|@X2bt^#a}hxMZt=3Id!T#Or${2nsHrSs7ZZ zYa?I5i@YKW8-F|;*;Hd>XXnV=?7^5)BaP4f zpIW70rH-y}xthmr;e^;4b_T3Z3WZHgWW*DJb1d=D`PdW(qDU)aDm<|k5<}|{#!)huWc9%xRp>rq(QntxT*27l$&}>}&6}*SXfY&b@ZG{^r6xTKpn4kDS?VV*}u!FKM@; zjvT&iULSRlREhS#A7q&s=2c)g$ZP5^`nlDfW1UF==4OuO%{D)^_TE<$oNS+?Fp9d^>0CpX=wJT=;j)c zK4>%E-$L-+Zl~K`4*T!bZL{yA9lNG_@pVc31448ZhDe%WrZ*e?nC2Is$SaDUO}qLQ zoYF(gUl9%#f6n#OGfKqWG7md*=ywZ5ZHjH0+LO(Obb_}ilgYf5egb1M^D2+@GVzdY zLf=^2-?%T|XLx)PX!;p_!^Ei4ZvFi|k|;{MUSCZ$yLjhunp>tkIzuxV6wl*{ePL_I zpXm2wQUm^;mHCzdEHqz@Z$;AcZI-&5!(LZcU`0%FYwJPu4F|b$qZXEiFC+@)@N>g&L?#Zvm$JE6rY-%g+0U<0P*_fHTr+s%uXw>Jy_9yW4J`+1xP z)aD**0&2V*xx-_C8oXAS2ECx}{PN`$HB`W2%hl({W7Gp$#PI^#0i|B)^RBX{i^8e? zu`ge~tc#rq61WWlO)w!4{G6>n+IzG`A@3ix0OxgeGP!=v_!$a?UZ<$0PvHaTP)t~hKduMf1I%*~M`;jx^~x54#D`U$jfyi#@@T&d=)uqh)!y*80z<^t3C2lie! zESP5yF6OSy8cXvuMY5T8=~;uti~;pqD>k~$!tXzymPQnBjniHR9un2FvQKu)iwKcf zB4`-9o;-wb32RImhnxmGblC{u2C(EofLlq=Tf>kHJld;*2CnoZ40<7<_B5fghbqJc zFz)4&u#e}T@+QCm(lq2!lT~gFBkz`T=v2(3F#P{oKboVQm+g=O0Stw)+t2O{1 zbS{rjq;Rlu+YU_S+z&sckBT@lUGyrhF>ckj=bwWp?!U_U1?FXHR6H=(Gq%(XM3f$6 zTu^uf%vVYm(D$HkPN6_bt=u>cn237^HdEw@odBz;(~g@z*(i zMN}G(pAfN)t)1A_OBswV4?TaM;Q{nf5BNGhU}``U2jy6aM;R?y{zj!Mwh&UuF}{p- zk4#_~fryo%U12#eH{OS_XPFf`IxBsDnO_d;gs$073KYI^D{Ssh_#&9NBA!z#h0TS0 z(wC+02g`tL;XVsc4X6iLObsHPo&cbKnwu(c`4ymLt{f8c0Q3iv)DO&+?qNM_SH4l*k16;8z6|m8tWG>7uz`zWLiVyMF;0 zH5e*&?XETW#4W%P;IH<9Gx=3-#G?ZVz zMB`z7#?qfkzZieX;;-l6fJeod$SkAH6E1}>%HgN62pyTfmF z&K%RM#9mbi9m&9qyQL>$Dpo)CSb+k&yN-CJPNWv(<^*PWAN6`E_VOGeANJH4h|)-U z!WTZT5c{U4@@(a`r{Rgsv(2T+guwWY*?v(uEXjD`xPv0Y3yYH1M?YTXjp=Gp?=EMR zxL2bkNW=~NDEp_e>DJmwOfT0zoBuwS;BpB#g=s}~Yy*TE4(n4w z+Ic>1<>^Xk3q`J3Te*}ujf#qrLbWI>vomb=;760r3fMde&ejquPNB&kF1uzYbbk!n zpPq_4#cv&BttrM9)D zciA2cRzOrr!{|G*8vP0xF-_#s<~m+Tm%WBLy5~1ZlTQ@LvP-N#4Ow$Cl-SPKne0_} zZIW8pIan`Wppf9T$e(^`=DM?1Q3j>sJ#?eQM=q-G6Mp_0`{mAev6j++uSjlrt`s`L z@Kd44yr5Eju+WH}Q25cBa<0KX?pElRz1XRMyM&Zmq4EAj+eA+cxwtLiGa3W0Jj_a` z8Ax=6Op`f@Y-~am0|_qozRt4=(p#EuY+Fsf?zOAr^z04^1M|vK@+4c0f3FpL%R^ud z5w3zSG9TcoAHD!NJMfp(r+j|pp(Uf~Y#Dj=!VMerC=RkhM^W98)=38>ev+??bnJin<zi=WV&)#T06wG<^e{lKF z-~9dx=`!q%CO^a+KtkQO;lp<&NGL5l0_$y?KC%^|*c~}<**(0A3=N8n*83s>)sU;zqb9>Vz0P8OOEtmSv7= zA!Hg2A`^yd3g5^S57SijX)sU?EbQ!ovo$!Rd;tXe+Lg-==H`refwg8&F=x9j+rIv^ z3>VwB+I*VcwZTQHvLVBuSoC9BwXaN8Of?A7{ut$M-HyU|h1n;Qeq-lc z;K(y}c`d45PL@z{&Rg((>2OL{H(8L<(e#~x2f1Y1o70ZwYiknC&PGl*|SCn(ne~^_-_H_qJ z3E{4Zi8T0L#T{ezeL!tyuqx!4OJf|$Z&^yvhUV8ZB07^xWG+U1U_#EwO&puIus>f+J72B0N3F;M&!Xmat)52D$!8x zr2(N7IWHEzgjD#t4<>7ttg1wskmB=XRl*p}TJftbj^%pzf;f9p6pImy1Z+5{v7T)IB~(vTt6 zh9(VfXa6n^rQE6bd->%`_T(hHUvJ>L!IUJpybQ}NuyfUVXloyo+l$CCK$x>k9dQXJD$&SEv2?<>$Pu0 z3+4v4zsY-GL8?|zCzTIzuct%s%yFd<#gwZg%m|NgsxIaf@`WE4b{p0j+N?*VCxXWM z2~+Rq;jNBkW#Fc;lL1JSwAs2m(E07DL>gqzN@NC--(WQTovBiCuAGQ`v1Hf>lq}lNgwB0mXGz=z8WN1fRuOW7M8Z5E(I{b)$LY@aLWHt|ILRcxQ(& zbYhNee4kwW9up1Bf@Ad#g?(q%{xpTg*k`J0cCPYPFK%-IJ-wf)%*~h%O;`h%qU>jr zgfkg3-^x?v)N72fnHa z##+6CHt&m!LJjshA(6q28mx7y-@rnE-I3ToQnbw}W%_d3{`w^}uVJmIZi)VKM|FW; z`^QV$7kjLF1;KaWQYicTh_b&ru5{t+`~usYm((Um5c=LYBkBw6rBxv#)v_FwyGe(; zVyPF$yH_6a#SDcvDhe1&YXGlHcGMtcpmc*hZ<{eAMD4@nL<+ZPp}Xe7LBEg(`VxRr zz~w?AGdJet>;8P7=>f{#iHE&#O6s`N<7DXrwl?o#JK}*7l1Xh~1ER^?cKmas6K*hz zJGGK@m2OcFdddwT)R+tv2aTzYxAW9qzjFNfg)Fc~iFX#>KAqXv#tavtJlN>=P4;ZF zUm0u960Pgx&XWBI5@5QD46P1qi@(GyNv|4vv*shX!@E4PpboCMnO7##6fAd zmZ+xc=%M$YMeY4<$XlYAn3(v1?Xqe_If57q-+$ zh4QL0l$CC?{ZzeOl2ri(Lp{r#d4$n5UJ_TGKcWw(zp&Qh-A>dKV&;&a(+=e)Q9lBO zTafckxOTY|5^`BuF8LP7DMjXu7r%BOI5L0OM#@K;O8ivxiH>3nFWQb z#}=rrXS>Ryq1k~$r6A_i;o&OA(G@Qeom;k?kZ_alyzjZbv)bJ)LyKph^sX%;%I?^| z?J9=Mi!U?OA$9BN^1xS4bFOIBd*&g`CWj9?rpupadT_*ssrmK#?cw- zxlgOVAx&csePg1<9r0Rl6xw!mVX}PXP`DUl<}q%(c~M54uX7lh%kJoUk2o%ihe7ug zM>7_J${wQQk?^8Fk8U2F%=XSQ&X&hH0lr>l#ej+{esl>Vc52my@%|pie}gi|-{OYf zb7z1=F`B>Zi!b3ds;cg85c`Hnlg@UoP&R@{4$ApXeh9c#z<~Rh<2a%`nL)aJQQ-xB zTS}S(1U!hf@#i^_GYQQrpIDYi;0A_eP3vV-Su5_dD?{~dt18LxeIdE&eO$-*NV$tO z1z|Neo5p^RSHxPC{}7^zak76=PgK-mTscrY$1_5&feknhW+L{)reX1kQT?0q6}8P# zif4HgYLk=c{Av}JrRjFfYFIHlE}d~_%{Kw;70;Z=DgnC1t3uu-`6Y7E$uS>V@3-5Uo#IFd{ami)w=6F&&#urrtZ z9-rg+pYc6HO$vc81!-Wl6_A-*yTc!Lx*M~lXuf2h6BApov1!lJT*@OhRO^Wk%~Sxt z>y0y+Q!M3?vKGt~dKjlL-%sw*S8748#CI3$##|q#AW47|1kSbqf1)eV_1Hd@Hhom2 zExVW88)|I@k)NQDrFd-otEw_6^V)#uPo8f@2pyeZvOJRo`FHZ+WbFD>WJ;TX6M>HK+lB#@(~NUC&6$ zN=RZ|KK_z=rl&j4c!ruv7BtnJo4~nYnux-DiyogzCuZnM5g+7#wCm(VJ@dr-?;9 zp~s!VY25=K?zUiTB^t58S5I8#=QH+omYLY0(@xwXg?R7s25`Imzy@bK9UkmHYSOT-+^k{UrdpMJc&BLcNf>W;8z3W?(~O^YH<78eR0ep^Mxw zD;SsVP|!z$EMHr+DiUOSbpL?t&1;aId|P5y`85$oH{LH+$*uoYZ5=OgldTDc`C&1T z-EDG~D_k>xm9qc?ST6(FAlVlkzYduqY-I3I3V>{^>MRxM$378&g=*ubt& z(V!Ha*RR4HNLR)E8?5210P$A_0)zahv>Z*Jx(0|l8xRk3sal(1@RMVu+^YF#q-Fr^n_!>_b=n{s zP{*~e3pl9CjL*&KNOaWO3VgQbpktieczrk`!-AeNAJG!Pz zX0_9NW!8h~!*;gn>vp+xu8(5+A1X@0JKalUZ|GMc`e+y4h|DY}$UwyN^I0zpUWq8G zK9F;?oLw0{0S~_0w$BWiFQHZ`Deoyd31jGGqaY`a7?XQg1wVR&A*^TAXw7vsy}ie{ zVbFWjD#*N(djbxP9D~z@np>87EPTafDo)w7Eh^`;CvyCiP7v)WZ6jDYs(lR8SHlRwG-p3c)Wkti~kNL24{{#$M^s{>VuGlAUuWU^MoF05@5~P>A0Ba;8Q>rRh%hzAL=zlI2VfxszMu1iXKI z_roD=50cKUoJFOoil1M1%L-foxvE^47^y>IKw#L@mPE14=+2q`ytvypM5PFu^Y5*w z$JX>$G~daW&P4#^*LckGB~lF7Qi#gp=5G!cy*(*zbI7LgzdY&byj3|>gK^e# zDYdvdxPM5Ef`wAmj_nPYbnYPp2f6I*NWfnPT9)1XB>i&tcYJa7BWCvn^8Xq6kWeS{ zw@UM+<9{4RWSOA}QCM4%M)@4OShRP|j92!o^vsZC*@K!C4g<|B*p$U?uoVgG@M;;T zBt`GkQcDR6wocQCBfvH+bdBFE%$SG1CFND6SP;M8apxe25s@`kw?&Q%jRh6WxMQT} zF@#<)9ppvdyAxa^DV6Bo?+*<dY(;jMEHXkQ{^MGzSg?;UCT_lU6jj z?=8JT1_zIoU5OPN*WM;eiOq@6PYw%NKBD&vp&0swt1T6k{ci|h=L6hm)9LKm7#B+e z5m|K}D?*N>MehG+65AX7G9j96QnF6&!T(nd`&r~2(=_$EagZaD@%&hDQ;27wJhw29 z%Vc+3kav7C5U5M$A(1=sYUs=8_hPJd`Z@81QUq|OOJbl$;1e4YoQ*hafI?X({@3cK zdCGc5!B+`z)0FvL3`dh$;pvkfWzg9-x%FwwJIEJ$x`|>T05UPeofk*Ji6`M%dE~&& z`)NTRVW#b(z@38uBTSA8_<;vXG^2dEs*a&ACy$-vi!EwRg*cgwv$!OkGL5sF4&5?)D(P&{qEwFi5S~w1&t&4yvrBWvigHeS$q0I@DxW zH@!S$+$bs)mry7=Zlw?}skE#!yeflGz@7+q$~P9mtr(l0w|OG{xqfgZke;T8lyecX z;=moSjex|PrBM{_k4Pg=OrZ7lBW=9?cKRyWO)dijK&LW9_7vi(ZWaV3ENpAXizm3V zz0b}?@~I{;;40kA+Uma4qS@ie>C!Ccui!RbtO6x6VHY&8PXWm9wvcnL_NRl7uT}e>J}hvePCvPTcVua z@%T4q`q7ze=pDPi27t7iqFIus(>V>cpT1`-1kCBcR0#=OLdmMPDly;hQry`@ttQid zqf--c_&bujKbH^7+UYU!X=rfrj?l0mAP&184{pus8HuV8L?}wyH}(kR68#S{+^IYp zgI-h?gT@?;4E>J(l++p(xSowCYCl!VWQlTY8-S?~CBKD#UC1A-RFrRFV@?=I3Xusr z(Zv@OfQIg~y@DAKVDPQq8-&L1sH=fHPNh8jHC&vqQc-u| zcB&V2q!4_6&a->E&n)r|`hPi0K{~S<3;InkmCWJ}n?CDquO`pfbJ|#}GkqZe0xNVc z7q=a+QZg{1jj5YhK+1Y-G`8Q#uqg3G1^{@@1FU0$ zIXGSp%;pDi%;w}Vp*+DW!6jMKck;l z&M#;ZhN(41sHov%Drh)FisXQCqT0IiQbf*jT+JiLI-JZ5#2VQH1~ePtS35v_x#<}E zjx5etXhP0jIJo(RXK*xYS1q=$e227u@y~-NT2Y$}GhOTEwvPX*j5O}q zc2wwDUxBw)>aI$7&USN9I=F{FvHrTIrXMz%Y(CAejo@XT_eI(^8r?FIfzonCBcU@3 zPM=vNjR39fowLja##&TYp$a6Q{^x1#e}_2$UzSHYVVvjEwsBIP#fqYFimAU37_aux zrk%zj4p){JE9#>(9B+qK3s%1@$aY!d5Zno>?JS*~EZRS3Ic2O{;5)C}vvYg6z6TFe zJ%zWCEHRY62T@(Ej zQdIW?YyI(mLf-_+O}gJs?~*cNn+?%6Pg-FASiYbwpGOdlRTCawlSF!uAM@R!b5flP zoTb1YEj#T1iY_{q&Z()!0Azei6U*HJn} zC)KsEn1rszRa)*-GYgMrcTRa`oRVvRv2ek<>p;>=tw=)ZJ-#ben2*neVWvz)Ny9af2?^@|PuP2gyl6>s8`D}r0-ezoYlT~aF_ zhV3K||HjiJ!asOAD)wLSblHaM%I;r0Rmu5{r{|mio(2p3#Zv;_Ydlp`LE&k5L;ovK zo}4auVOq$>lQ@xZE69+ESDbkRCw1Sz`yfRPez2*}J#3;19%q*>ia=^T=3*P=Yc@Hm zFC%Gm`KuGaDo7@XeY7mAOPrIjZ8!>?Q^-fNN-t$XROlJlPR^5Gr1GXN;Uy^Yw`DO? zy_wnZwK89G9%_oal}90HSo=dTwcDJs2FH;rb(`8TT7QB35AFQynClYP-Xaf0JU6^B zivP_!A6TwkPv=7#@zIR1O;29(!M#((7HAtC?pfd55!KP?)MTY#cwU1|qo3R9X2wNo zt1a1YH{d(b@-JHNm-T5YqzQ8PN`GOExyX+XECRG5Q3aFWc-6amHi4n}k98o90*pa= z@Ey1GYdMP$-a{Kc{mN%pW5c6N;l$YdHJ!QbLH%fosk{wvI#K#qChvTHBNuq7@l!$z z;JSvZ-LL5n_Vx)e)i5XSlp3{^93v1aj=31yJLmZR<2^8-`HUb%DFd^NE?|Ny%z||< zjOtCb{Y2(nT{qxkZ!l)zAr#J9p(nUCPW3L`y9SY?9R8EQ_MZ$qj24umEC1lqnFae^ z`6lk8k>Xl4Kxem4IMi*AE9NP!T*a18V#8eBhs>c~E=>CX?Gh(2H_es&!zY)5W*08+ zt$Q;4ehKEJEQ91>vTh_2JS)LAj?f%slwg3NwFezV?i5BDlM@K1)P z(|bZp+dH@I;O(DXoLPzQDof5FbM^>S&fdtCeo3P12sCMqQV^^1wg4#&GvkW`Q2|eD z^0SQVsNk!D{2nWF0#jm$OX!Ji)U8_ck~rChgEv)O+)#w~OBJ2t#+EmU+0@Z%cnp>C2u^9WfZna20|_pYfx| z$+L3Dd1Teu&_}iDfuE*+Yt zkg%AF!X|q)d2Mtq=6RU*XdY}R&VAcb?qV+UX~Wh@Y`KlH{R=_7ii+iK;nY8*J4do} zYO#l`65pGAWob9?rEi_>E zA(>zDhb(cjy|R^!N~*lT_>F&V6)%3|$SLPL+BALpG<+oHlJEq5k)=-$JiT~poJI{9 zkQ{g+iSt()sPyf>6YnHC>Cf~3v5fW1D(IV=m*~{Xz2@Bc(PwGYCaC22A0tOqgtP#c zYvLX4^q)dd6Z$j&zReXW<_VhezlEJ>XuaM4Qk-!uozs{hWF?(EHluo?RE0?HbNTGY^NdHMP$<}te1G0u(NKJFi#;48=zq|qqj6;Z(cyGJbv`eYiet&7(E}{?W@;#4C0MF*AdA{FGDOn4G157jVFt%Tk zp0S!yN0Y}J;4GK-y7scThpe%l!dM+6hPL8Tvo*Po|B6#_9u$OV+6RTemlCg45d5yr2Crya32DiK)j%~A01y@ zIb&>DFx_ z%K2%k2bL(5A6DIm$FCU`f-%83X*`pdXw@hY6MvM*KCMHSvF1Y;Yux;n}KUwWfI%?P4m#?Bi@QZRm`csY3xr_4hrzEVh{F|8UIvleT_Fq z%QbHC$~TRSo9^vVEjvW7y+HiK;q2S@*JguIe%OoB_mn~J)&%>a`PP5Ygtnw0`IP@# z(a`KOgxb=fyV#tzUK1~O&k?~_9alkskyWpGvAA5Xa6hM_meZ2O@f9V0QK@l8*7qrG z^;9uNuNB`%0zEiQXLn%ge}wHnd)bk{H9%|s(;&;OTjZ(F z)HYflUTDa8Q53c_V!LA1flLU{twdjsb4`2SP9EaTpO-SbTS5^Qzdmp9kl<%*&#(Ef z+LcytWQO+20vRZ0X`#7W(IP4a0zSevt(A?fxz0a%>HF39{}`5{dYlu9w|ibt-#wzO zmws&&J;h1AmpoBVt!L|>rb>|w_sdSK%hBg2a!f6$6wY0)Jik^i5ij8Yo=^k&nv8@m zQGmLE1P)%Mk)Hh_2x9Qx{I*n79uodceG z#ML0>viCx`Da((Adw)69l5K(XsspSeX$7plOYfq0PM( zwSv)@*pJkl9jvdefonpgR-E%UH;#xoZ_+G()prx;EZB01XKakEJojttX1lrh_m}#~ z`I9f#WobKw^J1ZR#Nnsyx-Q$H(RPiTo8-_a8tR;nIOJGI57W3p9uNd8+`A7Z`CSMm zR6m^$=vEUn#3kI707Z#{g-f0kj=f3r-ytf9>w1_oK;srx(KcL%UGe@0hqSJu{j#D9 z8x5ww(Wwi5@wURkLev9CfjKD)K*jWgyBc3&z|WmVV4+BwK78rg1 ztxGS?Qd$EA(rd-i@^1Z9LNQljLdOh{0%pD9BD}7VUeo6w_r1VZ9(k^#5cKP^s{J@( zrF=CI!zo`Yf14=c0iWb4GeJrp(CsZ)!NpBEj>?2mEhgSCB$dzEq3b!-5WS)@E;e?4 z`s$nYajMDtN&UKuDOUEs>$F+}4P>S7zIsoLW~}uH5jVS#5BW6>y3Fs{RYFNtpRWjZ zw?;yGr}_JvIAWG}cOL^eXe1d8h*ls}wN?-)o%&amb`ix8F4{+E&Fj(6_U<8hKwl#i z)rAx^)dLb*)mrhisaJwPZB(`LR8HPvN?XskY-E#b`T3lOfmPD0#@7nl&cVj7fqv@1 zxgi1iyjZ*)wum5&u3+v|Sqq7E!ETGgX0mDCJR_kKefpzydj{cNFORM)C~gWOSy(>> z`%pO?$g)i8?Nu=AUgf~sQvtiP{$qE4oPg=mGv2DTIP?@eSGW6#kH_o>w3C1X6>F)Z zPcg(LH3aY2ymr+5kOUNE!^YH2l$o|)j9UU)oPGZHc9{}eGH|^RrfTCK5nj{*#m1ep zB&1nuo6E){ud|v4t6%n($x78$awHg&rKJ`xu05iR_NuASGQ}uKCFNJH*dD1}5EsM4 z>ant%JRsW(-EzsJGcdC!5n6xts9z^&cNq$}2|$Q3BIgivcQz&2IdiW4PJ;`Ocm9&N zA1>{&gQd{wVf%C+Y^4xL$x zai%qkR%F;>z#7vsZ89sG!NZJM#qrvD9H#2*Yy+0kwU9^?(05Rz9AJ&eOWYgtAjLua zd}kTz8eUN!UASPDqD&}*+f{YO?QE0xJa2wX$yQjaLRqjhKx2rc&cwgn8>S(>bmFH8 z*{B#|yBj4PQzLz7w+V8H6m;qljVi`aWZ-xm2^shi&O~dQs;+|wOg5oV-G_Ry^!6fd z?{VwpLWDvh85M9UN+falcemd3#UFaP){e7wpf-(m?xdj)=wsOJa-CsS$<&)mWk4q> zGw=&a0lV~)62<7=mr5ctQ}B|$jf5@MZ7Vcwy3QGryo)2H(4n~6?JYpkA@9={v-u*2 zm=3uM(^8$vri&Q=kp`}yGn z_8vHTVkjZ8==6LOGQ3K_NMTKCK|x7aLdNBe6c$r;+04v*+0W=fi z>RIktj$P!!_+KAfhITw9Q*4^_0Lyx8Hnnrcgv9!?HYles`fKNgc9kM{su0_->p3@W zp<~c&q{_>h#3kCp+bWd9W5e=Q z(pl~zf||r0{J^6Zt4fyPLB#iwOM#~GZ=K8(yVBxSW>x_Jj7$;6^?^uSiEq6MY&QpV z=>YjS>0~rc1cj=1wf^QiEA4*sotc@rVZ5Q&=NqhgL*~K8&k=fs0AeJth$PY1vOP=+ zN}`Em6PWV1I zH*KU47I0&F@(s^uhFS#q&2Mxy|Gq8%pP&WZ$3CYMoNboONwrqWwb*h&c!(8#^NeZ9h0FwwXVXI{rXdz{GS&XtmET)jvT?R#gCrPEZE>-Igbbl>Z1{Jm6{N42G#lyf*q`-p?@6Ng0zYgtc zj)XY=xpxj7sY1D*+zr^ zwdL^b%)?!Qt0%tM_2@e8IX@xdNg7xpAC4K!U;IwQAnc=iR>NO%!hg@l)4m2EssqXV z!x{hjk1Wp;!Lu!5`LHkF`FJkIIE?tqVPl~eE?a+&o_VsaLI&!zhQ1GQ{5_%|7gNT& zZXim7g=%z|II9D`nDuTNBB=3bM5ftEJ2S9qn@Y7=*j;r$aB%ZSH0i5Q zwLsZLtzH&8O}rj*Y=8_H~6s6JC~*pZ{ru1E9YsGzB#4t?{BjF~G@4Dwd_ z;iGBsm|hs@UaQ^C-jv3wRQ>vuX-j=|*-BK{`qsr+1?hWJX7W0lp6Nz~Jgx~_|3-nI zySZfgAG%d)wQ%ahqY%yk$wA37ws>hPZ5?Er9p7@Lp3=6PqiQA>1{l}BT z4Ak7J3BieDn`P6^ap4$teUWRigfWp}rLnpuA~ooOey>E9B&$R&X<2a>$GFGfX=25E zpH<<&wntOp&fd)Pg~8JC*50z7(Eg~|L7m+hW^xUm2-5Sa8OMhq>(q-Fddi7B9-Bot zAWuTsNzeNZi|}4A`vL(v%{exBQJe8R8Kqp-lFH~tcRqew!OwDigN|y&rPW5p*Z?G-b^#%JVhkzd_{8FK+lb z{hk3|Tht6ZVt;zJRpH7$-}tFQtwCgxcpBWf{fT;QmUh1l(fzfc+kPtBjmiN(((jgI z5~UZ-)j^#811|d7j*4$QSFI=h&C%J~d2l6Nz_1=UY&1<(BEv_p$408xqJ<~9G zNK!Vi4S|DfOj74uP7^aeQhA%{KRRzmO_&ZVue^&78;HF8vFi-$mSNvsf|KVRZBjBD zM_}sQ?1?8*K7AH+s*|Z9S~%-@wi(kTDs`dI5T<0@a%+=S^|QK^HU4K)cN zgt%wkLVK1izDkgb$@!ggaC7j4(eC-8{cQ)Z1I>=;F*K^+u7BhoYX*G#V3dZ6aa+AzZSCrl5!!>CjjN4tAd4!2oy#yXz{%D_SDps~~Za^6A&H zz~=tXohKhUnl8(4PM39vR+@TZZp5En@$qfb3%#6yP|wVFljX5( zG;mFlQ8`4rRtjHJ1F4^+e=BTjpRoDt@#T_gBTRpngEI8ZtuA$34t`i1tm@#r6{6^G zZolE=p6t3jJ5sh+r>}UIVK>uCE~RCaL3gFOHqWbzH*DpQ=L5Y%=8?-ZME4=2Y)Uz> z+Pe}d&G8jP?(bSFOeZaydQ;(aMG4C|t`Sy-1j!Y@6g**^OT8|J)8hi>@|I&3c9~Vg z&xiOHF4k(W2?z3JMCI^VEBP>sWjp(<%l`dOjXlb?>uL`h!x(h!XVkO#U@6@t+{E`* zY*;VD^RM*TyDA!$ItR-`_IKi~8rdHVDlZn-F+cKCnzd*abw72D-ey|dm*lQ9`epoL zh;ZPZ5wakOCKh;Dy*5{5#yX4c1%r>`nmao&=2_4BChv7w*>-T7u}s)C%N|uT$d9sn zD15f4*daaZueX;W!z~ZJ)bmn1ZGTiPuYPI#ZDSdek z?o85sQZ%lJjv0w^c>`p&gNE||#?$`y7lN$% ze&w#q9Mg~DrctcTzCCLd+fLe>DT)oQKI2&7IhWz7i*P#Fl6k%oi;WvOpH3iHD$84? zhTAr16nbDnMGs?07I>}K+GgKUiJu(4Jc9l{rJwk=o0pGw-R{Fp{cda3%UvZAduo0M znnzvSL)kzvHfgS??_BY4+MeYe$hqs0@(JA@8rPsIebv! zZS7nn$krG%d(T_(UHu$L;@@@g5K5Ve3LICqe!a1`!J-4Vn9OOmVmVvJ$q|cCIq2F$ zPfNj3o-sQQ+nzA>sj@=9?ivI9BpvL{!wESI%+s$Q>TYj8V#OEi`c%uxv~c8?oMzfG z-|SLjX?V1!$d}(xKYmlpmC|%n>`GWket2h|wBTY7c|9STrw|GzU2b(vW^)Jma}bi> zJ&@_?x~U}n^}gO?0;OL8@{I{)8iXck@r~_s+4BmL%D=+o<>N0Xb_Z}!(x6x-L&#vG zd#9}_I?4AbX0F4NF@M7%_HHrG%PlcoN#)}llmzDsL>(Cbu>OrBdZYixaYQWpQ|0W1 zSOZY3XYb^TnUimCUpZqP({oh~BTu7CGzu>4}1k$tQyO&NwH0MS(cm#ASi?QjCpJ1F`6 z=_f43)PI5}!)x46Go!!K^zmJtn;_1Nw=b{CcX*9gvKijL|Mu2`&_TEUU9rp1l378h z5U13t6ni00_E=oy{2S8U&W14$9GjOvJ$w@9GWy6@^oyFqBDs_~a;it(qQ1U~2lf3h zG*Pndudoov0j%GvRx_JBQc2RWS5w1gunkx3O72krL))hZ&V8{VW1zey;~M@DVO8sO zi)W`~x0(E38-DW6xzuiaR5E|&1etQ(8HACF&)wNR*fqU;Rnq(#3LlNo3{cUEbNIrt z2%JHox?ijfh7wesNpn6yLVMrNjlnRfd73CHX700vD7*#*N@Y@O90uF$hO0V>IN|O( zO&@YeQ_IWM@6(7elpeoK0vX@@2f8=tg+uFv2pv4|Cdrl~O=+x}tv~LTUrgMCQpXhP ze~1qzx1je>xm2sOkv*f`k!g&5+ev6Lq!LjvQZu`Q_~Jc<>*>kiZ(Ju*6~tafIE5{^3^E#Z@EY4}cZ%9AA<1U2*UDz49*k9Xdqz=^q@ziGY({5u3LcRtxnL-- zC7q`|=rKe(G$^AB#0r52nC}S?)$cnpSV&eRfhE9XVi;|R@!q^{?0WsPn2vJV`o4Q1 zR&0kmtYnx_Ahyyf2i3qD-cZ#{RGc7sVtCr>Evre5Rm})rOIu1@I=|f!s`e zE>B(CZ01JEhq(y^&3iy2KM{dEa2V!vENhwZT%N{sY6@(}&^xSM*Ux(PRG4`xxAFMa z?zoaex}>Jfid^gYJf-a6LYk?F+H?jB24&Ap&Ti>{#pBUjJL~vs*x0zBurZNJH<-iu~MsbUB`~3rm|ojRdpYx zw0ItU5qa%g(JbcNd8svyhwP=l!#Xj;J=}NNtZJllST#`@DN6cmVd^Y=J=5p&mz>EQ z$D+Fzqent(t4(K12MXd>Weu-?8(;5G%wSm8Rv8U{&Y+ti|73y>sA-!LImYj?NOyvQ zrQq@7G*hJ{*u#87VZxpQ+-rc*pedsE|N7;hP-p~e`; z1KJS%6Z#$&&U?!p-@<>0`S{Swv4}t2hw6Ry!F0Hke$NYb+Z9g^@Z{E#*`f0b45|ty z!qxF+_-z*&ENW)QHpf#Hvb`|1V$p+kl+YdR3o5dGuwK5!vJ(Tm;)z7sBUvQI0I>BsFmKa6YXdS z;5iEQ&HL5aU9zXKRPZfQ`>EmlR9rg?6Y)D33v$w>depqZ&CRiU76Ah$;`ap?V=Tnm z*NH7-jpYR!?;iw_a5cuwYX*#S4CxJL>lei)OrGV4_99Zbov5!0VunSb^Jp_w5Z_#On8?)Tkm@BRD! z^YGATp1iK>jQhOb9|MLY=lt>Y>t6;U%-faZ^=~|yUPl(i23E9CBdr{;%6reRhM%Qf z)58I3*GglP#E@-Yh-F?gqV_G5r5#^;Q8#Z^CY5m3q+apH$*cKWNytgXHH4q7;SwHq zh5kkPAr*^C5stFbiCBX;uWpOA_-K-gYho(tBh@QJQKrrOX(I%6$rD`p+iwWdA8qsO zKA2D{{+|AEZE|6Yu~GZ;HFA5!OtX&M`sim|kI`v`qiUW`Ouy%MSTU!z2;2#&sI<8D zRy%J>@*c&lSN+MOO=WC*xm}5DFtY@!^tI}Xc7A5i)3bChpk$W(MmRd0)M+@}ZI!}@ zSu#tzbCvNt?)Ot7gQ()au`$S`n|R%=@w<`Jmb29+E0UDK(t5=#k0l6RiqW>>a&ly# zjJKY^yd@ZqKyb{(!}U@1mljpQqJvNi9h}ILvBba#9GiLb;3)_le6^O}y5)S=iC?@f1HL~%#T6ue<%aiL)e2X_MOyo+m?_)nE|mlH|J{| zr;X8nF!ujm4nmd6`E+7@x)5p5xhnJLz?5*qil?I%{YIZ~_2@LS>9L^2 zmsH0(5GLQ(kAIxI6${l+e})?c2^j zOk^)duByH!^K!b!R?Ab_7qt?n&^5hQ{K1RPOaM*!u-nZNL%HD*m^e4`SdH&tT}GhF znq?&w%TC7@wIGN5185<8OW5qHCM)+GF#%bm*`#E@B8H_#b)^ow#fqoei@X_6xh5p6 zcy`rt;U=%}f&~g^)pUMOr4__m;6~!)Oy6|+OiMz5O4oh6Kq|FuRZkaY*zu?(=y9-c z88Gpbn2A-twHk3Uzy*@r$c8dJ(H857j1;+FX7}MnVd=RtH2p!@?6qK^qy!k%Yem^{ zhVC}WlKgI@gS&-6Ibkf#Vt?5i$ZU0CU7C z<)tA^FYlDVy_&Wts8F7JXa2`|WdO`Uty8}dh-ABDw||+f58J-sGZN&*I3t-C$XHMS zn>6Xzy$M#C@Nb9emQhme>C^;z`EkJ#!$^|y_B}LbpMejuAp!f>TTWm1PpmOGf5}lF zEX88r057Pc;CRmV@-^uDIHKgTc5^J`7|?%?PE8K_v|NS`%GodsXTcS^vp9dCTYWO~ zNLu7pwFI}_+qA;_;<{*w&Q+gCc_|RtsOg%s6ob@T34KpqucC|%GPoT-BGl9^k-;Hj z#=9yltv)LW?y#?^#y4kepM#%KJA3*mtQT?~Txv9kf+R>ym>TbXtl3JM?Fp{GHs0{2 zfA-1RtTgym`OhLXWN=)hP;-A5skDLbMXH3ny1s<9HjfIk3eGQupyd_upS#Ou_@S0P zVdM(xX(if&S#&HgEvSgxgXm2jD@vb8s$0yJi{Vm}mn!7Q+8Ihm7p<GUffoE+>Z=8bMPh#*BtUTG^SNz{hI+T>ZAA(PS za?mB;W{iQ;9iI|T;zQumh-BjaOUjGt^chP!uK{&!V>;kGu=B(E=A5#LoU!elYjr*c z_`!UgE~|2P5|?IbXL=&acSjWb8D4x90-b(EuD9MPC@^pr4O5o<7!?YXdVz1?@@j+6##c=J8t-q&4RY-U0 z$5EuI}8g%K_$R13zhlM=f!C;Y=+?ll{+3W;VOIZ zA#Atyq?7@HztX84OvoyHJ}o=Z%&%2bt~;7wKWrqyZ<4)6SF#*frWKpCmGvqss@lgy zX6ZVJ5H#_m(x*wpq!S& z{;8Z&cMM?l!{ji-3ae`O%uHh~}7Y=!UtaThl8 zuB=bb_xGl$C?hU%n}BouV0;TAChbd!LV227lQw#NP$E9RjV?--j)Z@Llp~*Im=aE~ zDjX$vc)YA@@mHBG6B^AcD6Wl;+xZuv(#e;%l>is?cA@dxxN|?8&%SqD*LL(SHlopy z0Ta{>C8ql>z-BeuDqKJ2Ztcnw_I3s9&qVF_b@XB4c$J`NeS~A0 zwxu|CaZq^0;b3mTWxbE4IXrfW2X?e+)(s6jVvm-3QEus5ZstqcO^w8GY+*x^FFBPc z!zjYWAYJnHDO~zZGPaDd2UHt5erEg)W)3{Hv;3ICI|stWt*VygcEw(n^Pv0|Mp3<6 z=BXEWy7n5DIEleVtN=TT!K8lwIPf8$jp%P7B}bYtDRjl+WQ)RzlHOlU8WR8&<`M2+_g^;s?_ z?i<;hPS*7KkX|?oP3wkX#C##oqkRg!gYEm1cj$kI=fG%=2T0AJUk1oF4(d=#PRPQ0 zo67xIU%~0+s6A+O%ph9v@(?jmQzi>KN%4?(5ojeXd=yTr{CXwypfPd^y%-!0{zdDGHucuy@^fgz+o|6NofRF6bL5#Q0xj_x%Mn1~^S~yvrTuNsaHrFV%Vlv7B+E zgH0xeHkrgU>SbJV$%WIAV7KqjpVA>i^ zcPEflTM89Kf-vu@OC}hRT@bP#1HxnAy4>VU&#kLKQH?cI`honCF-mn&fLQm6YVnwB z%pU%=di(#V-X8{!t9Ri-k?e8tRvZ0Myl>58^giAS@9~ssl#bPLf!;_759^Kd+t)|!fOuYT5UpQ`V*yZQoiAxnSB zRwgXuxPC7l?2&1LrQG=nc84i`$YlO}nrMo}^6}PHe|rU-6a2l0D+dXpen|M+--Y@E z>X$+t_T^`xW{m_bFw)faV=)9z8{tqceZ?|=yNV(>W4KXPJr!4;W|NOCL!cnTSOJmK zPyRGi4SU}gSrkcC?w`UiLb+gWrwOh;Wd zIK}o0-E9FQ`ew2bx4vk~Qb5dMJQ(fSX_3^9-a6Q=wfd4#jFdC5VXxPXrv_$Trt;81 zwM+1OhJ`=o=C@bxQ+H@$x*zo0?{E>VzYMa{%vJUeYvm6SMrCXQkkH*KsmVL5_4vDO zxz=WB!wb#&7G>GVqnX_SW1i4LyPVw;3Rse+W(wnL)8bsV!ArTtxy24eUm2^hOPxcL zMxsg+n>fcYM2a}=#SZWYohN>P;vR!SD0%P6#eIsmTG?3{?y}kfa&SF?{hgDbwGt_6 zxVDGOIdBVaw~DqW*He2REuW>O^84e4P*(jdlKzr{Zz`UyXl4mre_uT3X~p2)`9D6$ds_89O*35xa(Sks?t(EJwE3tXrYxp9Nh zFFxvwh^imB_us^I~PZLas}$uRfFH~bdvL`A#zcD0F5e2n(=?}dVY zAr?yhcA|{@LH&d9|BYUw<~NBbPXkX^j)S_IsdAs9@e)WtXZs&%sRVE4Sb`=5XD%M7 zy!Z?O~Rw7YE=V0^!rDu@?QB0N#QC()ryxlcLhPxAnOaY9C-7Y6TPuj2G; zQR~&va6~e$rQ`x|&^>QW<0Ip;LashqMXvM1z#uO>6@Mm@#`uj-;ZW!TY`VuX*Sf8S{VN3_ z)p8WW3>K4x$%xu!#tlF$;BvmbP)O3?b(|L123bpl-V8@h|#INLS@7s|~_% zp9Fbn0+xhjp_U&Pw0n+dhn9#|yEUe1@uxlgIUH8KuxK?H%h0i{f1Wa)BVXJJ%G^g& zeHoGx>%k|^?&wi5O!grldo@g^>;+=M`c+Ct;X4VOx&2Iskx~1DvNG`jZO>@lf-bAK z758I2-108lk(J@J5mBGjxTl`pY2cQmuj%6tI*T3;HjNfe74<;@4(MD5v!;n)-tKdYk3tZxu z;m_PHhLvRWP059m>Pt;LyJfVnccMy2;1Xm>wFJ`9C4eXGFVX7o6(Mch!8pOvxGiYJ zH@T^n7&_)+3&V|vq-~x@6vmanz)Zsw7sfALMbs=f z-O~fT8MN;sJVNXMoNvu0|60rA(FjvvsZU6Q)zgp0zf1Qg z7aJ-y3SsFgVwemv${)VFI+Lpa;f@x!NojL`s+@d)4nP9l_c>iSbJT{wWs03tn-PxD zeX-SIOYe*|dCt)(0{M-;hB#hW@$yv)BUy@8xC2J}WB-i&=}&=;f2sX_)Pm#Kk)Sw1 zPWY8^@e7qKvBawFTs8?&%IAZY<&^3(cdy8vSRH%}BI*hP3d>}1+t+3nunErXCS7mpJFOGURNe0c~`M-jDlpjJ^i&4G%wtg&_J}d{9BpKVUESgB4(VF6L;==ju>Ah3hcPr>dCuxv= z^5o40A#C&FVL&ol+GTbcvlU+|(YQ-bs6;Em2jL<5QK2*Lyz*N^adm`7d%L4+pH{=M z0sasZTmU0ltFQ+OG6;usNGg?4LgSLnG{#EuDK;?iqac}&H>mCDDe-+s^5%SU8%krv2%OTOOmlZad0Jbn36PCG@S3=yHP?t z*UK!$r70?Xt1vR=InYY=3Rzi*&+r$L(lt>T9epdj%Y4R0XUfxn8FY!sZx-sfM@`Qw zMlPKajo`kamEq!~uK5GP+78eqe)TJ~?XE8Fb(@ZS{656*df&evY58)jNZF5gwTY$! z?9levW$*3&^1rtX|KiSCw>9HB_w?rgnO^6;*B2iZPe*p%0oZb9XIbl1lDhXIb|Z7x5x&TUVvyZu>aKs)>A<6?KtQltrr! zkpp2~Kj!O@W6s7TIwZ_HcYA7BX{CBO7_CF-=~8IF)qoeZE|E$KW#_Z%@ao$JL(9Zz*+G4D zIkRtUA#X3+E@@C?nkG4n@d-q|x7(fr3kRz1X4Rq=%yIjKw-BQ!fZ4uV6}X4syVyYH zUbp@NYX>vFfBZX2t@;QH?;+ToRArMf8=VO8aXv(z#M}HT=$Dp?rz+XOf-lMg&4pMhWaer z0&=KqEX0RmM+pov=)CqWk50)IbK@E3oga<_P`TYFgG9I?Ymgm9nf?YOF#zKOa!jo9 zmhpjSjHpb8t*zanH`WwPO;|+@OCFOxT3?%Es%3J{D@IbYLon_uUdWGSO)!_mc6Lbj zZ7Ol=whKw8{eQjSBF%d*SG0KisHwS7WmktcYF!Xh;hYpM)Z3Pw zqZnU~76QeD-20`M`GYj7ejBF^xK$@$@R7#=+;uNzBYoGb7$X()K=)>np`rk`wE)`m z^3oIW2oA24Id*ymCNvB%mFPBg0SaI|6R!r9xXMj%7=*HEewEk=ttls68oBa*yXiA& z(QsTo(c(t!NE9enVL=zLb+~SpQ7e(UfMKs(4_Z>z(w8^Z zVkhc&cJmRhfCF?wELx~CgI|&K(UtewJM>3n^ar|Gcxa7~iav3&du|l8@{L3rF1@{KWBvEm7%Ihr!oa!Y71aS*L2U#8OG44elxNb?4<@&k z76%KAnd^OZojYy3b3czE){JOxswzcx_wbur?6J{gYM2)xn3YB2hlp?I7K;^Svn_Z z(Ck(wX$Ljo_yvJ|{(I5DQ&!!-bE&}(mww-VxC~hu{D2i04OAzBD+f-I z*>yq%OWG5IgOP}-D`JKQJJ*cIy(iO>?nx5$(`nQRVGhoHp*()myVjzBM6B&6kJ>U$ z^R({1~R8?SH_u>i|qMH2i0nmgCh@skfxt5g&%Ss8;JV zR{Cjq&3eyEuh|(j`|XU4Lg7Jj$JohJ`_v!%)AVnI0<4QA>gBxkidTdy3g6S|Ijn5m zrcc;R$w5n`B38;*E$!!G(5tbK$9SGkcXdXh@+!66jm6EUO}zyxKhlh9*8~WSXNrwd zrD|tI;dFKEC!NVG^ErhV?j96GdW53}nVUb&D%q0UP3|f><6whv3TG%1McOu3+ECjP zfW-glP4XRdh?#(o0HPrtJVHKKxATihZ$$okH-B>OM&vsV$+_<=T{KWCQAK>^Q7zRj_(&!>t;yl*?U4Di}pMU5w2qJ^e* z<2DLhW@~OeF5f+{TS^TaQNaTye4_-s%(oYZFl?$~&J}x3{)?62FQE7wZ5_a_A7Kdu zD+Yyn4l;_?QZ9v#y@q$U*TttqS2d2<1@=ilacjAu_h8alu(5kiyE7Gkw{l~yh&ovp~cN?gd145fFN05A)xi*b!vr-#oZaL0@jj-xW)Pat;uI-&ZS&;<}Z7D^J^#1 zb(i6Ux4W>`Np0IfZQx7}s4j?+{9~)k&oQtj1HlCp}G7K6XIO?+nmas@VLE2W3zh0f}6_KC)Ia2bpNfT!ul%<8hX<_TkpD=IB#4I;+)46k!TdE?E|z7Jba4@CyNvQ0r(S5~ST z;x>XIyM^GON#R!tNBT7bhwh}X;&f{u*Wnp>Gy%eKU&6-Xp51o+M?4^$7qZqzyN_%? z@xeHpR;I6Q?Z>`DNhpa+*_8#WR>_JijZ#b4gklv{E*3@2%q}6m@|5Shk`wkY>SdxJ zpCxE==r*67DXFc7cxc5BM?47$ic!~lIe$Gp2RMvRXDJt4PhtNNE-Mp5m?7?`DI=7*r^G`(UV={v>OO2v8jL2&D z++v0a(&u2zjO{j~aH;tE5SX#y_x5OZ+8zNR^u#f=MM84|9Y+Qccr%wHX|?`H%i2Fy z9RCA3BLDguIsOIzjvR3||A`#4zI6sINARRVIiZUWYA%c9q)f41tmh3^7j~)4H^uE3 z+uLX9f4l541P91LPfsrf;XWwuEIi@i>Z`NV7xz=u<%_L$_7``W-dd{7D3j0Qeb(Bq z+dTGk35=sOtuT#Ulu=cp!-Lju7B(K6hz5vjPPFSKq`}; ze_06tOV6D=G?;qLzi33vJo5-$EE&Wzf_G(U1#Z#CH_7(vtvoHwwH@(&R|LHPG7@(! z+7(cZETj%}tZ&ZFLjvsA0}#A%&z26HfS5Y@iD^>`c#CR6qDb) z+kWYM|M~|J2@rjvjq4>U{HBR5*0Kgk#dD;az2|40={z9q+VwOJ+CpFlh>v76h#zdn z_X7Xy3hU{R>!1bnQeq)?Y)Oj#2wbfM5}dn=<=19-vrhlwnr|}7W>!3NMVqWBy*Dit z*RswoTrC#WbYRotplQZ^a)N-B#K514q9tgQzX;NLpcNpIYv4MHvZepXGe(;9pdXjM z1DC(1o-+Q$w$|xhE!BIo2}1-3s%=}g;8L2A!7o$S8jIBpmuQchBRBqdn}ZKK+XaQd zLOS!#W-R56CvDYV5>-ys(daug7aT3m{~rxgo;zI=q}wi;^a|1ALG&ZBr#>e(>)iER*x3tr8Z+1G8lWHf-iXr z_G*?tUd_}Q7PHpm+;4RRK`(utU9PaHm8r}d-@2w{O;|^&1%4Ey(4P^HY_If!4g`Y~ z1Sw`W+l8{JH3d!PXP5a`b?zk&IWOd{B5^(=-)zP0Ar8BU9j@XGr3GCzZ$Iq%_U5`m zf1!p(dhGeEij48{P~RMlD7l6-4-U#KMuAvj)5cRciF*K6-v>9+cP&MlReeO{V>m%N zKabtX$ah+v3(*xqVcw;mHhi;~J$L>i)$e(ZF76(5`uCSo6rjsIy2(Q4ibYzNIexsb z2ED&mq;QwG`S#?NHnl?{L-A#LO}i`bpK*mO=r8 zGxhYt;I`6_87&&PO_#TN|1!$V$l0@|D$MC+4j39UVUC!=e6!LNGk#w9h&hJWg|FSG zxmsKObf-=4(eEt=u03`JM=~{^`tIN_yH;qc8e4tz2l4khZXTFWDil7WNLOR#J=7!7 zi)BkXl9L2BI{yKSU1N?==d~koY`fc#(cU|?TLBqO>sN(y=~QHIq{PyxJ%me#aOnqI zsuNWBOqx?1>L8EQsNcD&V0^8%N(vRFEk4jO-P+vuWtz+;w{cJMQ1yJIz9uNX4Y;~0 z;%Pmjb$X{OINX~>(WFmK2WTYc-lfK=LhLKlbwf7~$Or{<1dU{N*J01cBzu_8Brr4Z zXhGUdJIuhcD5*XO*Pft6hv3p6WD6z{`MDa_6hsj@doxCZnZa-*&_w*KIU?f)KRq!t z*2i9v4(<%)@m znMb)o2;2zOE?EX*=B~8&G+`blv78* zCt>h^uU}>9NR3OzMb~DcX08Q!H$jO-N3U7)HU`UrYo(UsyY;e9`%lT(|4u?Kh3$vS z9XT8OPNnySfmThO29 zG?rc|MBjy+{4@XXeQE0V0?MI!+}BT^+$Ycqsovix$J^!~>i%sb|5Sx~p86WH8J`5G z#Q8EO)^dzN*#{E{shupfah#Dj(_jMO4TGjZ9 zZ?wnC)zzjI53u-FP|=P4+zKczk@%|RupSHN?rYG$D>k{Rry``}DY(@3^%F+4{!PCH zhsTZrM60mYyUoE_BGE9Ip(UnVnpzIgSNbnd?FODypwxt>%OjAmxBY zC%uZMDst!g(z$Z9@(*v16<`5JQJoi6RL3P<_Q#ABvlaoWJ$k4?R>l@vo$gx4_3>#W z%|^mk5271qK$*Dl&W@@Xk9NS?jGC=Ua+_>aC~N^`!P5XBH^u3I*u?~}G85dkcX?7i zG-N;ZApDUalF8%{vfGQ8nI_%LF{O8fCyQ49echS>N0;9*QSCx#%o{2@7ZZZiZCITy z>wK zH*Ac>A?C$wb@5H5hD`dxe8tn5qOvkNw+qx#Ta);O5w_YT>p6@@Va9Z5kvB<}r0w*} zQ4ZPg`a!YXHnE*)oH5p{+!Et-lpz~Bhdkuj!s zV$@kD=lt%9n;y^;d6Vva#*zj1+%Rl*oxl8I0)4{5{1Ejs10DlHHC1jP^4MiTXAPrY z73k(|j9U)ejy}sm5sB5eQQ#`rkA0_{3mb@lq#NGDLl`;djtqz)M>F5Pd)*4eTHEX= z(|K%~Hz|Sj*VIrLkmI|eoqa3(4G5YoUpCH9+=RTV{(fJwSNvryJzI&&YC{GW4b9M_ z8tTiv=NHL>lXRPO2!=%=auzJ{Ftn8)5g*JI+e{5AYnO`~K;%Ok<>+c2zXu>QfYfBjz0-6~+Kr3clML5L@%O1PXZ;gUkP#fjS`smKL+N{Z84EXSfVWSc9 zoFR<$O%u5FJ8>6hYDuC4R8to44&h#ze_F8C3gvUzLGr6(d(UgaLF{HU;eGSqJbVZa zk5g^rdrDz8)>^(EzD_pojbE!Wq{c8pL?nUp~SU267G5<+io9X$Yr9A-sj%7{WntvX%K!Rx6gD+{^N zL$zfY>0XIY319133u};wmpOrQt~+tW_trThEcXfK=#vL)A^U30oJQqSlUro=SXPmi`$qP zj^v(=aeFtSex)N3acbk^-rC<;S#sImdOulNZoV@FgXRo_`iETW#^1!LxS_b8`B9%; zuDLfDxHybXFe)S`^p9VfF|na;7+e6=-WV%$=jopzu3!;&K1_I(^5B;2UthRS%=2kb zQ|jmuvT(MW+Mk0cgc^Z!TCLX#qA*N6)m5~jGBkalhWozSy?|%YhQ2OkAHQavEVdW}@V#29{d$T(Z)9_i5(^Exvs9781*}=$3*uNS$0^Nrr>(Pu-99AJ(t@ zVl#Q&cWyCwV6-p4iUDkBSHTKR#P16y)ePqLlymD z$2W6d;msS3(K9eOXW0EjH@SfpnX7_BJxx?ev# zn3UD`#nFey;IOIY{#;1MGt5(*8c4G$B6&4Y6CKsYyjN#0sg>P{M)GNAy?g|i*GD)f zoeZ|8*_x`(R!$7P4O`0?R5+R>1(KWBtP3ANiRRUHA*Qhcn}jL-Ql#3gS6A!dWE9|A zHGLi>ypxMTF@TY^c~?n>m%_57rzG&Orz&(xRMyg%Nr|1qc{Y4FgyKU~MPYB02793E zU$eWPH%tA3^4ektD9m&j?cDfTX{iW4JT}6_0DPch>X(h%Y0CT3P0FQJV}w-kdS}-7 zHnqUPg}&+QlauXJzGRc+?Y1aYo6MBDY0wc5&G-AYn@si7h}jdzYws)GxH;`ncQ+gX znM@j8i8p7-xdJ^3Aoq96q0_J~RhbOsgq3Km>(}UOpXs6(5aM>M$rc#kHqDvZmY!vM zSlEBF>&n&1j)=9CZDON-Vte3lZz0BobC@ul;c>ttnB28~W`yQ~5f2!46?`V}r?kY#P|8G}%WanR0Z*hKGR zAdtoRhAzV%AP%K|aqX<$(IXbcXOh@`ab|NnHpOVQ8Q3vVFlFi47O6OV^R}>M=^AaM z2G$WinAe!O4Svymd+DI2&mP~Ty}GJ6GUdhday`y@;c*SAZUeOCM&8%@1+_K#?!8V6 zU9E}hI&Ra_c#GTd3r;%sJ?DTOMh^y03fV67gf`CIIJSGzwO6un^GTZJOw1~E*O~@! zdAp+KY>BQ#K&T2v`+>;^efgQcTD;Qnc}N(~*P_uZ)=69aD77*-C-@uTsH-XTZHQha z5xGo@dVW_Z^AIS$mrniL$H@{dxP{-cneZ?bDqDd9(nHspKu3tKueZ~x*qwG+Pcj|J zAHQa<@941RSd(A5%UCFhtag$^m>C56FmA!=hUK&>IYxt^zqjmP6;gn|O2fVy$`0aV zH&HiScWvqyL5c!aQm@??ocs+JYTmEey<4%G#Iq|d zgl*A=X~T9#i!OuO3QRuxW3S!O_oBCeJ7R!Q6F%7;!{6bQVD^m`fB$mZ4`*ToECE2P zgG|)-CciOfng#*xHNr5zWNGskkoDtc_zIp4ZX72u{gj7X$|2pbDXyrZt3Db%4Mqgm z+qXaAOjIbhOG<%^6q_3sfl`I0w(*)%NF8j|g3HTgbU=!WqvP$I=9MyMgH&eh6E*m& z*16_qyG|HJBIk0icY zM_+8m1mLv`>K~I6Y`fS}_L(er@D|Xxd|aR;40TJq9h_!JR6xgAaj5@LTM?=PIZ5g< z1wOUgcY+#2T5dRs#8no^BhaE`RteK?+piyVBdRnR_JSETB@{X7!WML{a2>#_^b|(X z;o%8nANJOfq4KuKsShdX1y+`x7Jc2O;@Wvq@nB1Vt?CyX;^n^S!&ihJn0UJDO{S@? z8Y=mQn!89^M{d&>05U4)2iz3w$tYO-`2*Nu6i+3U&`Oy&`4Y?z4Urp>RAV}^8n-2iH9 z>;t@XAD?=%)Fv>3sYKQ#*(jl|<$P|sVnvP&>vI)TQY2LwhkYYU$x)4`&Nc8eG%*8WsXyc&C~ zFzuL*uE&0>STyfN=Td=0|YzT$%a+5R}AB zW+GB2wQ@Vss(kN|=ylm+YhJZ>d`QBUEyn;Peiekwd%enl4%8i~89}|WZS&xeKjQ^=n*iFkQZ8Ki;L)AyF;%tZ!yi1^o!tLyst!qv>Cdc-Dh7gI z(omA%j&50`7k5``^P+V;cqR-4_aQ^JeVJ<@eN>{qgz#-2K-h2xh9Uopa%&yWiI*I!;jU4!n2cL>`hfK zYsb09bMziXK}E(@^vlk8!yN_)TiLa$0_b~R3ym1wu-MGFzHh%$bbqs*q(L~0yq}GS zu|^NKqFFIOgpkGbL-PQ3W41Tp39j8kSm+Z^_Zt>FK?G-QEj**XoC{Whule!_Fh1w% z-l3?CmkK^>FaS?xqUu69X_ZdCyVaMPZID-9EMoU2Yy!+b8_`$u<7&IO060Eqxn@}7 zWlH{W+t2FgqAHlNkj3dyG?$5&EmE-d!9A=^S7b6W_vT$-JqGN9%IFxU(@6$Q%n}S& z2v1w2ELL)RLQS>itKX!>wp!pu!OKVQ}|Cy_4KEnr{)*kZaimY2!hrF+0FUusa zjeAi1bkWJqBqu{Q7D78202?SN^AL{1m53PJE~o?u4rxRJ2Cp4-zN(q_twYMNsX-nP zfMX6Vhh9F29n>Hr6k8IwdF2d5r{EvMfVW?C zb!*b_H*n4FeB1S$P7uav@A1lAETK1B?u2mvN?(5ByqX-NSj<-zC1?#uR9A;Z zapi%7Zh1|L(;}>tohDxd7M`^&UIPrpW(RV^*WMgw+o+GdHEOLIlyIHZDe?&q$-|5L zA9EVbnKzuSP<%LiR_xX`MXz32y(fC#$9>=VyFiWBTb_AN-gqdWRk67IFwfXs)}G|X zy5Qu#+uf6*yv1=$L>#ED`co`8WRa>5!_cKA{BSBQVvbQ-3a2fO>cWIKn$TIcI zYkqb5JqB5rR82<7A71&QoY4LDy@hAV`~C8Y@+`@b-=12j&05@VQ1W^deJm;jMS~g> zgzOA(^rp3Jgo(@`V#uW7RKT*Z4!%~V_7QZTEip4j;QC4J|D#><)w;HY0iqOzNmLk@ z+UNF`LzghZpX)TyxyVM?Js!Upq|Cs^{%Kwo&u7sScC>}?OEwaZS)w;0G(tC%>= z-oA5s_NHKRE_R7|>AM}P2e0B;3egYaIWzf6NXNp30Tp!(%ProS{(b`px%i_!Af`ZS zwDi1IhnJUikX~zK@Tls(aSEebiOBW63JFQNbcv-)Ja`Ekt(e1@KwC9f*_M~>{M-MA{E+9iZ3$e}32 z@a80Am%+igBwvALLRBm^f(sOFDv)`?k*$+sPBJpQj}GergVt8|xV?JL6EFS?X^Xd< z+`b(7A~$?;~(_k ze_$X0;{GHmEpP*&QpOn-@sUH%D|C<5W5_!(Sl&1cH}oq|=W=c20@r{hBOvRy*tR+SajFrb zNT5pie-sihs6R0c@#Ar_`#M~53!@UDZE}i{Y!b8#y5c!BPKn$X7vz8($|WH4r!JiC z@k&vJ$cTOWXW5TFf0_?HUecY|!(0t-4wTT}9q(K)caAo7y5)53N%zHHPFf>!^Y4@X z#pz6KBbeps@Vwt^=_VI{b(He>WXmUuYw#g^G`~qr?U0*20HsN$?-V@OPqdIR<5OJr zGLi`AQcBPsSPj^W){<3kSf*%APp+Jv)`2n;URqh;@wT5xjlnqtjigyG)V*XOn4y@< zT!rnRiL(dcZ&~5?Yi_5Wx6vO)6M}#hfq`+oEm~M z03oN84+M-n$#!O&l!kg0ik*3@lh%FL!9ORgp^n40j~{i9wjy`1DA~{XU_;b+?DWoG#pOeL$SQA>wE9|6ZDSq>hj@R|U zW8s$K1yb61PM7i5jIjy=dR18Uxtd$!SJ9$zOE5A|xUz|?Ej zFUNN$G-JhNzURTAfmve5nML1}``OK7wqhhpmOhc}dvo_Xc-gF)hze_%Z+PqZ7| zoZOhoL*5?S=hLR1qc}}JRQitQ;k&L-Y6^?nCbkI*Ue{?@Me8~Xr03=4tE*w>#9$NCD<_2-r|Mf|DDqYZlnKK704pYh#lMk%v)cx?y?~}=f zUugrql&&a_fxXrz2);|zA@yd%Sa)QQ$(W|-S%UW1x%=Y%tmt50T)F~}ZN}+||T(Ng)%If*`cMgCkSUw&0K!hX&G^Rs; zykIDnj2O~?pcrj>R>`wsT6lQNk9n@o$)&Cl;{zxRm63ld4C=?r_l2=RJdsA}>MJ9*jSog}vld5HeK~w!lRtr3{aeF;#BIms$v|+o_&aA^!l^ed%6>Ceqqq4=B zombhL&fka0FQXeGowR_o)^WmjQGtvw3}HWcgF|AELs*O;B->A34Kf=b3Pz5&{Zdnf zakVt;aD0VmED=pNv@S?w-tXdU9ms~;ZE@wu2RUKjkffzDuooB8O9QKNN=-IUBps`9S(dzYML7-g<_$af$!`T~+KJB9pXG{y)fkBA2x zuXp#ys97AQ*&FvrLR}p!`2OV?{UU~n7T7pT^1OJYRMgWA{~4v$2(-`o(cxPAds^{W zri-N`-OybZ@wIW|5qGBAG&{$|M#bNUY9D9#KiGnjmV z>bsr4;q`>~t=gR2@`$YpR>KF5x6P4Z`0^~cTVYl(hIZn0THkwBF;%Izt1Lyb(1^Lm zAZaaoN}R%62BCh)>O#&a45x$hjf=Clzd3;bc!LW(9-4VOiuQn_x*Kj&>#4 zVFEY3T~guo9~Jk6?$Em2#|aR=AwzFEc3-D?$cwi&VqQ4-SYzJc-BzmAPg)Zi( zNojvzC1M|(LE0i;e^zvnb8L@g7(03Lzj-qv_I|EhwfaR;_;uy#ulO72vFwi>l#6+r z+>cay4(%c6;YYR1zZ>lA-ZoKyFWpGoeyiR5ny>32rtK5FUy_?_oPBY=hs`6nk!=od z{n_GnJyZ?De+&F-?(QTT%@rlH^1^qg|FizJn*WcoKM#kpZ~wsYO3PhB zQPK!WAxpv_>r}GGRQ4s3bu4Kk1~YA_klP+&l4W8rc8M`X+1IfXldNNjVP-I9=K5ZB z*YiBT&+&PFpYQiC$Kl|*uJe8F=leWgC+pU&Ys;5-V{V?az8ZfQnV@Ez7!KZ-4M+G6 zSN|0hlQUcfzHCjvA1e-3|}4%+SCs4+x;%FDqIyF$40{R}#O7}9yl=&pV~U25;W zSZ9A=Z~X#`p?`(C5k#t*W`uv`&Mtb0N0gV>eP_?M_^EiggqLuSjN~l6?yob`t48|g z1x(ip3)Fxhpw}#7o01oG9B)Y{t57WDgd&>T`0UF}Mv{879$&S4E5i`ZxHQsRZazF1 zt753{$M|lA`VHy((ZKxQSMaHJn@10k!+(L7S0F*~atUGf=2A)GONXjUUV>;4JG>)D zlB5+IS!~ZZKNVt~%RYd?UW+~rW}b|p5T2Crwp+4LBVz5x=bmAT?w}*ObFdm#VdW2Z zHK@4_8qW)w_kQN04nNy1jKIy60g<}Ne(5g;+=w@gDq+8q&C!9y+xF!p+E|0?EQ>dH zP9~e$+ZJJ3?~t~oTa;keOYiYpB`NpnI~k~@_|H2`aVB<-ewF?$Z!uOsXMTovOb@5X zH{?WylS>;PMXlHK_U1SHPmr?L+&aKvRTu8dMcDyzb(ObhKON5t!5Y5hWy8uEMO%WH^c!Nc{=a3z+xFLV z>h*y0dwA>>Ux)i*C*z}+&ZZld$u_M!%P#mw*@M+%R{h5Z_2Ky89Sbs6MeMx8g+BKV z^T5S2I1c}s={f(Sv6Pr1nuim}Lrxs77J2%yH>7;<_lXqb`0Sw#|4{qD#e5^kHAybX%vQq8h|J#fofqu-GcI zE`R&|g%ylTLu&exS>qb6oH?GBTv`Ug+6FJj*w&{D=j<3&sa_Y=UL4HB1o7_%ZUdjn zd(OO$IA0gT(D-eM{1Za|nka0pG&BV^8==e-QkAZ@+Odf6oMRbs= z#}W=orTvpPZOi!|n1yvwwS70v25vEyaMt+L4tFZ=+za_QRc3(>EPjO&@GJMqil9;{ zU)}%AybRTX0H-UjAuW48N7swD{T8y7ivJfAp8sK%f8O*O;m1WsVAQ(q;_G-nU*G=V zc%k3Iv~6SHC+ZftwKJX5`)qwvlECsO|8)!br@?l|Pvkh0zC_>Z z+I^YF;vGZ1aYdDv-OFgMLoe?vG4|CI{&}|3)3>`k?az#B5-C6Y{W{kx=LtfDOD+bt zBCY3aA^6zdNUmz?x!<&YJc9S!{(*GV6mMVS;lb=; z-|u_g1Fr}UbWO{Vjeat)(U}F=Q$cm?4>%7Z+wNLw zt#R8{ET#X|)>!cQ*^Wdrr`-Wh8?DUGXoNkNB7E@8E2@kzy4?o5bK81%$KM2`t>&4| zKd`DBZx1P)hyQ4-@U2cvKddVGfH`p>`s(m%$x@ywTVK_4&u!Y|(PO;_rT)N=f3(gD z{7E=nI2uKH`v>iD+b-jm-+mv>wr|w`(w_JK2fK}gtBPR2YrC*{mHc!NLPw-h+pY|I zr}}qq>$Yu9S)jCR*$-Fuq9LHT{1|3Kh5Thid%ZIjkJ(TCgcJ`J%@h37>A9`W_@^`c zwDI$PdMS|jveUBOSsZoaeK=5(;-JH1XTd$1W!%DqxgtfFE zj_WfaemI@c`qvA&_R-u8+_?Jq@s^F-uI9+AcHp2F*->rkB&>=4&aMf zoZ=fjuU)tHuJM9z?U4aIPU`NM6Y}iuY-!s!0Xxuo;{c1~9}mqe0xtbIPsfO{KMGIY5yrbB$ zC?<%Gi2sq6e$Tw<*3h_D#Mf)K%D)qVZQmwk|0PdRdpr-lqba=fgD<*9&9^L3^=$5D zI9wke8ty3yo=i($yEcivuf7^=s(EnaCqV&V7 zVy35lNNYFz9$4A7QW&`YV=VHB0(51fAtG79iK(=D?a8NaOQThIM}n7X+83}jEBz|5 zXRhoIEY-Has5pr~TDdJy>;E2+`_DmvpADn5`K3&@z%u4ZQZ@bQ4$Lcdr0UVi1^XiH z*C%7wxNaWIIH~_f>9HX0Nhn%Y^8phsF%F9WM*@}<6wVfeHr*b0F$~ky)3zI)n!m)m zjJ-g-`n-f2{O4W@SXucU%RYqX{KO&=5(9DlDn*vph5|;B3d5;ji^L4?=tQO3VwlvO z>3)~8w0jK!V;}ZW>5i#2BLsCacWl`d&V6uW=nb-FuRh&)9ojSHlo5Z@Oa<~Us@bH$($x8QkU$&nvwbO)+KeWkzjaYk=TdCh< z^P3{4?4Nef@as=I80OaN`sLnLkNaaED7*nL8ydg%eEJ40xT4AgM=;M-kEz#T9NUgZ zhrU;@;$JoCC?z`$e!x1nTcCkg=R`croP`?Wcu2 zB0|1=tQAxRQg-6})n*;SP_?gJ8{O8k`<+0k?S>+<%@qh5E;uNIDU#;6_N)vF(lm3k|nfBjgqsp0Py=Wmgg<=d`PqH-%) zfw{m}tZc?+K-Wu+76|o`gJTZvCHM(!v#b-*DA*aVnGx-BJ+r)jn)~gVLKrRmZcFC| z28UpB_8Eo0+W9|u*ZBH2t=CPbIi#yLsDAZY|0*!@@K~EssWCDrFq=~T_Wh}0&ymZ6 z*0BQ~GFuUopWFjjMLnG{>c@k6bMIUcUJm02yZG5|85O_H^zq+TKWXtHr__?+Jz3K- z_TJweM|?1uDqm%W?6~zcT$6C?OG5q~iyE!;xk&*keW^8|!)n$;->q#C(+OE(O>vRt zwqCAmEi~M582_DWtmOP^1{}3R>?wE1V0<4R+mnXGc!qc^#7tcIR>8|vN%-6{GQCo6 zkNjJA`c1$nxb-Bo?VhdXGgH5%p$L{K*s6cO0iS z^@G6!`PF`JR$}S2s{UAAo3wZr0=u_tamLu}b#Yyn&X=>Q(jMpcof!0-Z4&vDjFy|W7pi`+Hv$tn}hgbiYBx`uH7W>Cf$36g|QUj?M zxx{or0ykH46eJW>g!Yfug>KFF7~q8w7l<$>44CeR&Iv4>+~Xi$8O(jwf+CqP502@ztpn- zXN(0O(ao!x^etj0`B1D!`R#9*%o8@|lwG6cmO5odGKo&FJt)CNj@OX_-1|rG@XigM z)nYc6Zy%3~`8M2i?=9JzBa%(ncqa1u)Kqgp1PzW=z>iA--+haEz+u#ua)qC_!lmGr zL@BTl(Nwe>}Sm!Urkd-`=Bmdk%N^lMv`CrTp04Pg^>P`hHX_H>&2=0*Twcc(md1Na$=SO8aXCM54j^!voDK zBMM`D)lJ7YGpX0iOH6XpV>sUK#kH9}$FujVON9~iHtmw-Q{h;W#?+PzX#e$thrwgSx(tZF^QnA7^aE&>sRIyk?}9W zZ*lA;kE+34Vw6mOTmKAjI*0aI5Zeh>nF_peWSwN^qq6-aDqKc8gRaM$JMnrC6*gkN zwo(ZWk@APk%BQ#^tD-RmcjphAW(VCw$Z;+<)(PL2HjTX+S9)|{47$}~W~jt9%Mo-J)`_j4Ri+&X|Bh?^|_Nov`P+*0Mgg(KV4J|v|TEj}Houzb?{{-d?FaVfd+ zFzIW;CRd!=eLb`I%$jqrD%MViDyW@HPWReB+bUnylf0#jxZV^P>a7>Mzzf1@-&%GF zl&3TXkekx&?r7cf81or%o$)BDDe=L!bv^G-OVGTpPFCVEkyNQBCQ~zx&3E@to1P;bHHpMf9kcjoe_=-^5J)q>%Hg@&vzWF8! z2$^nw)sNEj^}4Zw`nt>6B=(g+?)5O~He6a}IimZscSZr?n2d;xme zV@|Nn?f19GyaN|@M4Zs9Cd{s!gos~Okp=NGeXWg>79A$;#zT9^N4e-g?TvNkKSfxUOM5%Ud_89!fQWX zht=+?7%jct9DGl-H<&Hu9Qh#R(9OGPP@Jd9Ek4)v+WXcUnMuNBVh%$e%G{GpB71%u zt1M`IU;F-Vr78w1Q?^*Pr8{R9rd7B+UFva$Z?yFA?yqfM*K!z-mHaPz=}1Zi2$kTY zXD$_~AAfRB{gu5(`ZHBmiqxt6$<)K1%X4xmsfFMqW&3%qFy7#vs+mmFm;4Qv1| z3w=q`#Me>4@U6c(e?Ip)D`0ibgTO=|Ydrh=W50RF6Soo2(#h7avTio4dBV?9jwU=h z!52%OUq`p;9tCK}Ta>ieT@?-JA0@W&<6@Xa7E#{-fR4ApC{ZZy;xFNU2;a~ECBnKA zJvqY=gPuLc602B3pIEiuQwX>$PpAvu%TEWq3%3A~5ymXqzg$eh9DJJEG@v-|dDWKK zkKxo%!k{K5zhm8PA3 zmNuLk3rWhbnhz|1d>bAyY8I-&V<~YpoBB=b!>%#>?DH;B8JmZd{Zqgl zH5RUI#4n6KdubAlG2?3(x&N}Uhd7e>{sy}nq>aUzL#xr_vkX%7yg&=^$&T{S#4c9CNdN9chKJoB)S;>tkc&6e37=V3MvIT&CK&2;(w(rqEznN2WG3AyN2 z1m_Xc%_jd847PQ7Ec`mie-*ew>I8s)`cJlP`)2z^r2EOIkIRp+Ca?jLV$DB~sXKR1 zR_ldchTp(sM0TV@C1{>x%5L^JT;U^p*!&2F99L{#=8# zT}#w{eNZ?U(Zz^1_X@M~d52ymhrq@|^#(*H5=lV$*1_?AZh4$CXKlpnmthPsj&;a2 zK<<{}ZcJxA?;Lq^q7%az<*7{-S7L%cVd7hKkW!$9v3d=s;w)aBNGoxCp+=TIwq3^ZXJKE7Gwz>*8EE>ZkW z%8g?a!{ZFv&=o7axIx%g;5v6GKxU0Ee>LN%N=Y7^XJ$nZ^-GMu&J(|EaAG*|D1q0x z9ggil6`JOUW`Q92ljwstJ&Z_D_3N^?RQFNdELr$Wtm6UQW0UtSdYzKPj-=N;0so4) zGP@>PTiWhTM_ilt1LkOSz8DmZy;*%0jfrk4zu)O#PFKwix$;UcpvLG@R=+{;zw@_Hpti0|-g%LimTbwe&m|0(5c}6q7sZXbG zXV-Y3PYgR*v&y#=P_^-F?Z$OkO*C~7o#i*z#koHlao6oMFoVwtQKKx7yFwFRik65-5FIJ~h5mt*9PFq`p4F|m{b&#{ISsOGE-|7NZ*Oh7rL?8>sX<2?M(hE=w z$Qa*5c6`|MhC^hBei1E#HvP*Tn+?_dEn2^2uXBCR=0DkAw68_)xcT z2U8m>?M8ey;p&lE#9%?kY(nes>QHOk$g~>zMx>QSqObbsOxJS8G+Q<6wW^9OPZc{~ z57$V+!%#rQ2L9G+O*sAYVKcfW_4Z$B7EHm#_us*NhV2FRMxAo4!r4Z#^OR zJ-;1HH@AP`I4vSyaD6xd`Q9I?=$@hAvFtS5I?$kQaYkO9rE%(Nu{hwwqAUl!tuIXF zllN2Y^H98eXRB=2kr~q0uN!H^Gw0YYhjFHTtd<$?dR!4~)+hpLD<t&UV&QytFm8wUYlI4gX>6|P;}-IY5-Pr`Wj zjG&06Ye%SuUJ%)3Fm`0|@mxII4Bp_CxC>tt$92v}VYdGHY1mTZ5zoyBGGw@#gXF<7 z`B#X`B}8^sk>#7UNdzcd9%_MB=Ys_!4rbYQ=H)eVu_H{fxM)_c}g z!Ec(v@AaISGE?0WxsLpBDWiNDn7|*|t*qSFwk5Q0OO5zo%Py`rH8D&;nOmziGXUYTyMhRz_qIU_5S;Z6_iX z!;D9sNHrIMfd&R(%`%&AoIQ8$+=}$K=cuJY)Dj4kPL))yL5;BKIJPC<{f$Mf5<0V& zN^TXyqh7qXdcb@_3|Y*Z#B5!GS#J%9SpMQfacT8o(J%bp)x7U09cn4k@!k*vCeaS1 zT#!IVxO$HbyIdG0o_MuR<-r(j(>(`taO7%jc!|mIjZ?yLVm<3v9=eREGB3vKtg;U3 zcOpi9QQhwW<4P6j4n*l`{(&&fxv>X&4Y&Bv(IM0kx%~*g*v$RTuhLHL6&Hs z;IPy4vwtFtOZUozvN~-4p+RoO6eW&GF zW6Pm~z2I0-i0AE8C5!x1=-C>@oWnkf2t7xZnXkujfiDZ z$3;4XOYL9pxfrBcufgqOb8`YU#>5dn^k*BVIj?8#Blf3cUGE;fT%w$DEv(+<4A0h; zz^Ef{t>KfWHhO&!q6|Ce0Rfxbp=qC+rZbkFHh2gl5PQ1U07>8N>E6Z9-y~Ch41EET zH!+3q2}eza%+_e!gdA+MaD208<~zYsG|xJkhDG6^pT4u!YctcvxA}H0e%-u{E7!KG z444Ye&=(6r%72=@rt0>7PAxWwbYlij_9mqw@z3hy~}9u#PVsE$tq! zIv_Q{k>cv??U=(<#*qM=b63uGbV78Gcjdm>SkWt~L*xFrSkZ2woWm4fJA7kcBQ)49 z!AYBnO#vpPqQet+lXfzi<7^*^;;nhMB$C~!IJJ*l!=E9HBNMR@GYnE$izE=7V%*@^ z84}`N7i6wN!Y~U-JMVC|i2QLonbPu7_U~8ibM~Tg?#%@20F&M?sF~?Tt>>sTuhI_>^A@skk!)5u(527_$cUAeSF0vGevukA3h`sp4Rq1m_-ww$D69<5F0ST4Z-3eCt zU*=V^p&*%VAjl2M09}f3jHdFF7Bk=3RO&~3PT9n-i<_KKZHM?qzb2UD`nY*Ts2N`F zCh->Yr*&tpgg0T=$Tr!l44YcVyuGZ{N?_jDbBW2moRSKqEXNmfV z^X7IQzIBNmKsUtO0>xR!eSzAJSZ zHlTplh^BgvWI%OHCu5NdE%#5-QksGT;1oDPUUc~vHxmtz?^l*#dN81&FkCx%H|wgZ zK7LpxAzX`8|44G+E^YpF;vT3zLXUa8(Aq`IC3TG7<0j2Y0PD-R2=#%p=cD%usC48w zcX-0gFa8$Uryh!nT8kB)ANfyqRF*4VXn$kgoSNGAZsLGQ4xVIe2zgVLaY~XG)}QBHF;D%A@cK3BgJ`Ese(DengZL zeKud;OPq5?%k3|_O(f6q$@cauejDo-eV$`!SR@ZMvvq~Mjbg4~fR~ZKut`**#hQtl zE>BCRnY6^D-xtlT8h(CAv|}Xe0s%>|x{&=q5Rut!WLhvi*KaPv=NYw1RGmZX1_?$Q zkBE^vQ4WERl7?o`KCVKA8#45uRBJ>nd;i|(zGCZqy*UXUbQ2P?+IWGRQfrwRlO%WO zHH#Iq7fQN^h!T9WSBnsBqjV^#Jyk?(^ih!x)OzkRQhLgU}$}!^C{8UCV-0M12J~eFO$@$EPFqP+t-{p<=Su?dg zr=_y;MS6-=loI@?O#I*H09}(>((Q_7C%a%$pn}8J(lFhs%v*_X!E#c&hRihY!18I0 zZ^E}lQ}5F9V|w`$W=VHL19e^M%K<&+8gOLfNC*Ed`IPbSYXySQw888G#(}IOVmvVn z+<5rKka>jOgh#qc<9vl&iG(Up?ntO00#A`DiH`DDr5(6Rv@>@T`$^?_f=6?o?(^5n zu(q9We92B%G)13kP_s%b#{j0PDFrmDc?HvZi@P&~GYpFr8YC zC>`M$5GZ)YNKipi_59W>dbqnWNF&r;;lxofo&`e1*Vd>7y%ABn}?3)zzN3)UKsFLk`QoT4p49I6-5gA0cXPkRZQMjsOO>$ z`oGr-Mz^;ELRo{0a8YcP-7|OE>8$4!HUy-LMF{Z%YZGri;$)u7P8zG@@xPU=Xjht; zi`MP7_bof}7A|Lh;Mz!GXHxkwk>2uv=ab^x5X4xm`%0JHXyti9PZzYi7A?vhXtdD!&0)3E6_|->Kma z@e4xJam%60!JK9ca!B}%*5b5HONRDkCpdms-Xm8N(&@hbaP{^(ao#G&!uFHn5X>PQ z=`Qrm{g)KeXI1XrQQ`EoPok&BN`wIQHtqc3F)cbzITA%P;#8!z*}(N6}0;@O-x4up?6uDO{g){T}i( zGWv^Kovs#PF#cp!lxPkDnnTXd)^Fptzg1Q87v4gE1y(1YIBFluu(_H#n6^}fG&LCr z}lPo zq#KlYqTaAM0jU{R>^8Wwg;n*?013fNwHm;=9BU3Im&+57wdC;p$@l8$sp-I>976X- z#3`HeE+ks2S}@MJ0|&iPMa5U^3j}62&h@wM<6#gpk=adH(9T4tMCV?1VUf=mHz1g_ z`NGzuNh%6gNZ*OVSx4{Xlh4|tm6H6oPQPKY;G8p$r*Vv}Pi@jsvCwr*COgkrp*t;h z;Je#=p%g=i=(PhqzjuJSi3hFw_1=%l01FPmUko|6Cx+$<8Aw1xk-a`*kmqxp;crf1 zCk0L{#pY5W^iE9p%|chxgi3h_-*VlMXXQ#4^=qhtc8{15$yq77*?Uz+3LCvAQq=?x zki1hMzU$m;P8ft^PHd{)=rk~q3BB+vO8K)%?`oL#@GmF1*6Nb5Qa=-x6B z`MhW}1YB-Nk_rk%Rsgq30%hBT@hunQvX6?pyoysy9``ba&%g;m94PCk?7oRt=Oam~ z!5_hJ6H%)_4Oe*+n=vSs^SnW#Q2H|Yyo-CB4pMy>i-?9SpYpcBi*~<)5@tHay=>NG zc(SXImZ|6QkUT|XMX6{zFmyV)Pna)|DOByl@s6a=D~{)vpTJ*l_zy-lIPh#YaR>4% z_(@m@g|tJvV7nhOLjv^le$A0NTl%6vRpclDzADCKah2$qRI12%(^|h z3+@*Xl3JJk_ti;gW85hPHLdjM%z*^Kch-Kfsv4i$9UrNF6tziV;M{n!*`ytWYoVWz z{aQ?m_$>*x#8g?&8cwoXY%NLoWZ+p~iEU%UJs6VuvBAnmsO6P*IzUX_+JeXFMJRkd zNo}m35FXxV6t1}Rrp|ofqEBtf-HXcYeQ3YJ>hEebUrtRX6(t8nnKWcLM(*1hUDQTq zJE}wvzLQ@=2YX$S(8j}z1-7zi16)^yV1Bp`LCi!!R{7A+)dc-sCEZ}?CvSSAAp5aZp%~NQM zLPnfa92f8m*4-hm)wp$bzOXUkVPbBq<71wedbZiU{7b2b>|ENb%|>sR`T@{C#*{zM zY0)jQyKWaLIcQ;JEiF~d4;=@15n(nZI)KU@!%T70!>GQ|$twxD>vs!Wg~jaeGm3%& zcsze}gCVkFO@wv=)MNo8Mw; z|LXeg*4TWYnRG1mb6bm!sdwLVg3V+7O*GNlX3cKeD1gV?QFtZWS&$d{NV4yydvy8k zQMkYSC0z)GirCSSsPC0}7I10z;WV8-(!j(z)>O`jzE%ri{8aM7HgWcP-Fgg-&s4BgSuq$g;LSgPvpnF0xvt^{!9Y3A$`|$j zF>}a5w%;f~Cys3u>Xh#C41GOA?K2+DucDqhRmJQp+q85^FlAkNY>>MhBgWKFN$(dc zji3!k^0Bhev=~|!G_nb6-EsJPRFkw{{!G7+z`)E>lX-2-Fnv^iQd=Km?`zcGI+`0p z6H_qutKb>mPpo+Ti0`pfbK_Q_*#h*Dey(%QUe@fJs!Mo=^yXMi<7a3K*{t?_%pi`G zi%41yRgesDOqCokU=(fJs%1}h%tV~llA?gx!e67jeG93dUk4H6q+2? zV9tKv8j>jPyPvb@0qccbY_xesmRYiZtY9e;=nEXxUi;8gUOd)1<71P7MDO|6K+8#s z3_St+^W;T5iXOvJU_SZSNG=O}T#Ykn7`KqW; zBgQ7P=#6PFY1RF@$BfYVkFK;AXhOQ>_-4?n(G*2Uw>?jwIMUy-v?=%|k4^YTfxudi zu2NQt^-NVOw*?{zoFFRMP0MTa9MYVwYP}b9E;OHJg{Mf&QXSurW#q+qHhO8X@IZ7L zrBH{iNX0cugZ?hi719jmuuZX&j9SQFFraWN#3m1zvdX#yc(uIy*%^Yx`-1a&{=sz$0IihX%S7YADOvnJ3e4dE= z&YmTX%@J1@V=P!{mz&-4*quY1u)jZhTOe9QSA^K8K_Q-CEc8yZNYf`U$^q=(d#oFn zxzOf!fjKol>0#B$&}^UtTlI^d^UKnkMs64HntY2Xm*#t_E{K|pTaimW$Mbn}xn>Qj z`q`sMhtueikc4Ls)e%$3ySs`Vq|N23k*gg!&$acrkP9)j(zB<6YZDiP1TsEyQx|QMU~8=`tDr|R9p4d)Jq--c zsBnTg3a6DE!On|G9-mhUozfcTrrGD5%HEDn)mc?sC)($N>f7`g56RMcl=LlD@i^6^ zK#de6X!?4$5|{+5B~*#0r2J&3CjKWo8DH$`$-olvxCOHhXZ2+b%~;;mb|71zT~o*< zo{~@62}ubDiOXl_$ADQ?IU5o$0_X8QF5f*#2k9~v&;(-NKBvc;&eU(hWSe$~zk9T~ z>Bm#Xuccpx5QnT>q|GmSSlH+L_s<=+E_Y@2_I`?E@Yp?Or0=P9Q=KRi=6!l zxZIS7BgHc8kFY?V+!=t58_ExHTA=5-MjX-syU5%U^kFYoQutzjji$R2+hU$f%$1P3-30hKjd&$tQrcoA5nzgxq>goBPF>}|;Z0Ct; zODvZUpRRb)@%@3)L5wvrSCV-54ZCN%%Y|YdSUsD5QKtQMxS!iX(PYlY@Y{Co2!>oI zx-Fx!cXR@ts6S^}Hj?$U$^OI1N2_2Ah8a2adE?a#m9o17R08io$NcA6k54F6lbfS-UeBYrH~B? zUh=vgx$L7aitq6G_NoRd(+2R&2uIT18Q|~@>V=V+SI6(uf?Lqhpvm%G`Ki}1l91Rq zwBS+sFGEd}FMvaJ(!TGIflXO8}=p2rU<%UHzuT=-c*;ITKjl-1&N%dRO~d(ixs~ClcK{qSOf7v_du_AYzG5qw~=leB9H8)a+FA1~XuKYP)H?Z$vJs*!r7)aP6w0 zNy=xhjU&WoX$=1lh{ryM9bf8@9rCs=x* z!>_Ij@vve5Dy-HCF(H$!q`Cvw4z#}t24Ol~A&i$tI)SI*9&1hl8y|G*+o(Gdn*w+6 z1O}5{24<5Uj?XC*s%|e%K1o1XLb9lQv&4>gXiAlBkR!o82TbLxGs~Sb&bg|H;xC@_ zeO~u|1Mi`50lnxY4&kk`d#|dG@rtT&lIX3?P+|$2;L31=Z*5ur(ztV1&jQ-k`y+P9 zjM(3SnSH|KjslBL~&yDrz+7%5?nzEft)WI^f0^_fT@>!IWaEYKi?NAI9AePZ1fx|OsAzstJ3r{4u?6ULLZWORd z>J~Nq<{V>@qzvt97#9V3$FxL5HNsv2&KcP)1=}CZ_AgwP{K>e4fgjIxtwLWz&rrfv zqn?Lr<`anI++{4hT+!l%pLaZ}7^SX}UeZD=MG`th`|X)3H)- zaill8XRj$;j-x!9fxwZLR2lGh*1&$Lq zj-fDdl@)W8ov#(Wda!s?>?Z4~v;pSn9o{cZw+#hKKL@>~s5EN+ki8&^|L$ocrd?m3 zf&m8XWL1M8`d$puPt%9r4Rvft{c=Kisp_?}aB75hjia{PJL#8CNJ1hlmNUfMetT0) zqU6evsjU3+0QfN_NX+{4S!aCxLjT^0s&NUPh*6vOQj85K9@~feNhZw@J`>xyl@puk ziouqIiRKNydcau6obv=rxg)+ePo4@EjIK({E)PtjeQ9W&REb_Q{KYgX(sZG8kI{L7 z4V$2-T%(#iMUJ7%%nX{jl-Huwh)*3;o)<$}X6Of%jGIo!)E*0UjW;LO1C#=4hDn3n zOR|?zH1%aLPRsFLP__>JubhcJXu!zP^G(m4K>0&=Dg6STA4gq8jF^Y7eW|C%{-o2l zLo%-!Z|qY3l(gwr)w(vXB73sA6OFrwSo%joK^2cZBe+$#ZrFfY2#a`d2y2`=Xg=S6 zFNbUkp#V!+axxQalA(g_Fj|*scjZ|h?ugJWwilsyWNntnX2mEN`myqK{;F!UpL3q3 zCP@~*bB#TtRT|m2AcnZkIKJ2OF4{me3sIZL=@Ub=v$}w(3NIxBv&$&5>X!DqFQlhc zSiBh?Wf8e*z`zS*faeD)#XM6R?Qd2Ysnt$`k<*q`ovEyHbkFTqfKE6=p~>fP67=Xk z0u?kq4=)vhY6q@7^XS>|L7;HxKyLYCfwj3k78%!q0!(qvY~4wEYM+~;_?y_I<1B-sCY#0; z%x31h4GbY@4Ti`VoSQg+KbTo`8{{oQ97(?=gCA)#YO=LeVoN|jmOVXVi-7dekQg%= zY^G$KzFS^vKvN^b*svzyc$&lxANV7S6>?Ta_hUkp?fuJnV$*O z54+7=7c*J8!8XX6+^~@@cfuzf-#n5NUMSqMVe|G}vB@CJMF1+P38*xpO1!#UlzwqL zeJ^=2<702|VPoML&}2MZU@>(H_xIRFp^hbTfqfN1p%7eof|a`Ba;XnrW2$l5{Av1t7(yvDMif&BR@I>->+Ny}>~csu62rBX zLJykxsYLFE<~gcd&t(nWAu@d-c}L@ui;{;FLLnLp>{a)<{#y;MC4!sQue6db^e#N8 z)9F^y*3(F4Z@-00E;3wj{2;<1v;TQDvc3sN8Vj;7kH9pN=C zjY|~Fs#YP8=go7fbR3K&K-jX^mBVG(AR^9DXtb31_-?H8>{4ImioI#>lc`>PKYGv1 zEIP_MbFySP6EUum{vx#zdC)x-&Q(JfQxc6gZnGZIim?!#JbapGp*hfX!~&jI#W9TE zl!&1@K+Mc#qAv@`#$4vMfH0bAdheY$Cpc)`RM2SGNilG+^vJ%833Un3M~#~4?U1aT zAUz1fK6FZ;5dlur)$(?FC<17ee&Wg|emKFy8ihM8U*g{y-d2z6K=TdVDAWY3flYv$ z4ev{w1r~|N7On6Z?OdI4yG55(khP8~1))?dDy>i>XS2RP(BO5GClc$dU`m`I2y2(9@^|7)|A(=HbUlJvEbKmq!;B zPMJ<_7cOW&vpvQl6Pyj{i~V`HiaNeDE3rcQnp4^|Tszdzn`JfGn}DRdik{VwOd1HR z9ef9e7FhFmgZ7u~jx);{PE_rZNCau{fQND-UTA#-#YvXr%4wF+P7d!)FqUw^60;|Ib19NpUs-!ZMT9XK(rjx@e8RN|8`#63$XYEzH!bufdKwg9-NX zCA*gqDx}`v7DSr1NopfkYGeFq({HgdeK%^;H4dS0El{umH9ntJF+&9QTus%@4cpVE$lDZrr}=88T4GN0rCtF_H?3t?(;;0++8y1R-!3& zfBoxY9kmOr0de&sd13Fc?Esply+z5Rjy!Z?eMf_-%bEeDKHllbEYZDuVR4oiDiJt@ zcg!Nu9L+dgK!mej1{oPJUB$GZ6b7_5{M6|*2*Wkwl&K4PD`pMWx!v@DC<_-l~bA@Ez7uh^h*2Rp9T_TQ|~NUr^G;HAjA zx9T^Kb(UDEsU^eO^h0ZQm4BL)|NE#K(d(*c)@i~qzo^EI!ZhyS zHP%3xEU_O8)}oH_d1qtS<9bztlc3RiekyJ1M~Ots8|6aYSl%$AJSbZlXAsO)48Sz~ zcFHpwOT{8=C^b}j(09)FgGEH!`HzzXeK7wEuTbFh`IT8>NsGqzbFrXpC-fF4=l)U; z)8zZCfAI>bZsobbUHwb8nrRAe&Gx{RJfsiXi5RqaR^Pwbs-bT9lp#yW8Buc8~A zQHk`E6t(jK8~_i{xq)RRbVBDT;yiffW}D`C6TIkh6=bE@Nu{J|?%yIfu0f=WL0bLj zd1yF79byGRP>9~JEUm>BEt6D9R$dA!MSGjZrC$upC3^L{YV2ovk!WsfFqZ5`lWs%! zB)qqH?cY>h^CcyTd~)6+=}Bfi+5?rGCZ602ynU{_*g_iEATqR)^}Td;T|=}rl$hti z8`v*T6Hxv3JjmK{yO53RRyV3?mVvdU*_rxXxB?!Ix4nH^xF*&Mle6kOu8oIz?}8X{hFm+ndQdVJv7a0B0I`LFi;s}Z;7k`3l9 z1#*LQ87pmP2z0+G413WdgH-dk>Ul1sy*X>_ zd7gqhrI$te?6J;W3)ZG@2B8P;ey+{XNt4qmf2cn%!82#+LQ7TsD3Bcs(g~KLMOR)y z@7Sg84mqo>6PisTfn{h2PvCWAzdb<)oox+X{~2?M;spJD=s{v*Sb=vt@V8dd8>@7{sP@R)=PHrd9*~&O?DY)CNtH&Bg_EVw?Z&OzfyJPOeuMKtwT{|%82Qj~0qN*Iiwx~ck`l*Iyi&GuN;~UWaL&NH z^5~4gBI;=GK2m~_qrAbrpd3Kz!SePu2+M5W2kZCY$J@6V^S;=(J5KuQUP&9huYQAz zKT`b%Rc4=lxS*JY+cE!Prg-MBchb@)`1S7c#;r|#)gA*Z{nN2biqZag&K4UmH8;o3 z7v1hOUtSBR{Gi%>ju5$fUamKtP5_$xBP1kN?+>Qm*?a8)o=dLQ$4(BipX9dV5U;t zKF?bd18#=}xDp0c_Qsv^|G>Zf-V4uj4ZD)8N*?gqybt4#zAj<2z_LEn_6i6|C^@Ms zX?u@jX}@N;G1QZnq%7KT!^!vmq3bJy;sBa$aSI-tpbLTEPSC|&0>Rzg-4}OvOYjg} z0|a+>g1fsDoZYv%-@Ui$)vNbsYk%$bbf2D{IV}UeJ@>v^;Dhu%>4wkk5cm-ym{HR{ z&~~%H8cFc#0qT|NDT0gLGd<|10ZqOLJ)-HjN&1zq!WfLGDr`GwVds#(!_1-(S`%^75ZnR_$*ifS8B9*P6~&c~h^Z%L(~!xcoKId4 zo!v2P{}h^O-?QCtKRtH|8P&aGV%ko_LE`6nA_)Q-%cb1^u;nO+JkyqJ=y!Pn~}T{Y8g6{^lCQS(JN7e>aRhIwFCZeiYv7Z5o5~891PFbbTztZ zg6e09N$YPE+>a{Z=Bbet_=Am>M&02d-<(crGgRO-c{r1(l3|c*9>BaQo+{A%ody@q zZc-PqEG9vb$lk;6PR1(STtDO5!Pi@az>UtDc8XvG4OIC~@1YGcO*J*S3p2M9J=tkV zO#{TZ;qmue@Z9BJhA|O+Qppd?+RG7CwL6H7@|e<$FQ-5xGwUd5sy_zJr21s7tdqL2 z<6)NT-M5vB?p=a>xXm>OMC%BW@o|e8QIb!~c=+(5B?#au-tY*IIJmyyguvt-i?tsma#+^SZ^g+N zi7gt_xh7jKbNv_>8@eTuO<-y+KGH{1Ft?!>XrZ=*FO^t_v(vfu2{$u6)V9>SxSK{lI=2Su=_fsVT1>wU7>{Q5A7*>0eE!ml%I|!W39)V zy*HkS%VOMMc8god+W>FWT4rm&$AF%;W>-tLnNa%?_jYH;Q~}8a{h#@HG}Hs5ReuEC zpQ$d zJc}udbR(k)E){?O30A_v3<6TOM|*N$D6MsfyaSv2Nk#otBN6boH@;{_sQI6^;Y@XMcRK{FbDR z1guRft#y8)0LZrpQXVrd}=pqsWbhjXHpZs&sXkg`Waz*1hC5qaP+M- zDTezS2VFttzlssU@9_1?niwTzR&>=)m<6>4w!6-x1(H3vS$t(LPG4=ULQ4DNlhfe6 zl1P%AsYs{_uSg$1q&1-~ttIb`I%{=0Xjs#56CEE5#)wl#-h|iWx3h zODt02lYpFr2i+%Wj@hw@)G`)~btJbDsKwC})>cpR&Ikb2cY}y=%zNv7d$wJWLwV6Y z&RVia`R#{h7q|mWG5UDYpy>p-A2*UHY|K($(v$B3xlluwR1NAGr13e89I3Q1G5z!< zk?09rv=PjJXpf@s(PlRluiCd1bR=OMTzGmXFyF9K_joeUI6k@T;I1Zk6qjN=%bv)< z4x*{Ziu+k6{4=^2N_f#0?wjWy8Izd6e%pLhZ{pzq6^_PlG}Sv-5gAhj+-am`Jm}K@ zuv&ThS2@5a4sm#>L=%cr(&y*Whni5-mr4~iF?uDOXO-@AUA)Zn*Z%@)HUgY{qGX7y zNqHu08&!IUDOI}pqB&KDWbIHi9DR$H%*U?LJT}NjSg7Gq{#Vr5AtmQCy0ek%Mp0>$ z8UcKwTSuJi4W`o;h--(q*=IRCrT@jJGFHhMJx&(FoiOt8zW8YIj|cURb%9?^J%WM5 zMdnoxAOqxjvs^!q6lKf~jjCajV^`5Yn4w8jZjhNF9_5n;?G%1p*c%`hk*a~f4twz}FwJE_9i zCUYh!ppW8kC2JOTO53|NA1k{be^qOib> z@^Xnm05Rwp?XvZ*GKCd*m~oiL7VNl;7GN9lwAmEc1Abo081<_qE9rQs^ZC;iBY*pU5)CcJg8H zL?Acr1pw{vx>F4RF4-Rgn6cqxqo~xPFeiRi`5zM)9eHy3r;b^%MSPnq>?kpIlO9C9*G7UXFKM{B?RayN_CFqoy z-oM4AOpuL8ANn}IZ**mrX~)$F5rV&w|567Vq?EiQ8?pEPvoH@&Hr4NIn7S%ucRejv z+3!|Lg#0WS1EN$gh|^u}|JGIrW0h4q$zbzT*TN=OD`D~pux&dI8aocMGLEW?F2oKi zoKd5klOQkNC{{Mm&<{OQVB3Sg0Awn`%yEletOErN+r>Ae7<5@-+g(FLzUfAVa{~Pdh z1XKUbR&*z=mSi|3ZFPRA&;%ZCJ(ur0?9(tL?FGmt@qb(3YNqT~*?=PaV;#19lb+=7 zI=ih`(m+~>-8^bfNl8U7%dTbjvn&jkSrqL(@ zFx{E>D_K89zNn5h26$$6n`5!4z!1o#l7;Df{DTP9t3!D@rS^HDlcX4!_5xC|(&e7} z4E=Q=gYltGq8Du?k}p!IOyrRJLq`hSH=4RM%$uZ&DG>Gh=IEA2%HGxw!d(xKv(dXIX)8@ z#R-TS7=D%kGuSJN^IzHxWbeW+_=d`W8(jZ`mWa%0S-e_Dg(;O@Ae#1%eRm0N_NJMR zJY}5ua#AQ@0tvYYSK@YEl@%9|EXX7Y+BI{9dMK=!0G50}7p4JfMUbHOZ$&%;{0l5% zoNn7mxHqc0&C(}c<>MB;k0ohH^nu|0Q!(j!3D{|cLdKm%PKazuQP|b52@rJ1EkQa_ z1^p=G==Bze_8%F=#Q({-&;l9y4J%GbZ>y91K2T9^g&u$wD2wXb7b(X4{sb;iMRx6nLef+rHe_Re16Adb4+t=Fv@i}C?eRYlJRrY^qZO0D8MrGikoPHZT3HIAF zv;xz~!{c>#?w#I{PjbYLD=(V0w9ft_?B=Ma(W2hBDj01&06Llw74pl+>$8dwlU6~K zM1+af)L5!DZcJs3C!wA!hj{|;+tBY)V60>wS;Fd^z90zwbFzX~_cFMD9)?te6Jqq= zSa_?Y`|y1GHK1cLNcj~w<$o>gd-;^wmv^=GjpFLARA}FN%A4I#>-%f*kT;lMJ)q4# z9#T_t;nBVQ0=#yte%XyneV)vS?|NETrRapD-18rO0>15A^Vqr2)_P~m^Q?{wIWD~p zB}3`dJP=QQ3XRSYB?X^3ST{+-+$llG!%`)REeSDf@@F}@pvUJYtPA8kHzhjvr2Lsy zcKfnN0GT=*?Rnf(xqG^#w|0b3297k#*+g>kk_|lDsq^TQZSNRLIf7JhtA44FZ{(TR z?eJ)3>K76Fp8Lec2tv~ltCqGw7?S$TB&x5pUHE^^PZxACySBV_&+1l(D>nRIb_Vob zWQgqdR<~Gajsj^jbj9qN@NH00(?Z+;YJ-ARFJ8_SRZRg04d2t+hBvJ?&I$9e$LX_9 zVmHC}*|yc%^=7ig6Dyn%(qIdx72+|1&3^d}LF^ytr%EWO072_vD-K||S3iJUg&@a` zcs3jvsS_OMc;x<68srCkUI;Wbk{JE%Kuuy$1AwYk-Lm82_W4d~YM%7x;2(XT&mYw? zLJUG8Xy#*+tOe|SyVQ#q30MvIK_jF4rsudvRcaM!BFAU3ZhEQhT-$NZqaqo$41*e^ zMs7?86a%d!Q9oyieD8Uvw4B0tK}e;svZ#oE2<)(q)l8YRG2U4Xn~=ziVbY-C`YR%$ z?vSsnR!UjB-2A2iUrdV0!7O9*!m+J{8^DNSIy;~(clG-uC9&feuambe$(;I6q0c5_ zFEoaZ^9GQ-j0B~B+H)XuSByb=UN497Eh^dGHjZPWQlrErLuB34Nw2VCQS7aL*-t#u zGNV5I@LVTj<-<6iiu+`>U)E3h&7(Q+yEGZ_`z3C#4O;ysQho$~1xGMLoU@_;fu2v9 z!C-M{AsJk?6>G`YxVqv7oG4rgs=C6ZGG0ViHo3sc#F(&!ActhwgrnZ0%d$6zfl@!kg zx-xUL1R+z9Z>848ANSiX;|B-}_Odc&W2Njz*%ynt7Z(V{a^OqXrHuYR6BUS>Eb>|K zLHGo4zTYPB?mb^Z*8P8+Ns4Pv7z_zCMH8lTLqxR{MGsaJFI*}Q!4#W-4`0KbqdVg1 zu}}vpez1R{e~Y+UIRJhIe2bGwjci=s+UCY4ns3**ysr*MaA*1wrKDSA9Wi|XY;)We zQnIkhSmFsz{3!P9eU)9sm`&UwV4)O5)-rSu*XRzJa+N3XKoJHI{ggaTc48)D^VE&0 zPcb7cf{PZ+xpZHhL|Yl)QB27esu{Am_z83wmU)%o% zy1AU(wNE`vtV>ESuZvxEBK@wuzD~*$q|IO=i!Z0iKgFDB`jkOEBhI42G?ZsNVk;p( zqqP!rs|3+zdLy1XOO{U3)Rr+fPM^dxn zk}PXs9l0@+Ff8%vU^B76q-Wa{$TGe)y^EXL0ciZ|Eg8or9Vv zyZj4na(~v<4qMEiMJ^<_@n=l(BG3@^{gE||w(`Z#mtQ4G++YTFrNOvFcKq(Y=D_XI zimBPMc*TP($_`#B-@166mb%8LscyE96tr4dAZ|p|`sP8VBCZVVALF16J5lEwZPvh~ zlePXkI24!KmQM|Fp2{{pNk`PZtwUY|QY&@repva@k(A=iIerKNq_@+LnnPMbOC~8~;d|~5)+RuSE%jRU6~@U$ zeGlHXgsbaQu3+9xzXn!v$h9(Py80n**OjO?e;N z9!wcNrcL>sb#*=L=H=axrkpkIdJMH+{>yYf-SASr;ez!@o{&*;cw*)id9n%>sUnI&c_^VD{@5%chscV&z*8H=t7og zh~oR`jt{wUM_m-039_jpQG)FB>*6>^v<0*`ow-5l@u_S8?=L!%roRgT0QOOH>5moM z%BizzE&E&HtcKmmamrFO-5f1e(`~B>BtuL!UJrOIjQ->WG+V@S! z3ei7V3cgZX>SZHHCDNr+Y2Da0uenqxllh*_mdH1+ItX}pzMOlW)%HQ3`q}Va^jNi* z$4|9yUbk>_yL;J$^z??k3vfRvdsuVDBL2K2eg5kbKAoxSd{lmlOow~rf=p$-Yw!VE zt#ZJndEFzXW69Y=Rl&@SoBg`lv+Lz$bMsJ&^Pv;P*vNsyox&CGex?=_b*_yVRY-@fW)mH@g%mn+U51miZizl)$ zR>>wlec&spxUo6owI+v8bNS)|US)xqA)*3^`makO2bxMg6u2_0K0X*msSzY9G{H2KdrlY1Gs`57w$pb^3 zZ^``8D&ds+8agzZM8up6~sBi*AaTrKdOK=O{-I`bjt$zud_e|p=z&araE)Xi+BmKkm(wlUc?ew@6+y|z% zA4H(KJ)A=89~6(OG6m4g9%Kz+&?tXQTS|Rvv>Se>pt2s464Y#)OB zq;&nkyBdM2kq*9u)!66mHPtW(jsp5oUU8F1vPZwOD5iPJJ4)D-8}4LT+hrGqeTkKk zGH$2RuWRhVMbvT#A2(^jixRu`_vrZ@Z%kq6&1CdatDCnh88WoFIXDYDdFIbBjgmWV%YEiyNh+Xne!;&{Tlek|B=I0|aJt z<)G_Yo~pDBCX1EC6;;b)`x;w`mgGvLH~MTtpOP`8%E!FUOI!3~WV?=8IVEF4eNqEP z*6>Yh8(Qd({?ib+{mu=Dww2?lUa~ZztoLf~UOM()QCwQ^@f)(=f4a{Y{hao^=8zoMe?LahxgsDDhSO zXq>pn50Pb!QAquq@)Mr|emN~G3_)*rQea=m#y5EsyPZTOJVN>l8xkKM;;?p|qg{fz zID$M9RoZ9T^n-+%n|VhU93qvo4*-IZZOluqgW2dTDgzf?D|)3e<{PaYKrH%pz{Yp` z+iJZBhzy3lZS~Ha{nL_wDG0p#wBns&#E{{gWs)^~_1Z`~)HMNA^TQcN@@Ma^Pxg&n zo;reFV9g{O76lj0s`1^Bl&)-LlDqE@MIJQ)GIbLq@Nk?I;M9WBpuB4%P~cA4B_S z)^sHT63GTXpDa24`Ky#RGRJMNGpSuX+QO!ahXxrSmI7WIyEy>eR7EEhueHXaama{= zT)>=y!U>qByhKik*HxtF!5)Ws9}F?~8T$k?FkKR_RCYvM5@fP)|M{e^$S>Ud$1(}r z!kgTj45~_6BP@Ie_vy>EB=LD%;?^o-M@=4}@f`lOHoe}uT7!h>it_LVCqSmR@%7#b zs9|BKw|3v0iG;*TOUzaH=MBV^K>e9aE8I4k{cd>kFRBT#@Ag!Cna5nt?WXW(MjQ^5 z9J?l|SRf~>8xCDIjdLalEa^>AHD; z3pJVb8b6=7I38D%Jf(R=?))+$zT%_R8HU!ny!G9}%{J3`!}ZKC_B`=+7FxmN13LJJ zjhulrB==s}tlEckUo7YJqx$ z(2>r7Ey0{*5sBeZ5;UkV?eirGdm|_+1S|7z!qleSip;Cu`S-T?*ZVX4hw%yCk+qIi z&h2~-E;q=*9Br*}auVlbl?>yMnyifDa2)T(UL@fA%~b@@Bt?<;8>i)@hORxgK;3@2 zZn@nrb$Txl2z;4$dvT()_>3fo_&B>bMyI8n?Z9kK~HE3^*_KRFF z-7ii?#|YPKuSLi{+_$+K#=MLb3H*n`!Xr>)Bu-3T<< zEr<8q^ye)p>ZrzW%V?s*Fj7m`C%)apkhLe+o!w`IJWoeVZMa#@Y47SfaSR_H-$!iB7?x->B zEIG?15t_tHd|3bb`Wqr!Bldaq9k$zFnnBoSGKP94#-9u|nQ1fnP1JtN#KV<;@cHCl zByaXxZ$SkmcC?=HlbX;Ui>i2oX~g#fuQK_BVZOev?mnH@@HYkf20IN(T5~@-K`gYr zZKl#TY7ZLLd?oV5w9rVNXl`CnRfpg_g3F@r)c1)5Z5BO0mNIs|O*FkkhOIc350WxO zU!dm?P;A`+ks=AE4J#La;$@^_l={tfc$)0xye5DFrbMe}mMnLZurqKQXa10rr%Adb zz>gn0(&+65Mt9OqQc-pz73GI4i}%||402E$PuCUO)dinEXremKS&+*hNp|hK;nQbLziV+` zy7qCjSIP6Lh{2KO0kfeq==oDKMAz%bWinT3uEdK15PKr7*AFG}b2^p#8 z!elUY;i?PAzBJF&+~K}Vk_uNi!aq=4IV`-u44O6Z0*2nk2hEk@~k)dls75wP|B1X#o#9EeSW*06?2|& zNEPx>Gx>+lmL>@6uOC0sqz(5#TE3hRkoW{_!WZF6=REW=tti243sp7EAr8{vtI)e1 z9Ik8+#`~VUrU0JEbi6c10^rQYE31Sk4NGu-_+0vf1xzQ9oEX?rqsJ}h?@WZj)gWfdl``lxMAOjo zt-14Iw=d6$UJ?{AYTekdSw!)BE`GJ^+z|Nq*Ae2he1p%Z86WUh7x)=`YbbEM_to$= zfwlcSUVwMgZn7X@9kMPBI>Wg1yEFvu*FtX%FVIjFhwQdyUSE-n$I#I^MfUCMeUPIg zb}DoyDB(SMZu}zf^Qrmgy~i~_bSpT?kIi3!XOd3}^lXl}bUEJFw2i%FvzXR=_Y-dv zP0EvAB@>>4>a4&@h9|_Wj}p#zvE@d_6qQp*5qbBzaIj-&(`O_>Z{4)s<(TAr|A%k7({bA*{TEA9xJ>GQOFUfm4WeeY@b_4UL7y8q4Q#ltl6z^k_nw`cLzWhM zF!dv$q2ElBvhT?)_~O!Y$+<1!lB@RY`@74a7B{LVSwvB-nE<_XvJtIP+Zzpo**>&= z)=-7j`1m4~Z8fG|H2DSeVdVLHXxCQ7Ae}?kZuNB?zTOsbgT-0<{26?6%nN|HP>T?S zEqJtVX27Mp^aO^*E%06KA8Md$r9Ze%oLa%6Fr#_1yC_-5MB>p&M1Cl3iZs)dJbs;TA)1a3tvwOsv>xyFDlUSVy@@@- z#hk^)v+6m@S2?0CpQ@uK$XZ|+ct5ybPcw~a7eXtQwJ&Ef77~oWB4iNfVkb^E&^XWR z5y&zshVPC`JrjgLDxzW{F{3W&`v3{#DxM$d#@$lwLCNHGhrkgyLI}3;>EI)amy2J= z>n5L&nKVzO3HjwV5tk6F1Mp#B0nt){4oIeqmPt_CrTv^gmP&(TfG2Q&Jj%CPg8h(U z%T-Pz1t(OEVlegUxj6~%)xGNy70iVYmitfOFYjg5@=PUPoV7iQ>0-ys*DPiw6FrPb znd(NFG&_G-d4KU5KX|u{kpuZqbwbc4DQRgb+Y| zxsFF%m>E=Nf>3t`rKH07=dhe$eHIvjs;?k(@alt&qA+2D8Z5K8UrLXm8F;sa`g4P1 zclIrCAKV4yhzg2C-bo?Hi4m&!$K%%xH)?$P&c>2K8}v^YcBnA8y5x8?P9xPAq8X;7 zC-gt69O~fRR0zs{`ht%{f-&;xiH-2|)!p_CE+NKNK`;QPDC)QpdpG{e%RU${IxA~Obh6!ld^&%$ zb%pL3jDBhu!^w9bf1(jQy{H|NyvL-&4tpbzMRR8CwoZ! z*o*K1?wN4y4QdGECq*P9o%F%%P>mVpAW!%Uh_Uo>8;o>Bg(0FycVr<>{>z%B-9A(O z2lsrjs@XUOL^UKP=wH#3c)$$pk;E}Xv2Q}Eub+Wk>NCqQNG77Nt$Kx&uDIg=R-^Ub ztI0f9;L(=zvovp>;Gh=G{sw8GheC!+f=uH3+OShQ7~*;XDL}q4ZE0+L7qRnH1WzOb zbvfLKV2PT-Q*TJya_%x+z(Ymzn$L^w#@|k-0Y)mpuSO|e_PedX4Y$>EPjYamxQxq$ zJ8!PnO<9YQz-}d3)9ON_aBihmojYV`jJyqt&y>7)TTHr4;~Tz*DEfh(qLNPW2`0rO zy%c+s3jwj|vB%m_~z=;kG_Z0lTg@ z%vFcB^LyyGQaj}Y8MW(WT7s{>_6gXtOMu%0E*=`3C znDOQh&U2;|Bzd{jQP!lut@NZmLYBC>OR82%c)oPjyvLmfXv!vAz?GQq-04>dhF0^L zp5&SF8K#8TvGS(>Knxby%gfXh2{{S4P29r&`cKK&(9SM+=tAht6~bd_^gP@xHMb0- zwvlfbFi`*Sp~0vpIY{@Ch#QN8b3Q=4ev|Fj*xEr6x#q|ask&d~GjFJ_!>{?eA#&(k z8vA3-Ngejj_E)W^)udyagp=x-op-Hahh5hDJ(@S9B zZLMYGvkkWB8gQSx5#{@Ztk?oTK7yuy103;KvffHC@*mDqZa29bvM)Fsom66~y@=Iu z-$@5Ava`q!hT~|LInkeva2x{j^>t=*7M~vMf{=rgsZtK3N>cf`=4>R81?dIZnam}& z5uUSD8e1f!TJe}-nC=)bWL?F>NS8L_31|%7%Za3GYMP5Ummykxa$F8#kJDU zg+;^VxL0)&{Xr|vfG_`OY`57VIYon&jh5^ud`pbjg%@a|l(E+zV`6dE9XJaWeBE!ZG&x%z?}FKK#+u}+(eOYgQXsb(@$p_)D#GG3V?zjt!GIUzn9 z96PvNJ!ZQ%ER!JFxJsr|Jyu*EAm&PPjXqUE%wfLzX_33em*+RhiqdZC5r)GWWKjqr8()Ipb}l-m%&xI7 zuf=SvHZ@6|y!47NeLkJWNj!^H=F8Xi0y>4I?S21CqLmfzhBC;*TGr9Pwr2>_i&8}F zI3kb-fSApjgrdlo8vbVVTlvEKNU!C}8l!=jF{`x90VRUZuesE@ZdB4?z5K9C@PB6P6^0h-xoVq4e3Jxe8SR z)7z7rvJ&;d&LtF2FLKc&?px(15;Up$zsN}a`utOss*)!JCH2pqBBgb|c=;g21vqgj zQS0ssVxMthiTp4kBpT2IZuzP$%^Np=Q2kMMAL{Topw{KF z3P#R!Z#j~EnUa@I+KCC6%k7-$DZ!2hD{$aE@MV`ho2BRb|=$A?l zmZM(%&)K&Noj__jF;%&ECyS5zE-*^z+ln8FNbMohaOsmo2IMfzu9qg}GZ+1ERN8~g zESp<2)cla2+Htyu$S>#_LF*nmx9>Z7jyfr_2!CR)>>mXri_{a#q|w6Jr?$Q|#krM6 zL~yetV@LKHBXQDOk4R&n_&Oj1%zzY5FD+ZQnd>%f$P_Mnx6R8>NxLDOp5ReZ-mViS z)5A00t=MzVrJZY#`@pHe4@5mKHkY->L3%S7o-Gf0(umWT1wW$JLgIEDg_q-!l2>&s zVcB2HcL|ZB-|97eiu4_974RNP(9z>dYgcBKP-4hTlsi1Pv;kPv)sA@Aa{I=Gjahp3 z;1u{#6DG8J)!!1yXz%1$#qT~cFHgv)J>Jh^D0zbqZb%U%FeTkRbr&b0eyhm}A z`Szy8J(t}xpox+6edveBUv#QlzesPL&iKUpq(+wf{P3BwP?T%*hNztV*;j3-UOHo&_>2~43nvVUMuHow+ z$H~tTvk3xL5X-K|`8~gVdC2zk5c$;^VORNjK!X+ix(fV@M3n!4VhGOR$&kLj7{y?# zwoeEj506cF1qfsVuqX2HzI%V$4bc9#N8`$(;#uN8c}~5aI{G~fY26JXPY=Q<9w7e3 zX=A~H8|x##uU&RMIBS~{UUg_*zhAX~n9by2UI>C0ZSoe~-*8cM062ULY%XRX?5 z2Q~{G!T*Mp7f|)c<;Xnt>Dz7=JfO0Dg7F!&5O8c5nzOL)Pa78av(jz3M+i&x{7zJ#c(utBfzbyV=n& zI=YKNQ?28#d5s}*&({$0n?x-;wu|_l<#9 z2SRz(&@5Rq^ zzY-?nNU(DjiHV`y08}D4!i9v0SC}kJv(`phjr?yn&5t5l6a@SVTVDDf*bZXWTPXIw zYC=@z&a_gINRrETmZ`0~(N$zPqWNdhbwbnY1sL3*vnfi{4dP|QJsV);$$|~im*QsO z?x=9Kto6Oy_N=DYohy{)B@5kwE$9hY&m2vT0qYUv~+=4 z{sB&!Rb(6H@~zpD`c~Z(mt;r?uANyQE~Y*j$@nBIr(6mCxIi?KB8oq??rhM8opj7a zn@}MJ#Oif3hxX*|UjF6XNM4pnnSRk?uM=~Hq;*Du8hgK5S%gZckO6u#P1Z*^FC$Zh zcaf{;2;YlzdaDs?X2Xk0^bWpijRVzhBK?4|NzyQqx<3?p10RRYM?gtrAg@msono-t z!#}OK=UT@HsU1E8H3E`v!9|htS zMP>B+d6LgwJ+WxB^iLfV_A!)FQA|B-5B2q&UPTB#^F)2A zy|P?tBWB}NwP;;I=tW(ATMr3KOkxU3A4I|O^@*J~p-LBxZ?(S<M)$Fbc!W z;Ram89=pKcR55th$2a@sS;d=@{w9ebobtRpjIOb$TS7%z!-$HReaRp0+p4Otfyq14 z0EpqsXe8QCZnPe2O5eL2anmc=ZG>29r=( z6%6Jvi(_fETo_Nue+rtkE=ym316t|n@xF7?M#s{rrzIeSd z%GE9V!HVF@xmA0GQ*+9Fm(|k%!am3XIe&#}``;kPKIWnSjs4QRzt^-^un&CN%4(i` zZ#-_vQX|Gn)BZcRo&Q|Ntc5_JjQ;!vu)#Ur1qy@z{VpL3!T4dMZWX|voF+#pl-2+U z?e!=u@nXl`jFbjvLW-R!9SDp@#Hy6rnd)xolmj>DN z4sc?}@aB7dkQf9j!AH1x_aV>5jr^9VTYx(5at zu(91WMcpTenQOR6`+(_ak$}jtWs}T%OTKQYkyA;#TsJjHd|dQTloRsP<3f`6mFWOIgrPuD9Y{x^Y6HF_g(Q%%GvVg|L~hxPJ!8? zFxV@hGUfffou0ojRiCzLZUQKO^Kbx)djWl%wLQ#5A8YL2UuYYvZfn1HuMv3T?c#$x z92&a*;jqcGI+0*6;N`+~AWf6ipnd5poLRTzXWYHlA=6|=UD~VOVt$TUx7D73 zHwbr)*Rd$_#X~zG#B~Lg3x2J}?_l>5c(8 z2F7S#-bj$@tau0|u+7$~9nj+YV`RF3aq=l_45ObVA%L1sBM(wdftNAwr2j7UPm zF#G46v^`>v?iQ?MyHyuWBeZu7y>(dEKQCG&F6xO|I|uu|5x8S&TjS?_KRa z_+0(S*>oJpMb`f{(8sdww@4ZhKD4U>z3&?N9lgqHGE?Mv&AnV`)&3M>if98Zb5d0Q zbtO|x=XUG46Qdkg2Em_)_wwpiOTgIOCOoCcclUe zf=>Ff^DZqph2C|rlknp?+tI@2;g&KAK2zH z;>@or&bv{Gsm*;-k%EH!1F62#Zf{b=g#VTA;NZ|y%hoJ3EIUK-^v$pLfsko?R?&Mn zZQLe^pT*2a^E&re`jhe}41in?WTTl(QB>%gS=2Z|4bqp&NJ7-w#Hx3r*GFKq_!5GA zLhZLx)t*QtwHcW7Y)AkeM^l!Z&^IGdW#7h7c87200Be&Fqwl$pd}0$S=CsX0X#FFG zSIUR=;El1ePlPBxe1lBI0%t*dC1hDyg$T2*;vUxU^yF}f%R>CR&BF|6qS*?lX&7ay zs56q#f{Ri8!0|;0XTr7{J{)yk>MtEWdYo zKrq69a&#O8F%?h|`cCO5pwBCdTOTGvTK6;~Vir$|Y)jxB2ms8L5q$bYsO&aFjz~LH z#NFAPJ-I4Pnw6P*#vacXGcuFL zrHlyr&{jggSgQ^Vx9J4=Ez;AOWVQ4~M@VasU8+<$S_#4d)-Zb9(P6(Ct!g+Xj6+aG zNWdX&PRUqz+Tdi5$IY8FLQd+cq~y_bfY z+=7%8N-qO2S#h_hbtS8O!K=>8#(;`4eYFGo3+KvFc`t-jYQ(A(mZbrUL6)DrV z!oNG85`3*S9O-7jh{b>4{~g+79!4sw<;FN3aLpXsaiLvxvRle+_TM!10=$sFx{#3i z#dmMu$2pXE10|(bv{-h13M6{JFypXsrmGf46g)og+y$?x9VotARz05py~JEKHb&ktF}lnV6h}%SJtxvne|dn*HO`X|PXaK_*BScNT{$LhP+ zcQ%Z@lDzid?MwaXcx+<9*$>;$x~pMzXr-se5^ZBE20Y6B5pFz|Q*6HKv5ocafC$)6 z#6gsmVKntgnQ?F&Z~ur|iE@hStFg@oEK!%tR$E%+Hszjmiy{Y(GF*3^raf_~s6}9b z2e-(}!BHdzZBb#~9V&}03%nAd9LMUrz_a$auOnUr7fr_twL?7zdpY zTh`Smn^%0=2s>`yF(UDNW9Q)F5bTcee-vonb)GxejG|t6h4BG*ZwVmrNEgJN^^*?SZcYn-Rqx457e66jp03E&zLlTTizpKmOtHmB##pWNnY9lmakL3?7WwGz8+RXBq) z_WvY&)usE0o^^X^uyvHi<_^|XvxtV%YS8tu0N6+G^^@;TQQF!?=8)2woM_EkaGJAC z2_BB`+0$b#LFyWV#BiTuUqFSRpm@QX$cOfqZ!Z^~*dNl*5G?AEJT|-!mvbC^W(G16 z#T@S!z>>V2{TF;oukvI}*JYBe9HP_D5Ng^K_wg6VKD4bNd!u_wneTi;`t1eSbrx3R zxBL!zUNJO$g0`fhL8q60@Y#xA1>L?Ia;U$SYWdgJK>qTZ_3QH|WuLW~%YfT)VosLd zujPmBd-F7o%{@#fuM#KZwXyrk=|!9$m`0}d#p`&H(T%R*?;=SeZxe=Irl->m!K%AR zJ3YR@@ARoQ;c9Q!3)Ph+q5tA71bm_V@vuuc(14lA&zgo?eki-#HUOq$=%P*EZ=}S& z4(Wc)pSMs@_^W!rck-Kt($9pgzz9oMweoubCm#3J+$Iiyp`)+tK*W+o7&l+PRYa0E|M}zX<>cUGh3Y$7Sn~YixT<;Md1*I()1nla$)E{tjM3ok zweR~~al@4Gi@r3-=>F_pGrq$3x`cwX{l;H&LwL7{PS9v0+1SVVW%!rVF)-0ayEPB2s*eq>ls<_$j6X&FZ2g|jp9PSf4$X}XSA5VuaI?fN~2;$cL7wdC8 zmaHC_FP^W@3Vw&o@lv$x_mf`awmkO?ZGdj$YWPnz#|W7}?}j60yxkv;9d3NzH?b8F zde;;srlLdp`7|36|CLzn2%95%Lkz(K3nP>MtrUSNO_3}=ls3Cd%G6Vtary+L_c&+P3w(Y#QGwLLyIyU=c;?=)`BV?@Vn*=(Giw?O-er-a<} zq7igYLSgw|Uk84Yt$)`~*GF|zk0hl7)}PIW<|cMbQPWbwj?JHm=@YKjF>Wbw*K5l! z2JGjzm@~UbXvE}p+e-f*uHM2S>M!~hrBmsYmXt0*a_A7GMCk?rMY?MM3F+=`kxuDO z=}@|B=#Bwq=6(F$-@Wg?_Yc6DefBx$bM{_qZJ}sSzJi6CR-)!vER}^&G={KXh~DSR z>i}x8_<&>MnGn9xm;Oy0Tw@5f$wJNsZ46SJ7U>T36xR>a^-)}yp)ASzMgwdZx$hc(yzKV_L~Nee8)U1P1Jr4QdSPAGk+)qkpxbxi=+X90WD z$BSD-6d{)e0)STU;+8!+3YsByOt6(%Q$v_gtXXrV*d&GDgGZUOAgQ1w-wP3FpW%0^ z*pv!|?1qvBKc$f~6QNhvduT*Y{N+cS@cBDjO%C8hQpa=2Q_-)L%k6pkVVs-GUGSHc zK4W%26icz=T2|6u(5KIO18pzS0~kR9ylq-TU%w|PT3c$+Z1#U=8cjsZ4ystRVunBKapMO|)!Ft_-Ii5eDh}s+!f|BMP@5Q} z^D+n|RNmzn-*ww&D@kpAsAHm9tBV4_Qzel>i#4$L-+GTys$At+$cJ~x%~Jj`Vl+eo zQm*)sTCYukvn6XMHApAOKq0}5-@y6&Z*uPzk=RVRg}gaOQ3B&KZQslb*~7#A*fD50 z4$o6sVk^QTl`Pnp!J%G!>otxIzvhX^=^x4}qja=Maaoo#1kh_)9lV-L!~lNKnN@sM z@^`gfHB*JasoC#4$pJ=zz9nsUP`blCf>*2#-ifemH76nBe4uGoBpNOt~mE_@#sc?LBEXoAg)eXW{DpFhuvIbng%mz5-9~ z8j6asGbAW{YyD)`OEKYh$;6o$Dd2iwu$+Y-6Xva8sRQI@dPx#V8Dan~z{ zu(#&6)y40^3Bze*^z4*%vOWQyi=a)`v-f9+1eY(X!Wv&}2k|KCy-rEXR}m^BKe%Oa zZQpCgzCTHO~{5v{%!zcoJx%{*T9soYl%xxv&-Mu7h!h zh=6|N$5T4)9Q(Q}cg#^_7wGyrd@n}q^!_*4e-|CxEQPCSopsZu{xrne39EFNEe-4Q zXROIaY=lWZZH@~qAJdt@V+m$v_7De?o)0R<4+*7`yJhy#y0XsziKO|jKw_}>R%+*c z@tNXu!0N-0-#E&D<4186ip7G3{dTx6Zq}!>%Qp)UQkld#hU7%U zK(?1}-XkzXuTPVZM(cDo()Y7k5S^Gd;zwBmbUqtBoqPDQr!U6GwH}UL{5>|1F?Zb! z-b9?)bE55+sr&u*S%axv!!f7!bKD*b*4*dHx*l$Zhp%C`tNTE~*tp#id#&n^=Z|hV zb&ISY78t|1U=xU_6~+u{#&|=wMq&sf&yQf;7ZVa7Nrc|^jk*Uy@neMD%TI%&^Zc%+ zA}MEu(=B>EWfu4@G0D$L6_ggw45s=VcR6G!m}yP6|p zfZ5$60_HX8x%A85@nvnOX%q}s6(t)9S7TYpMY&o1JJYIW#Bj!W`m!^d(AQNap^}cp zpSM~G%E!6)$>2W#HGghAb_P@+`FwHyLsObiC#J`Aavv&3C}v9RGfpa6U4Gsg-`!KO zRnP8O_*ctOF&$O9a4@p#-~K`qSR>cn(>7!)8Y4x$fBtu1d|-Zb7$%#POs6~%C-gP_XjXOm2|mD-KV z$%z~h#|KguGH$xPP!dRmapKar9r}_+Dmjqx7(Vgx^g&S2sRHd?^N_?<`lR@I zH|pJ4T()<3p&5T)R1q<8EFf|GSJC#nUBrAb-nD_wqeNaIqrHi5Hy7o;WKqF9eWbTo z-|-54FuG~UX}t^Fhl>Z=kiJ{rng4~Dcd$py@HoJZTRgJbJ$D$kf8~ff-B@FuO8R(S z+cyb2oJw4swfLL{4fve2+oCep2_3`PL!!B($Kbef<($ zROfFw%g?x0!6Z20jqPw}m6mTBrx#9-jD~MbS~cp-R$fMm$Me25i9k&ynfuBeFNPf?@3Bn*9n=z06ukF_BCY zsE(zcer16L94=_=IE=#sB!Mu^G5^C%auo4jVnpC4TG13T>Zjl!H;b#9 zl4xznAD+0>B=(m{@rkIk>uXe$%TttVzDkrz&>_XcsD5gYb50wMI^6@?0M-w5$h$AG zQ?Qhp$Zx;6}JLHX~cSW^ch#LQyvUV7irTLRM#sDKckP zRMi;3O)A!t+%MJg-JB8`J5@cxdw#bfA2#BMokuG#Q6u$- z%;Ahi1;>M+rHRffN!1jms6}jp#Jpk|q_7P$l)}puz-z_(k@}cv#Gx5CZMF<@bkDAj3J6vyVxu8f z9;UNZUVxpg9e+FhLHvP5_Hwa-h~uy zw>1bG{4tJ5udG6$V|GDvMea}vRFg3(z$sIaHQQeJmRlAPdTqhtM*4e+RW;>!RBa&x zjf-%muIdrK4m*kY7ii_JYKQlHE!ID92U_)mYhNVuV>5Yu2n^Tp*X0*cJ(1!UKg>Xe zXw?!MMnk&<5Q!2sbEDHblYg~vqEJ>x<>&*x5w?8h?7UHoP6(41@njs(W>N`E1!coXq$Bi$5p#eHMOxnlov6u&J zTQ8C+;eDofb<;ltB78L7i1#_EzblM|4)f(Aw)X35osxt*pzj{f>gh}{kA1p_VxS9| z>zALJ2xvTPLRt&haLG75hs4%vmk2jMNPkqiZ>8d_tivf5M$qc$X}o0WsT%!~l(4VS zPP)8rI++T(_?c#BDK*knU}Kc8s~gEn_Je-j7v{1v8{>NzuRg#*cYn@G$q!5r7X38x z`1%X-v7-3RcL2$bz{JCZ!QpJy#{c&5?Ci1IXYD5Do$zY##98}M z70}vcu|SPy3p6Ij)5QyqFQ#r)XobNy14N1QYq^M=k;r4LQX>5-{orjr6_~{xB{#(#g7tSsH^81Vf7{d&otqM|NWpEmcrU>4Q$bEkNSz?$oqYh#;E@ z9bp#RVm`{f_*2Cp#n9p=;KE@>1$!UKcw8cf&1A z&wLl6sS9+C&a-m!eUdvz#P4Eb(AxLuCenV@doV?1d*ERvJg^uQm18S$UV@h2We^M9 zVtc2EnmnF8Oa1VDmCW^YhfXl+LYPXxEFq=`PbaKuKWs^-mJb$bC9_`AqG!!A>w9Odydb;rDO4YLxhP3R9lfuK9|sT%^*PVDId4q7Cr zybd5l90jIs7m{~Cir`YR9uBU?l0* z)g;J7|Lp`PcghEI`X7|lM(w)O#)$rc%wvK@2Yl7sj)AH$i6eAONzW@i#=>WV8{Ii~ zVvdmq%PXGq(RWeDPEepqw*9lCif(y->z%Ps%}$k3^;2wzBDj8pMS!mENcI-I^}gh5 ztzXKQY^3Wyhqu^DIkj-2t96Z5P0mN-ZX%rnrBr!^9&;mXq=DcCBNSI#-6W}?xDs6S z^zdb3%e_)Ea$$FJ;w(^8Y!1kdlsXh(rxMkv|57q~NoDJ%oSDw*5j_gM)0CwT7s$si zf8*$88S)J$HDE0h(Omp<1u)zAh4WCXB1WHyQ#Ka*i-$3#*>@Ntl0bL`G}iCDkE3-nnuE6 z6;_1T=Q5PH!Vy;MxVl^HGzWFVY`TZn2@3_D9kHv-QmLWx*i2Zqtr~FzZP>V4a#p8(G zBSv6dN7U$e{QH0+ONU_q^IrAf{LexsxKW1x6>%pv_rRV?{HyjV zoR5oLDubJ(DBY;wUz|$DK+jv8PLzi6zUd&R4o`g~SKB3NF6o5pS-|T#Ud9n-(Ln?M zt#2+u>oZ*O?CL4@$90EadaX8Ougiz{0cdDJjRsere$oDZOie?KJa*xO-O}R=a1VGs zNF5MhSuft9q%^+;<_*d@FYEm|VCE~lWH_*TyA?VCl5_)5WUQO@{Rg9!YTo`;7;@QY z9rU5#Pl)BGRHS)8t6|q?jMyn1Im$)UPCrjWoc4r-*?mr2TQhqZ?KZlho`G1Xu(U*D zO&}F4Ql0X3+7D;rQWAjt7RX9*nBo;y^ZEkEmppb5&Jd}Yj_T2y4J(O#rb?GXLHlC= z3&a)-I)?y5mTEq4_AYncLYpWkntdOR-w$rjDiF*9G-kvQD`Fd2Ok#tP4*q@g*$dCL zbqR-3%;W3pE-&x(VHa;O_S)0mU&qa}F^~Jd#D}~cHR#t~BhSoh^~eO# z2@JaGhT(aR`D04DBZkgz*1+JiFD8iAd%`DmI*-d&tkxIZt$T<77#?SMHj!hRasYaT z2Yfk1?5JXPQtZwHahX%N_PBPJ>$#=wMCm`VSPFWW_Cf3fC;Iy>IlwP=c|yb;*4m?m zmxjfA?ULy_-ui^P1@0uJ77lBOVdtfin_gW1iHDeto$NfW-#T=eA={Z4eJX;;JgAUL zy(TSmyy*yFrp#P}*DXO&3VG7AugNx*4%4xneJ=4DLyzm!mGtpl{LjP{-i% zSijaag$1B0?M{g)V0;Q0*{kS1W|;0cy!{r!TsweIN%yk)GV=QFk~G)ywe#cehd$un`cESMC{2U88>gC#?El2_;oEEpyD&+UZ1n6`~$HZ7YEkS&jA*1`(X z1<2HMJ6$c8!-oG)ICONcGQ*$Ugc!BZmQvU8?g^g`mLKbPZvoIR)IU_ULjb7>_>Jp+Y$%2T zxr6HlWaDEzXjHRREX)%PCBcAA9|`(3=Q7Zegn5*{URA6`K~a&MQ}=ub1iyH|V`O9m z9yUgy{n4)y6@LPHcDVSlL)%Fcl|wt>(sSXJ8rOpvah%D(f(u1)lq=fcfmowD8%v~H z{Ha80F4A+gOunNYb??9BIW9XNGsh@saw!a6OE!JJ-=XUCg00T4I4qr(vta0ay&Yb5 zhiq2H=k#tv+dIdOw9v3{>(D9hJ~YG zVw=0H?tT=mj605AD`sv;d{-kF?uZ>ttDQ8yN*G7bP*`pwT&kX!aDf7Rcg_@dM^4At za7=2DZ|tIvTLfxEr(;&P8W)pw4A<2})sKT&nX2u!k*K=OF;XEZ^>mz^2SxWveH_WE zHoNi)CkXQWTshw2@Zmqwc)VZpqy7jfm^Y42>#F3DOa9?PD`hXZ|4Qf?jeZ#~R3T3@ zQWDTnX#t;i0!S-Qto27V$Cjw=30Ag5n?(BAm31v*C`3W-uB0bS@@mbtRktN|SC0^e03jxU$xz5H6$5R{5-(HI@S zu;Br-sbHSM%>{Jz?@2YqBjCRdhZPk71zf=al_=tF7oJ>%H1XOz-6X`NyvfoC2Pk^2-`jIlx(2skPbDr>?gY zEH54f5t`*ktrxW%#SGiTBeplG-oOKscm~I+qKS{F+$d&H|1!+D;D<0-IB^y z;r2h_52**q3=gvK;267kyf48a4Bj&nq#xQ8h>{C&0eH^ywmIsd`kP@>f}Fy z@5ZO;QL)3t|68Q|+O~!e{*TKP;qU5`76|M9_LmC(53CRt&GQ$yJB(hW5pxOS)!Xp( z;y+lJ*whaiLXPnIEcZI>6c$46{ONBIbuEb-mNMOC19)G%vyOlYB|lXH^L5fmgS2D- z$|qu=ns<@zQxYZa`G@ZmQMBISW&#lUAP&~7pKX(HR6bUrdu>wfoTbTxQ8KaBb}OU--j-pw;6>&4}6-w48;kU+ExckI67@!yQBrS{wiWJNq#CLK2zp@`|2f!?KyB9`sy z$L~5HJFLa8C?RgEu=qTDf5^97=;UOcABR#mwEDA^g~eW&^Fjbji0Ci_N{%?*#5)Pzl1 z*{<$@r4+H}D$4}WO&xgsp}KEM zxq+vydOPs_m(6_NRIb@l5g!BHQ~Js1Y&}&5MAN5!M@B7qq1;CE4X>`(wUOX2pVfq& zf$ysx#V_(7CBv(YuD+0`Jks1w`2lwLc2Pgznn*9+A6yP46Dhpzcj;&1@{N9dgLYy- z^h=H8Vz}dW380GJE%6^R3=$AmXM4*6@FZU9*PqtUagmg zwqxHH!mH|#9{WGgNe!tNMMuX($5a`Tf2`=o4)kH$c|gi&h%X&I80dRxH#m5`^sLpQ zKQg%>-%hx7Wa@YPJs!kM0E0VC!g2RH&}v(S{OePsNf#tB7jZV}2aUAOfn_lZlyFf} z8VU)%xyu?AQxBvkd38zHVA3e`nXYJbLAW*d7~6 z(L+7eZZ48*Sb`M=DCuMsnNkX3jcm3z+&O)D`S`UB>#O-jj+_=tJodYwpdE05ul9RU ze$z?R@8z;#K~}9Y&gkKDk`@y%e6gLp6X9jgr|U#aFj%zkdRPSfYiP>gE*rk~IKB}5 z)}nkceVekrWHL*#{l=(EU-GVr+2u^nvr8f+I@&?pZ*c1Pk&g=2CylwNPQ}G*1-BqK z3uEL31`lI>C;tACF9kh~K!URZlW%YMXyEg~GWhC9}0ggMZ#!9j(01?cD+<&0=p7@W*MkPJEFA;Czt zhuu=x_GF!8$gGFVhP}Z7y>%j@ItH#PS2c3`ixTWN)fQwjm{SkjTi4iD_Koa zUhfG3xe619On{)9X{~2nJv~{MFZ^sdqZUL%|pN{_0 zOhYmqGYV0>eKZ|~tIOtFN3rRUEPlj$kaX2E&Uqok1r1%?<}mAt{$7_yzzd(N^$Z=H zE#);2WKIahD2nR$FIr8x>^2gNUnkitZvxivf#x&7TM#NC?;O0D1pVdz$l5LL$yLf{ z8|`bd2dJ%iBayzNb22w@8u=)>{vV*=>sSB3cp^+%5H3BshcZAll(RHzEY9JZP9|9B zcv&9^-M0SdlZUmrzT??du0!E(^x>$OTF!tz9UTTtSpJtWvUyA@5CpFVKv1 zj(!9UD)dEGJc5)zAdS65GDlXyW=8^+Qj|d|LzGveH*^w&Mv^i<-LlkcCE2{Q(duxu z?)U4B${uF%WnsgsNuhwM8V}P&L%=MPx{*7;xGSiOKiKIv4AiN<$iRT6#`f*oq}Qqw zzUVo$UTEF^VR++uGw^_sxR7ILZ^ES3b$}x2K69-n&??j6*Ku^NAspX59q(G3a;ee+@K`hKT;Xmr9>5Nn``4Nvyb-`{k=G=+f>Xijv+3%&Go+AW%7a z4QU3pm9t!CEa>7C@aKSFO6<{hesU09o-BizF6ra@-1p)6ANTnBZGj{&qO8SH8LQO6 zx3dZ~-j2Sx_gNw?o}((4^Ie-aj`Z8!$APgDkd1G~kFE3iHKLd~>Vk23FY(nO z%8fj87skh_0<@Bg`#Cpl^%HNdj@R=&s+6>{mxXXNdSU?2WV<8N4%pfj&2`N2#lp4L(C47^}g&38iN!lDGdISI+8cIiU*60yugSc^>MN z?{NYFBIUrYb*}FpuTn#=Qyyq5dso#b%C7ira$Ium_T#!yH4yBYT>PjEvHzWww&rYY zwp9J>E=p_hVek-bhvWArIa`Tz^k0t^NTgW)jHD0fydz zUJ%LCxuewyK|$fs9mj7E))NW{DRy%*yQrieEI6&cHnJQIUlbtE_zW?QfxLN?KKA>gy8;A`mVm3wPM2;}1iEcXf-%(r&vbsvGYz?js zzu%n?Bt<2c@cdP~dPkX_=2Z6kclec#q0>^t^hL<>v9M33;yd0S;y@eMbgPrv2Gt^5 z*F)XbzxxMnnQ%)!BO}_U&%wpkIGq`u2P!7N6~-s~&j3;h=y7q7`R8vr)1xRFr--~T3EzF1l5F=a7TnV`uS0xH&_h#CI3@n-1+vfC!$#$) zun%7t(ODbI$Czjb_xS@^j#RFW{Ry!qNlU20a&$~A&si1T54EWyN9v_(hwdj2;naUC z0BC=ZUu^^@Xc~vJ8!g7Y2vm@E&Z9w(EK6!C!rREhm2IdO{1`MSLv*9Ska6gmdNOtS zPTRNhczINd_aS0^tQ}(uw@{P5u37|PR6e7zBFW8z+^zey?Y&!GP%4|HFd}h3Aih|{ z4cSPkW8^taxDiVN8|~^sh_cjpc&lb{fKsXtvK2`y5)~h+Xk8kKmXo3tGPhX)JuvPd z&=em+Fjae3=!(tB+1SjJv_xJ{3C;$TK)nPyoN$khMzlt zJvpZ!SwtC1i>oO+r=?UiaAd$u_G1Bi70r`N!c#KdpL6`S@zet++b^lnO)YIPqSEc& z{_+f$nUS)DWTOuL4u9#&aKrM;4@B;su2isK)IlnY{mLtie2D8VX+g7Sv?D-JO@fRoM2Hf7Gy0|^<_^fC?Ld27i-c*fr06erKb;H0f&>b z@@P^;OE4?k)HQ5`$d>&Eh1zOSLW}f7Y26MfI%r2q42P`Xttjas5?(8>oS2FQvkfQ7 z`w;7j8R?P;UH-iFRH(xr%@q`dWPP&d0|GtrZ*Vq#)(WBystOeww97HrcH0f~=>C60 z?GD^YXr4~H&AWiE*yt2JNfUwseA$pC%CMjQ;Ou5rC1FCdWP{TEhrGSzMDc(~SNC&S zUzr~=z6oA;Z$bszof#anS1X_}33VZ`wNjx!_aE6%Ss#ho)l5lun|Z-69Z~hc>|d~B z!Qq0-2J27w>f5ap=o%|1Xvz90woc6RSqS50iW7rW9OHsG!MKs7mV*d4FuaZKK=$yR zJw)l4KYryq(DK@5&K<;wb@jr_i;^M#9_`wu@IN>MtY4<<#u3C7qviX+umk=7IwQ`2 zgCs>XYl+-+I1;s{rhz-#f&nMI*2Y`!<-6jwDVQpL-SLMdJHd!KEJ2(E)Dt${@9efJ z|3W()Ff#svL)wBNZFLukXfCj2%}?p;1Xzm##W8lx^*Iq(VD#f)SWg` z;u@LC#`N5>Zp5W~h~GJ(bX;YbJb@tfa2U%rGdc^n5{&~hh}{a`BFA&zq5NiZMHVv0 zaJA%n^m?YJ0f5rcqEaVeH&s@{cv18xbA)2O$Y{eEzSz( zO0@S?#a)weOo^Iu(uB z-XVNziwPIHzSHa~Ac>L{xFYL&Lr0A}mrvUyjut0Bm$Wa35rJRxdmW1p5-iwv9_)Fv zpU^CIUKjJ6)b!y=tC*9edk%m)Wmdr!j@62%jr)N19Jo^H-aMdMe&Subxb@)Ft?730 zpD|N^Si9@of3_hH+6X{>NTtY|Yt$&Sn*MiE^lBn0xP$xO=X%ez#d;&A&p-$L#N+N; z*sRpBwL(70-S4FY@>oFN-T5tt24}LK^te-`buHk5r15ftB7wX-zHP{C86p;qI zlgJzNukd+^6kqHSD2T(=%g$z{m>-9;`#=`Zn_!ejfQzj6-v%{KHP$8w%I@yfihPt| zKpQBc?W6{hDXgVx9yC@eSX4HL>-Zy-(g3wf7+PEn=|PU1 z*Oxd28BHIbsdXYa54MMx&kc1^jt^W#M1hVf~eh2Kpl?{ zVDHJBYTcvxwyMWc592HK^=8<2QVzv*#?zC(j`L+rJAa7k&QNGmTd7A_TAb{lKL_fU zFsDXKt{R_0qtDWWzxMq0!d2LxFnR5<_S5TXXdf_y>ayHTs11bnagaY>5qRS`i4|KP z7|%?M8+zL5W$OFK(r6+gBI;8zsxI{#^@N##VsEW27vDsrl&h3UgJ$QX>bG^CuC6xq z@&dn%12nCAcIWLH&T~Q-saETDw_7X*Gh;a;1i4~K=s^175n7zVmLn>H$NYRj+_L4J zEcPnL?N<{JIZc~-fTIHtEcRJ;uBR?7do$u71kBPzuilHIL73*zT~D4M-+raN_S>2; z7}{TJJZ#F;F>+ZP_u$?T+5IU-=6idzE_v!=at{rezsLZ)+PP*@b%KPNr=NCZ!7C@E zD-j=~qIvY2%>NX$+-?XVhiH${J$K`;()S@=qjgD2Vr8&HbB$A7n~-uz&|cHfRNmCPbc5UI?YG9X@Ts$CaMHs<>^f>5e_Mk*+z z-QCk@Oa397o?q`X56X^flV6V}L)Qnx-v=ISn4R2tqXbu|M(j#H8l`-)oPkB)l>Q84 znqf?Ny!7gs!$q`02Der0#KlRAPb7tLl=Esx8U@0L^5u9GT(kh-u^0Ub!%7$*$ca78 zRK`Un&o;(q+C;^Jzw`QyQ`K1^&_xxkkrEmD*otkbFNh|zaYueRCs7Ijfj^-zcl zuCJ7&ko8|y;36L{2^^QABn{FgAPVSz6d&%yC5Sxb8(~<{_MY8d zc`XAXKwqB40FGI?ZQzFt zFi}8dBfZ}C{Ae&U&>Z(G2kjq^ANeVN$ z|Ec-yN1+sYR6X?Om(CAfV^QWLGdf;GNX+80>1MyT7Q7q+NOb9uu9aroA_WE5+PnxX zU(;}#(z=NxyffdENi9;2PL>Yu;LZhLqLcfoSG0@gyec6VD@IniDQc*yK}xyMvK)sx ze4h}ugBK>&rIjXSkWq27{13jZ=hQ^iD(y;OA~hD36ZwFw33m-g?O_MfROh-r3QbPu zjU$vM%kR)YZc@v3__6yPcpC;DhpPXt^leq1dYwU;wE8c4X+YVu#h4{Lq7|v=ZNZ!| zWzxdYG1s?h{vsM4Ji6HW%yJ;bICw(D(p6zMMwTs`G991 zUMSUQ7`8nMhEaH-q`q8&m`~I8F1EzAIoQ-*LX&=V32Vd z66njw;EwE=#!B3s>o}f=IHel7*RBAM7;RM~ft~J+cfxBV0&$D~uD^HI4jC}(eelE+ zYmWC$ykdtKKs^ekLom(u3&nvX4vOyRVDNv1%TnqK5-t{}8Tt$Tj+nW}{D84Onp8I=mLgQ9O23?tvn)@4n;LkrjG~7RD z@Bukj13oV_k7MZq@f~sZY}7L7Kj}wIfQc6B2+nY$uej{;-2Z1&sqRrmbjkI6A7vAn zkz3mv%KBDz>jnsEx=-9MC6S(XsfNQ&JSdz~{c@D%lv%T{LwJ16*4n+I1$k@i7BAcO zC%P_wmaE@i#NI8!q}9c*`O?{A@cf$=O$C@=FJa^G3ze0iV0-3u7bCO1K%fzP*?ddn zWh+XDNZKy==;j_oJx^;@y-Hs8^xw1Jr;cYg`r2(7cU9@}TKrj9@Vw)A z^D{lD(tJMTR4aTQ&#G>w%BtsnN)6;IFS=PPh`1b9lrqKTW_ILw_P4O{mQ_bB=v%iKnp0idK-D(6{Q2o)ISoSvm{aY$0QAJ(rT9ZluwIw;A!Hh@itof)<`TZ!smmTvwzXVV(dL9JCx z>51q{_e=)G^M=J zC(hkMMuGQFaF$e}xNdFFT5Xv(#`N@bGBZ>^-zr;&>Kd{yNPtt2@Q4^we6kUB0qiHv zjWeHQ&oFOO?J+;;&0z!MMl=*RyWWzlJxU^!q5;VH9qqI{jl&kEJ>4kAUk0hTC$PuX|5Rd?Q2G(p4Nm7rVgt(nr&WYoQ+05wi((ioD(QU(bE zS3?L=p;YR#bMsd$L=b+E3KTLt=4!GJk_zm*e7#TCXW|Rr9&iHH zbh(Q0juuQvXEJemtsb)d^+!w!Z$f&`mb!5tpz$wikvL`A5=!lihqjB!yq*P_ZDj8fPteu>Ia9BYcaD%yQAV#(mqYi%#fKb(DpHjs&{zx2R z(wCxbjfe>bodFW_(b&1~=%$3@YhDt@pS>iblXzZ zqfFAtmqgf%X*VEYQAjf#Hhr6B&f8?)Cu=AtNXz+%_iu@79c9wpfs*v#OYq!>nuPxo zvr$j{m9K%irb!A|N_m7lDJi^QhlDoNf8opjnPg}IXI#0m#@?XkGY?mfo7I{sp7{l= zab5DnFEwsN!y_n=%?jC#1Q>vJSH(CZbROjIU!=f^6gg$QCWL^xC}NQP@2r%2`EDVt zHiH@|;%+~8iM=2V$jabhfD;kBm;I764}_S*N^cAZ?9O6)5gt-l_wclje#7&Fe!GCI zn{A32wOv>AQ-d_6k^e)Kyrd|GQ$@rS( z16ii(dQ^3^0#H0r_}G>T#iS)qIjZiGOlk4R`-P;qCr@sCf4ncbylwR*N1c(mMtDbX zvr^xT3eZnfLvL)mdK#w{>i1lv6H$kyF*J&esy;5+K{~oM zKBq5-Yg=hrU#b?M&uIe84ELWD@qoy}XHB{Xw|8w7{3iYus^p)q9Jww<0{%zy6)tZA6#6RRiM0m(dx5f1L7_=5UOC;zi@Etjs zEmA9vpy|D5fyDQSeUjMr@ABXINRH2w4Uz<}{SNWqF>$@S9B>I6v|qJ;G;!#3o$fYb z{5G3{SE2j5#?kSqDc#zn)>nQkXJk1=Luq30K>DEPvo-eANtQjIXmep<;l1V_PbPSN zMza$RV~uV0ngDar>U-VQ>AIV6kQ+L|+y!*jUQ5ENxPE2)GM8RaD|GILX$1`_Lu@M| zG&x#wv_!XCXBky&-kxy(neTr+Z+P`E-vu`VYSyEG&>@V*{r>u3Hdf+x!N9(yxTQ2e zTocqVtc)r+U4>zgbKL1MGG|K>!bWo35G`r3r^?15l+m%1yXH3S(^U@%j9u{Drfk<= z_T4_Hr>mQ15j(5xtMXB!%V~m2SmWbGuXK1GmvG_hzxErvoc=J2!7|acm9Z;qBL7Lf zTOVTh3V$f#@a57W4W~CHx+T;zUI?3RI|+J*M}~WD@j~PFw=CzNBxr45_faBh21#^m z%QRn**Rb#_YU;>ysgzI>rc^6f$}yL8`B2g17(#M z=@7U*j^_x{_c#j!IR7QnriJ0zle#fY^6ziB7P+x8{gE%9=jjl2%!Yzbxk-%>WnV)&7L);goe1}(nhm-tK) zx4SLB#N-=Q#N4I%mO!};8R=LGy)#zKFzz2@v?R6^scI(gSI?WzD8H~XIi{~5C07KI zK>Xq`U*K?~HdoXl!C|?yyb9WPvobrcswL8h_1yFxgoZ-%{|o)+)Nk?Af0>EJ{wMO` zai1`rKcS4oB%?p)($*pM5XFaGOQ2N}ZRn~RlLyUp_Q|OZ?bv*kq z#Y$_O!>GlM%sxXC{d9u4-OJuv6GR^V{uMMdbP}Bm%9yT(eRGtX8JNEY~e% zb;+lZ=9+FjVA895WZ&h8y6@!=4;r8kH~F+GVx8l4syAG?=0`FJ9I(5ut9@_G)T6)y zcy;(LeJ98R&&Xh_de&KP6Y0L$UbkmjIZl5Ut+QNC)2(x_#5$+qr?z~7Uiaha-2x2% z&HXwY2Kh(2SETg{hB6$ttxM||x!uGA+OTa}kKuhugnWU}y6=@dF8MU7s33A;aV83| zij*O3lOcWCQmh|ZZCGeO9?yxNMwS!l5rr}d;E`n$L=`esg_ z-JX!zp;%_3>Xg?`KnW9YVBN_3hUdM3O84f=-wNIS{Ym6C(;Tw#sMWp)0jJ9URy z+r<~!wh>#N1^5?CJMXpbAkPG9U2#5M2b(|dsK&c&cPfrjs;Iw=#0NZL$bUr*4>|l> zc{R6H+wCNvTX53;`6_k1>+48_o8Rhd59ru(O7#n>R(s1UgD~qcqZjKAbQi}BkGRIt zmArf5e~1pYi{{m{xFQtNLx&G6fIHT6anyKl)psO;ncUE^2M}xfown>7JC85}g@Z-l zPOkTbUWSS9FaYbilVV`Mh+sMY>q3 zX?!`AF*eOjI_kuxVDsJuVvMO{bF&l90=rr2<1Kt<7byghI76+4dAK zR?m{28CiUIK~tzP#5Ox$xAZM{JB8-msSJv-7(@S6-@Ef zFURPp8{8&AG&T*tV$a;0IikGX4ahi3*g*l`A) z*qR#jL#g|-_JcJG_=m4ge%)?aD>mYaOLvcY9VUkdJ=5=e>;|IxFE`qWQ>KaHlwX39 zu%h?I9k9C@iWqH>&@FJ43rm?268u;Jj9B&eohS&%!kH~0l`>Jm(~f6Cn-UUmI_1Q#V# zzf^jLEEf*?0;kf8n-bbludsq2>6&-JIyU}G*k{ZqdxRMJcuFxjM0?nk!U(1Ax`)~@ z{@-Ike9n$&?v_)R7hkYy6>5{VF$?*Txi{))lsrCCNEiRFy?Y!L`u_%ZXgQr*xoN88 z?l!Z^3PW+IG`jMIam!~LS34}q-#_z7vpdDF19uCDH?0b1fkVl#)!AnHGSI5<+o;a- zDr&m+&EGBsvD5=UlI1JW=h|SJ5=-ztDENLj40M^BiF=(uXo9f9nHKg z$U_||-xT!ay50lgEZ02|>ukR@5$jx^+xi?>g@xqh^l|Rzqb#tGBN2DY{nmO8Z5JOZ zW}~ zm9~C8Fbs5Yo~ZU_S|rePK-4&ZCR}Ae|B=|I+X5;IwD_h0o@%$W?@8CX#l5I0Vn}kY z`x}(s(dY`?i_O|`*Kr1(Y+E0hiAcFm_2{}SBX^*>!f4Jl& zzP*n~?Ye&w0Q>bUc0tdl5uXzrd@t(Bvu-##C7rLk;#QiRV6!SYh)SNJE*C6}UhTr!wa>xjn=FJUy zO#L@auR{F(cd8^J!bPp;{sO;!xpn>VcPWp=)jptr8&&t+kkF6ohYvlj!$#@;AF|#$ zsO>lU5=ILYhvM!Ow<1M?L(vK?E=39yE$#sd6nA%*(&AR!-Cc@9@F2kgA$im9Z+G_H z**_SD0W$Zw_kQx^$~ni+A&OV@{2cl25QklqB`?RyORp%}^$E6x1+X#Lu`_*KEt9#a zuo_D(7;*+Nm?8TFU4Xk&74W5VT?RlMgENL0V=DEEWP4V;H8@;2N% zUA6_efx|3E=nJlWRH87QCATxHmcA2UdcDp2(UX>`O|sD;kWsSQ`}J~+m9~-qhTnCg zAyV^}om*vyf`{I>Cxt<;WWdFjScXJPI zi(dZ*)|aemwT_CZ>T-eH(ZM2gks`>OK6AXR!hn2Ep`S}A?#;s8XM|9s7?M#Domy6V z%P~4Sq4%)Dm&t4>a(L|tz1r9I>Lu+&_9*;dy9@yM{cakM{(BtMJ*1A(Jpz`8fc)DQ zyMi9%O_5xQbwM@T?J4RAIby@BT1W)TkLpgit6KijA3hHa;mrPsaqS~{pNBYOQ;`8j zN|M8_Pot{f+t#{&T_2zByiV0kAcLCL6SOpWTXy@)_u~UgfZI3*Q@1CwO`i*uR3~^K z)trhbU55C+HOIQ!sF?0=Jy9uI3T83-`p>*q)<3=})gYD0KJF^a?Q|&WGop;Bx*4&{ zos;6dWb;@HVq{fL=SsmyFG%h7)I1jW$k;HRAy-i%r0Suf_Z804xyJLJ-B^M!aU z-Y&H&d&MWFsNJCnD%GRI6(W+vRH7GgbQVr<8a;#B-!#4E;buoBmaVJ+oCLkdQxYeu za=o`m#X>Om*lV^M0qbNY)wC4yimJk~?EQshr&+0IT>IB#;>uLP{qOzU;)u6|vL=dD zEA_NYTzC^iBSZ|@5=gt4f_x49Na!T2)N1fm6BAM<^l(tpnEWwg0|WcttFfVGb)_^CbNG&BUe2Ir2~#j3fiIn_$~!Ld zW9P!XiCCn&A+NXynwm!i>VuYzaS!Q>Q9@tMW+~7w6bojaYGU(q;OUGO7?ffBpo119 zh8&X0{KznwlA1d>Y%*1#LN-}}YB|{-K)`Hh-m{*Vp)bOQ7p=H4BetKZvQM+E^s#F( zEERbmhQswnmI`RtQnrjiwJY}M7_3e2w;EWt@%m>iv;x=yD-v~U9DWx!GUt{>A4*O3 z(0zu~A$dmstk|R*keqHx?FnaD$`)%_E?jwW6J@?P&{>;)M7;QM>p0Uy{#CaZ_B8YS zOYC!@_78DlITLnD!ujfzNCiN_QKI610)V`zpH9@As2(*JHw9H?M~}FjqlGYR^L%Dl zn4-p~{24)AkBy?U(QID+cL*4P3XSlm$z*6+#x%)H@`Xv#{vKsk2nrv)WY4hh2!KUV zR<_PjO-4@xO-Rm;XX$f=b6th3fUpn8nljh-JrAp)-3ng%4*eJTUIiVTVT>bY^d**z zs4R&P4z%ha!=UNpX+P(JYYx4@AHVhSp@(UAAN?}@U=P^NEB zK^@Q&;O+P%g5KI7ULr;34!0*0222U@aq}itF)zn>63!lTM30<{Nz7}eg<$87kK`4| zDo0Q`p4+*+kd*Ps^>)6<;N|}YOA;qCu$L0qvF;Wo%n6BN^WN!o*Y&IMZBtCZ`v!+B zMSkD}R8OTLCVKNy%bPAgTf6obikrPMiu`T^N87P-P<@=LQf^k*-3X*t#8Eo)|RjlLje1bzAk%eD)|Ty*TIrjLj%vfl@g z7v;wM$myV_)Gigo6ea)68Uc7elTr;V#K8YpIb*4zD==Y^nC$RD8rhA`a|ml(vp%p| zy3EDh8qERWHi2g$!vd*h2MmvsZKrT;#H}}4dM=Zeh%fE__=WG#p8tJQ(zDgEx_mb!4%ZyZr1~aO!uhrPhHw2+&;og0C{6AIE(LwM&lE82G zx2%ntt=7yQ?7(R@&d5@Iqz>(In%>|!Y;UGY1Q`7(3(>@#hP*GRRy`g}xF34S*5zq2 zJ>zEIA#-+wgdwO)@+2DlE^hN>G<^>#De?o-BK%Q$Q2vR86GKBZW3!6hw#}F&4oSxb z^qzY62&U*dW)*TChN3VYqY`>`XRp-rw;!6HvtCh&B3h}5N%pntuF#YG?|4sO>6%Ghhe(yd{M7(MgNBHGTY*28_t$JzeeeI z1^_f6kaAYe+r5PThYCFB8Ip)`*C~z6!Xe7cs%qMe_!_VmvXJ+cc_Z~8Es7Vt2a z2cL-xa6=Z&=0=37N9raszt)MWM@`*&6S>AQo~tvG#-<_#OoK6{jnKKVKJn5}##Kku zl95_)KV^#6_&rQtQO$kQIIwfZ9CL}OHlXq=ypMDW&J79uD@39fyMbaNv7A8vVj~e{ z>0=*6rftOC^KTP$c7P_XI$N;TytOOJ!e(T6+zOqBmuAyu;C?u=C#Zx4J*tdwoQA5! z*9+OjALH*r>`0a|02j`pRCYIUe%b3>{^AUxTi-A$oTemqTQYv5Z+N`G!ZK)(H!QP!SO9c{`XbE&+7>^Z@CrczgGs9R z?*IV4<5>Jpy`d(d_YnDS+I6p)SLhH&38%TfRQtN8 z(t2g&7))}1{(}?rERJ1SH=e6?grrckia9e*bZ1{z%6d=#S;k3tFUhcoAup;38P8!$ zvOsOQ^Q(C)^UGtMUt>Frxa7eZ-e|WS0u{JBeR$G5g!bB1Mq-q$(EhSOfx zfB^F0nYG21tG-SWNZfmZvyirZ50S*@vs{-4TXp4BK0?bE?tQ387ST=nW0`Gzt@I!w z)7L1^NYE_V$X$LG>4evRB|!GLuwI1^RU~D2@oXle zyO(6ZpzEc9p1KL_X+YjD58QcZkLbAW|`fb$ujomI;F+D1i$+ zspl5B&W1EN-wt)KZhnX1nfZ`;IPHt(XaC&om4@ZQSwTaP@7;@8U$LvyoXv>NXeoUM zWY<`CwngCH0b^MKv=|0&cYZ7u_3mwVTffxA?KY<;oAEQ&y`3V_dXy|Ep94+XH)2fd z)J%ULN%->N=vd7jzewj-7QR+zHTzF9rgTsRk&mnDo|%fzTQ7AqBWI&TjGSTBgwW)c zw?a>QBgq7E1l_7+p4L3Cw+dTAwgig)Gqo^!Npt-r6*JbrZ`8Us$}g$(Tcw=e&EsQD zOKk_Q%wHB7YFP$T){j8r7-a1GBKqZDG;;l|xL2P9(lcVXt^%06I)1Sxq6oBp>tpIK zD)bb;&g_#`T7q$8dGbUPNc5-Uv3ca+e zcmEQ@-kTjMI~iW`KkpS3v}vqzo~E+CU6J03M?{HpxBnUL!-EKciU~kyn6W1QkF|;g z@yMwS&X*n*-gM0z*27fNjL%47ZkZ(o1#>1fq=P5F(otc&9-73(9Z^jUK_QD7@LXv_ zs1S1AY7hSei_PY9Fv((12cyZgkvsRjN(NB&e~qW}{7IDSbOF#NqQbOtbw6fgWMg*K7D_4?`C-4~qC?GCi4+g~r-j10*EhN!v595n% zz=mj?WX@r@guq@Vznr@tQB_^r?43veY;PYuP=F%v$5}w!h8;XO6!0`qjg)kBl0hsu zB^>AefgDU`O>NVCTiyj1f*G9JZy#u;q!Gxzi!)LR(t9-x@%ZQrnluz}lF7D7Y^{D8 zqR4rA#Qju7dqZte0S}Ut@2MKFa#^*d5HR=d;oi{b&2s9bTG!6I0hw-@v53P7_agUa zOU6LsR`A z^)98}dsAU~zUz_} zX0wsQ)=n^$;kVW3lS!)F6BlruH}PB!I*Bxmjrw)n$&T%^i$NWvC0uAM7CmU-BLm{b z6oCXhdD6KIGZ6+{d~eLJLGBYvlJgmrmzcR*7fVvX8H(}2RYZE0#*!JcyyQdl9U$P0 zd)i;0t0f8}576=`ndLgThC&KD{iLgjS7r37*51wUXtb8BsL~_%E^CdWsn!3$+EK{= zVl;~HeneNs_oQNz+E8RlhYhtcetyB6@X)yOQal9AmKtr&xO@R6)J@#WcR4+LS6E-Z zEW6@86BkYTs6N$|y@P)4#&tZYeCHoN(M$2%)5C}|K_rwo$|RKt1^UwRpl}r>Bt`H8 zCaZPR+jtM$o^{k%U7>C^{EjFGEJynl_mPl%OeL8ZJFL|Ny$hF=MwAaJXs9nWV$JC} z$XOgLu(YDO^=vEoEZve0g>YIU8b=!S?F<5F2foSOz%-x2l{k@goqNo5f>Y&;r30imMI-39v`E*$UOM!Y7r)6n$_A4Yb z?hfHT1;pnPAbY-Kg!Vq2c0fvtXRvV!fgB5tL=CX=dP2f(XF}&?-2KIzg*?j%sG+~!)z}# zH|75yvy^#!^yN`5<;IR73?#Ve#a4-&8M+wiN=(95d%vKFq;rIQj(#>}`YDl8r^btX zI@^F|hS>Y4SXHvGTtx9`r4w005d$qqZTUfDd)0lwGhojYkglmJN>lak4db6L-6w&$ zH2(sxQb@s@2ufW@sLg{dF*c%~F%2!bBl3_0gZucIz^Ydyvfbsu$rd`8ubEmK43&n5 zm{r4S?`2~necB1y)1YywDF1vY&q&j~k!noBlW#*gQ)KCR!~HztC5!b0;u(_1J$|I> ze}cZpf_!~d$WlHUhg9-74(dy0Zzp&LejmpHpzG$N{UHoVlQ4x&jQbpEredl_IWk3G zA=0vaM>#gH`jLEDH)B%|mnqgyhokiYen%OAR-`OSD{P#>#Aoo1UlQ^oE$6ahZ0a6P zW)0sO6$Uyl=mT1IJOM4o*~qQiV(ox~^e)NEN)QDWeWUJR)G&x`r zxwm+k=1|e_%=vTs@n~g$&#d-c0FsyhQ9uX-XA4h>KYB92hJVoixnt3Oz1?qD)fv`g zmWYZBS6&K7b*}}XJwjj?{z&iK9e_pOg0Q&Tyz54ff0PDwqZ0&g^^?d*{G(;+)^p;{ zw++c&SH>|8$@ZW0R!`*-%tAiMHq@R0Tj-8|ZbXfjjPHidn%BrJ*r}HNCbKm~SMGmS zRxex?57D_yp6}}V0&EVj{<^Huan5%jFRG?Z51K=l8pYy~Ar1l{tmgm*N29h-3fOyU zUiO>Q6#a8LYDce;Xn5W|pkeCzgfD4nd;pA^C<5>68h?i;bI|!99v!~*PHD515K@ZS z;b5mosd2bSh=__tY5*m@UleB}i`I!H6HouUBUi7iA|kdrjZSUDTJ!w|zdS24?l55_ zi^Ll;YK+*{lwa!DebOx$WJa{ti9$GkkSnyv{n_?B*xpbcbi52nA+*$qyu!TqkkBC{ z$w9WzD|^-D!fuo9(0Lm|+t^AQ>{ePN`WMhCe0#sd?>kGAhB#|eIpEbx*u`O{-{3k=K1D zOgWWH?l@T}IKmbkuyHt;^n;uySL`v?@PNla#Lj+y{9}>upsrS&Q~2#BhmnlG-$*p3 z*aooY)f#`Rd%ZSa>;BT%`Ry;+vAKOW@rR+{8H*l1m7J_#6SOTmMLwfWq@*$T;*I;= z;i0iYQ8S+&*abd&A4RvNr2AlrKCJyEDawZP@i>;#4Z1SR`oZk00ih!4!xHdm35(Xb z`(F)A0z202`da+P>)onc&>>^mUTL{XI9mJ1h4*w8#?uQ2z=fX!Vn!Z!g;olrm7-Mi zf4}Y`p<`11YXwSohR!1y-{WMS!v2)OFR~~C4qCh^a%5U<=--8;qhj`hgyV43pI2wv zl%;luYV7)_1JlKsbxBZ+^L1}rm}<+DoV{4#$AqC)H?;HMWLaK!xy9l4Y^pi$m8aQ4 z0R36bZc4f(F96&2lDr>Y3!*>Y*s~u-QVYyJD#Q1&!+g$# zGYv#DL4ejv@%R-2FE4xVS-A37!4Q*W0-ylm!vFj8EuAFrx*iW7i*aG$(5GxE{}!HrLmMQcJeXoEf2m^~wv3PQu}}u2z;F9Z9x2yjvc`rXBut=x9aXL3lei`NIy)iA2#c+Y((ph^p*4d zK3B;-ru--YvwGaQN4)51s&pzg_;|F(*k@{alua-{r#(SHu99RJ29QD|kt>*0z~VaL zgepsf%BJ56+T^x8iFDoHvPFK^qGxT@FnNCbbQX~(y)VfC-ERi?9eV;?R`24VH^?ut zQ9>DyRl->44Iu#e9Wc36-3i3I5(7Le9|2&?nAUzy+ke+yqGC6b&(hDfqe3>_({o>< z&w2h!&l)6Wp>29`=RRs?dC9h-tz`vCvDQl#8=SdbiL}b(9SZE1lHokkoojNl3dD<7 z4*&!^Vc6|xggMtgSBLy_b$+C9eHE0@l$|0Bx$EoFE4gudYYjgW{x>ScV(^+4Mu4cC zfG2A}%#%S$08zZXCr1{M`)Jq7_RMfHN&EUO!Jo|bhyfu`JVOb zqwWjnUYNAA6?T9J(@pQ~fi(E#U>5d@?aMk)y-qndJeM(!Cg`n5j4G=k^eU!Nm zl62@?$yPaih#wcMfuMW%?a>ngjwmiqBxU0{*k$gkow~Mm#^7GN6aAMATfd2fggmkd z*$y6P9CL+z=X5)-p_}*MIE`V{5jm%YHpsh~P?^rJDFCh4R&Yrn5$7H@T@&XKt3Ryl zr`vZZuS@!oSeL=8H1^QX9gt1)Ix$O=cnrHq>DVc9(wNc85peYu^K1TRt?C)cK_k~@ zBYsI)x*YX5($u&Kj*NMWvD7?vMUi%YUe(27C?ao#1|Uatl|ckB&bTGQ#->$)G{FV)V2 zS7A^g`-w?6Rrv3?cWwC1QC~^mb>U<(^UN)?ul!M(6_`aOU0zpxrZwJn(ZKI_Rh0Me zmqO-dpqlzMU7@YHTz3`)>h?hO;#+>;j&10=F{tMmR1@Y!ax2isv!{X@Y76qh=uy_&qN%w z#ED-f?|8;yF+ks5&gsyIAiSsUcx~MIe$)|9_hhTuhOnTjGxlT?ue>DAh}>>{D?+_t z`V;*{UqT7vcF_y`c&Z2`U53x6w^0OA4!)c+trZVX9R77w1Rv_i^ZD?T2Uv5%(!$te zt1su!wx*R0uiw%ppSq659iJ51umvk~v0*%pbgtgkCoSv5x1!6vBME(9A=I=$ZFm4{ zzLZrV;T!+O-G#Lew1(T7KS9n_2t7`4xo&oJ!qZa`ftHq9)F0ym*-9Bi!}#dV>x$(e1*;+bAceD@<|Il&^YroNvA+P-z=J1k)aX`Ni1(d z9+4Y|qN-@UPt+)l>PiCAt9(|OUtQqBTz_g6IlkkZEruKqR1kgEDi-NY2xN;l(?U~^ zP))uZf!@veb%foe9i#jg{lou>KGR1R4&tNMr)S&1CwSLjPj5j?(;+gpw||_){*vD+l%(0@kh>}z!qQ3Ie42DPrs?{+duJ0}%|<+`;!PpZToxx8z&vGx z7gcZ$P`8*qUy>Jt3Bv0W`OnFHop1q;_te{}-6X0496=?DmRw;c@-sGGz<<6|NevE( zdoLpUg_YdcU$J#qn8*p6G`C6m1w$D!u47A+c_&$jaTD?+FKQF>R1`YL;zPC|1saDL zg>P?9T^%3*Z|rE9ihMzCA~z)`ed4H`7CiNHnuP@Lk`7Od67?a@UC@7?EI$tX|D|D- z8qDlRJZ;xt2ktM<)K7|X!Me%nB{~jJof?X`r#k&WLem9r6c{bxA(9Gayxl%3y~yQE7Yw+Py3UzJD>(i~^qU zFSGb;%qCAYwc&7GKRf(Vq>#gNgCl<$heo9mS;;4tCtft1F~tf3 zKB+jpy#4DMSLz<;>_%yTvNSHHzOK-QO3~22w_e7DDt=GYkP*|=Kr&79^6YYJq|LiN zp<#*b*12S7FgtgZ<~;>@yBwHHo}*S>Jw3<@mT#9^qRi@3kf_6j(=o398n89Qd{M^} z@wu`##>3FNtK-vnzEWVk zG=Y?5+@$fv9;&d~(2??=X_~^mZJ6h64{|9KT452_W`3GTX2IPM=R31c3qR>1pCqNmT-2+~42PUxurc@0G=%_BQGTA!Zor#`yjLjPAM+ zPct(!9d{d#Ip=-{m8MT$t29jHpgJQxH0gSOT&@R@qo#j-G9;!c05qPVLgzkTI}|Vq zB0V#h_A(qg^9m0t-q}wxt(rMz%=#LqT`2N%tfvywiwUX0b)N^6yy%Gf5#YRk@l#6K z2!vW{Fa&FsYD}J{hh3h(YQS55XQ>80;Y&!CE#Q`KWr|?voK7iQN~BrF{?iabrS~9B z=TGfZP#DQlz#!kdfOc8Ov+@h4_5J07RI@K@nx60EM?DeH(Bf~`)D}vw;@7t$(DTTj z6xkYEK`fAXUTWC;zu{xps+5I}9AT=dwpuTYD_`>dd^F6iOJA9_(m~ZC_7mr7VXfye zX5(V(`K&k5%Yv&;^=N{l_ciX=<>D*qq~7~dlr-fgSr)Quf1AHbyeuiKyCfQs_1k$B%LKZIP(&A(`s0X%xn9q?W_+#I6|;~WDSu=*Zcm5|&xX5gv8j zk@s@>G2*oqsW*QSPzJQr)gqr=w;!bED_8O%wOFd+MG+wtNEO!aiJ{|3w#JJaj~+tK zH-D<0eVwlalTiI2jT;q|hp`$LxwydFWQ{+M&l-&TnVKMKp1X~a%lDEmhwfPE3zgI1 zVTCY8D2>jSvt5fK&Kz-^_lXB)m}(!dHuR!rB z8t@EJ9?wOg%4EyU$0HwRn}Z~|f{>CYVe{Ieaexzd%2C9KoR zMh}i}8-F2r>`E*Jv7v(-9Ex!5jx%n8xj?Y;3q!jZX7D;_+x%W`!v4PI3>jbY&`jp+qWX}@lt;iu3jbb{RZ&vUz*EuD+MRVrlZVMf2;g-qi8|H7ELC7&0U~>>Q`Z0qG(Y%dgF~5n`e(ckI&oSRG zp=N5&jljFSc%wTG?EEwQp-?Gicu`v_dgo(##BTpixn$-OaVpSK$BV5VV;k(_Q4d0z zUjK$U`SbC#%`3zQl^OeOEel_pW`*=@6ZdC~n~0sMRQBpn95gNVa!z5h1O~N9V9Fpp zDvrXucd@R=NCJ~e!{##^we!iM;FwZ76<&pdj}f+dLr-~*@j~3w^sF_FBf94~C3g=T zr<{VZN1F0~8!F66Zd&|4Y2c2fb?jmOpuJ89dW`@+L#JmDkx~zR3<%g|-u>US&IgDo zY_wqAJZb^}gbe{8(CTbIHCSa}wI4K!!21++%6FvFna=gvmlF>1?1=M|qoceBQN%t? zP+0?S3<0oXYrt&=zu!|?mlQtasc9J&HROMP&+m6;-6h4_N=50lFqR8Ev>^1ljWP{| z*A{IKRKaf)@)-B#Oz&UQ4qBvPNnd1{-p{ksII%MPbsKZge#ipm+mbNX=Fb<@7K>G* zJu-jxgG;24>%Trl9CoDgx1S)phqfo+?Qgw=T`^KuPPv*kCK=As*9C2OPJUgkQ(fgh zb!UR1d5_mDT~B>UZx1n7szh|oO^;ups^*nJVks_LMo*sg(%emXa4bZ#*VXljWLo; zWp@cHoMRo``E<%IJFgKZ(N5^Hgl?yKrkOD#7mlgUKX{iq_N>fdJ6GO#SZk9XT*_&NAva^R+jiTK(>3pS+Qy1QtO&uTOkGs| z)WtXSLTaWzlS55Pf{>Iy1?Na5j}1ufofHB(wP~58IZL$;Ld}1R`GrLx@EMW?7x-A! z2L4%#E^Uea2GqfunCgmWKx2vV^hK|Z-z}=j748~0SEgy?vGy~`X=`SqDQ<~KmMeAn z-L<*S*N_Md>YS+_zn(Z^^-v3b1K^7MYZi5vMVRkT(eb=QcjCgrlGN={ir4d)`|`mT zAd>V{Hj$WPmr;`WimLJi2EHbtk-QU})eEJc{R&@mjOyoHHYC8IuDk<#C z{7&+#dD(|KTU_Qwt5VLlsb{cLT}3NduB&G5}5h*NAZ%$bSiIWrj(v8j*vRbK>{ zH8yD}F_r>DUQk6Unb}}!1_fNC5J0p}zU2~lw1uj(#1erbE!u0Uy9OdlP(;TB?2g@=FOqzQ zULn|fn$}$yvmXubCKdz;kz2J5VS9ZSYypQvdK3y=tky`V-vcEPOAofmO*A4?ZmRq| z-(r>=(>%rUDFj-b{*ueHv}R9*v6DNru!Sj)=xc?K`Q5yNdTY<^7nhqNWwL^A*330+VR4+f@TtU%UCcbnT_~}nU=jaj@S^&|2WOOYN0AX zm|5gl8fXI&otMl+gb<P#h3??#%K0`yeT|kv~Wi+_UC>!2m2x8SF0bip-bg**t%`WzcjJK zs(Jd^?pqF>Z`!AxQ}OpI4;0{h9n)96^BqW`F6%gyKmCxAE6Cb%3ek264p)%n*o`>= zj2liMjy7#j8=e~|`r_e$J=(kr}7Wby)#4V);x zaiu33w!wb3iZ_VO)MqUrGPtYb)1gXQlNIzmXL)T3DAQ^cVb>luZ`ZdH{4eSnB8hlY zV8~R?2I5XXr}G20=3B!_MkatY$@2)-_OP0t>(^7ZVhHdg|r)3>flrrxjUHYx{>Qx5DBT0kn)y`e^2^ zn{$**miIh2ujvnF!^h;d%#aVkQJL0xFDQe6IJITaE_}mQmOtNs=*!L>R6I{SMyZ}H zV@pAj-*ec9)BNZe+gsza_jRI7)Xep?6z={it`W*R>&3%r5=5w;yARE4o_5^9pXCBSDP2>NjDH7}XZ`0#qfikXb5PEOI8h%~(6oq3 zZU*0)YhkfBK!vf0iPA=xk|iWv^J+R54lBbDt>~WtK&m{YFS?P}RUsDid>4Mf;4^mj z)SdU(KIOj?!GUD$ZobEt+CvW5YtMZV{2fO#e#siA+5q?@h13O%5P12W;WClJA2t*) zp0#oH#5yz}_>{5~Fmv8|{$~@qzWMkjPj;BU>vHD2xsw0>?~>HzNf-QlGkH@4HyB3c|EjtvbXYgw zW~m-lZ+b-*w%G%#Ru9wc123^r_dITH+%69Rdn>`dU{_t6&VnTEITOfA-d*O@NZC~T zE7mOu&vnmDR{%IA@1hT|bAB>>ltCMV9l0g%TQh1*2!Ig*DOr3meuni_EGe24GyYLM z;UL{lmph+KMRxOD*yC|65}IUpH^}8PRND5tMHD9lu3qe|?PWx3=4yPDILKLhCORm= z5$up#+!9?@8MelizY%cw2fH1VRL>mrbJ?ou8K^T%uX23((f6vtWpM36z_8&if8f-P z*0PSu*vW)&btkONKJmuCYnlDEw?p5{HLBpoJp14Lt0`F9>$rC+z-xu^@6E$U7)}U^|DIrd>y z!ID|Cv#>_`IdM|{zk^9+HGLEyXOgoUvlbFjH!G?gAWPqsUqk5$OKw+-AHu~5@93z+ zr_U1SCLGBQ$9Yw?<-r#IZ2p8K$oUCIv*xfW3%(+W=Ocb#5~I0%;?Y%D4_4s%EmDeE>`Dw_y z;#0Lp*h><>+?^q~iOe!0{9yf=vn z4i}ir?epWA|P=Je8Y>OhJSv~P-AdYt5PAcCeXC}?M(e!|nN6;XyDvxMqC;u<4@lpzWW2t#q z=*<2rLTcjZ1)3;)0!5ZY8dLZ}n+xvRqN1nW_pnXDM}0) z6DkH&dc^%jLCM*E>~RE}Iu01E*%*33JCb4q$z!Kd|8Vx$#UJ@zpN+$zHhuQ>DcM>T z#!R4$4)QEU0q}E<_84R68j1m0&i^``$Qv)NYj+={AQ{W(4Wvsv_z&mfP=|ZTmp^d;Q=sGNr(wZKxUDjluFDYgN#dem} zi{z87eX8m0DnAGi54_~>+BNKYGDUo^MzrO@hs%cLHejiKU|161>ApGO@g$2W$P|uL za2-SJP<%!Y7;6R&shhyIJmHl>eVgYB?GFKxc@yNe= zGKG3}PVxdlWdT=dz@t1wZx>ALUrlh#_M2lf=SzryREB*Mpdpeeqv?`)d5e1ZJ3q|7 z+VAkL3kr}PH51m8;i}FeeG%Q3>v}#gz)}q-1;BRCVHuuJ_6LYrNL&RwU<8101)Q{X zKH|H)KiU4lFuOg#XksXqu&NL460*SWXn)42!KO%)WgfyL|&Ag zsSgKg1o#dl(>8GyVk=d>*T%KP&2qdDZaSGrELdWFH7PI!)``@;=2zt1ObSEx-l%;mZS+Sk5EqxQ) zfUT0{Yh=Pj)LPwk?sHl@B*56LSenKl&zT&JL*G5S!pL^KsnEmDjy%mdrA>$=@oFUQN(o0< zK3U^T>tsyOI7tWbm{rw7!;7Mgn_8hJvV}f-`c3U~}E(}Ui1 zUI-xXJiGp=5zFD)XmdosvNbWfa{+cfPR;DYVck7;zG!1i$_Gt$2qmNQPoqfeV`qjWQI&iEmDFHrv|@9j}vj?es< zm#QU-PEhgowd-s*g35b#nMD+$sd5){{C%^J)4c7nI_P?(%P$yjGKxpy;pb5I@fYdm z5xdP0o)3S#m3LO-j9T&ZtAa;XM#%bS2hyaM9@a#^&VAIPukIpC*q4&`N-x$-O-!-` zp@YR^n0bAzU3DGoh zJ%CguTc$ITL&03$()-S13*{$SYzkvr@Ge`oax_=VL(mjS9|tpzK#w)t)yT2L{O1dU zN41%Fh&9;aFcnEx+f3!#*R8G-K#u3U>54Zai_&*~MvOcS>11XV?4hUC@!&H5Wpj)LiNwpy=})nTLTkcpZgXB_s}jf3srexDt1vq2=zcPS4((AWHE@^E&W zG`f)lAd84DO)B-DH3cU-k}`nSPn1xD%D+HhNiV&+p79H(xmWQwe}E4i;y`=c+CPJSKB zZ_t9rX}Gh!$|mA6L_Pa1!Qky^oQWVH;daUynxB~j@{)LV`(+lVZ?_8N%BAYUX< z!Dp5i-KYhh4U4_6ELF9LI-bquiCJPG$wCHjk1K^L6vG`2l%+>JEwD3i>rSq}$ZRQ2 z4DpyX3VNm63VYrRv5*kC#057CsF@SF@Iy?q*!6v!xqXLEIJnwJF3No0{I+*1coCVf z@n|g(aoiWU$5)Tr%_y-!WN^M*YGPxPgpBPs?7(Fc0{ebWG6Zl}7F~1*$#lh593|2BV)b%TU4IU0l_!BxqS_+(|z5 zjf<^mB{@(lVtsad$2%@tlSV+<*lm{n3fgFly3_89U^j%YDrn2{@5RBw2@!)`cMGPs zx?RWq=LjojlG4QrZwSXnvG6-KWK9KlXsw1OF8MF;KV4NXQ)a%!E;~TDD^k+3N8Fk) z-wG_HQOMu>FX$!nsw$fXz)hbm(SC=@8xj`{bLGUhfqiZm9{V3yq9gh8CR;3w94;%Z#zOxVdgxMTkePRnI+V3$ovLv8x)naX;u<*=Eq~A~F zzI|Toqad(8PZa+qHd{C<&eIvMgI%MrIMOq5Op)bp7Io(r;;g>woj zW!Gd)ne@#Gfj*TPmAKJN6VCV9Cq)9WF!_2g` zaEu=RuVWaW{H>vm#{KqOTXeIM>sNBhXm{?%!uY3O$^q6qL&J3tAc&*yy3_&CcKvmh z-jX^rC_4+GISF8v3A41p5ud=Tz+l5QFV|O-wU|n6M4e(fU}H+;Sg`pJ6J%4Kw*ut= zfKZvcwxPOJ%g@Ydf~6(c7?WrvtjQUiI)_iIm=0fkCOI=u>GQuuqgpK<_!1XUQA7Lr z3Rk!l_#)8556nK>!*xNbP~1xL6c8 zHJQ69XgSQR+WKdhIr1zCVS{!zmjtm1Ay2JVKSzx4Ne$Vt)7?2}v!vNedtE|GXs6Vi zU3Pk9*ShigGOE?tn`$ZLJd%jQY?Fn(`4n9wPME=*{pA=h6j5SA!;;BXR|L=-FtWdd z=>#WY3ho@gN2B&_`i1XB@YxnyU7j`T_?KpA3DUPf?sLRbA}WQ!G|8-hTaMhGfeh-O z`bUI}#<%^tj!y6IIe2B74)T_XEZnY-#G4z}?6CzD=qe;iXkVH z4ZnHK1BSoI6t%I(6Bq&QU5)%1fZ;L+KCLpDy0|`5kfcd` zA|SnYq)3g36s3w3sS1LDkleiQ{nlOUTlf5eGkfoO&YF4V%)WJBcP{REx1#yo%*jzS z*+rFfiKiU5S|;scf^yMnu*Z`>UO@1Shd)pG?pT+~r)(_H&Q&+OflbnDZAoK4O@8ep z-(#Hp=6ToEuwl*kS>2rQn5`m%PriKET&F$S@hPJhoje^j-+_2`mrY;-2D@WC%}pV) z{uRg;$w=E8OoFQD-uC!hw{Rei9ZI9tV0{naGPG6Uz^#Z#ZxM&zky1sArU6zmXLSzr%E0Ry_Qb3<|cV zR6zQer7p=cW@DS(1{!#=tG>&wJwIfxzayoe{`xs$ON}4vn@gG%1~{Bu3RP~g>`bSY zREzk&)r;3a6p(IseaL5ZllLVJ&x+NWd@ww&OckFqZ1dMEd{ox8KfE11R8x2I;X{{4 zkkdg#YR2b6^$fp5ccSsaSjh54H~Lt4?ByI!0b&fwoA=s6d>Tms;BCP0@jeK?oo^Iq{7uF(Yvlh7%S9ICibn|lb0eU0; zCIL*Y`V4*!sO+^|9*|xBaO6s3!>s4LhdBKey{&a8G6>Qs&HbgIab6;1=a7VJ>7n}i zL-}k44GlRp?E|MJ|$6R?7H5;bzg%!ylivpdmC|~3MRXB_Hx5gAAvf^ zFyt3g*nIBOs8)?e2GpPMMgBgxNgSQaH{rNp%I)$kbf@<1jtt-Uq~CZ+x#}TB5t}^y zDpH6!U4u8mi=dMW=Kc)}YQOyRq>X+@amc=@Pb27FOI*X_i%3Cq4h;PZoR~H8MAH@; zI|J7_60WaURHN-jR0rfPsvaPkKX``=)U21cs{?rs%b6K6naSSc6F>Kq9jS09jet8QR{|Dg&jV__B z`Qj0X_D{WbWKRyh)Qlr1)gk;rhx!9z{bL>!pfKhQXy9t)6G&j)htJvngXmDV7xC~f zaq2vujhzg3O4m_2d)+2P;r!#JtuTN(aEkP?VuF&n|MqWvF*-y#u62-MGZ#56+`LlR6!D^*`A6G%dJ!TY}>ue+#n;V5)pdjs>*wQWe4C=VF^A$HOCjmzquq z!vV(rjJ6&_3j$&LVW+rM|IVE^Dgdp_ywm+d(nUfbuCC+>1o!&s(1BLTT4U8^Gf!bGohJ#Nq!v@bvzBkm@Oiq~KE)5( z&i%uN(e-EyAuyOmi~FK9`(IMlB-@49(A$pgGv$!g|A8DCe)rSfzlkZn)=G+8CK7v4 zLze&Q$h_(N4l7__V_TWDn;zX(Ta0c4P)JqF>SEH9Dks+MucjndzBguo!CUjQK(vkb zxSBpEtAM}K^6|UwKy>IrFcsl}BS3uy)T`6nHHxOu_~g?v0(qMymV7Jm;QrrrZ0Lqo z*U4I}$~kjw;5Hwrmy4i06|y>4*trY6e;#=lba;^z{nSozp;D_E2P{w_)MHgnzeA-O zLu`&PG+rHszh?NK=p`(Y%dDhKl* z^^+Q+OzK9rln;Ykx-Bi9wT{L&3XH5Od;n)~N$2QF%cdeZoE~#w<29LbGxon-l6PNN z-F*Iur~eLa(CvZnj9SJE6tQktll!!gG@!(TbW(#~v06&z0_xLcZ+0?nKg77sdPZql zFl6&paE7+~x1Dqs&rkuRABdJFUym4IRDXrTrte;T)!#jlCIMFka$G19lu2xX3V~AQ ziX>D_0C1VEMn>_e;k1_l)grK2$N5bJGGpQtg!)T7x;{d`i58OMVE-=a1Nse1jij~+ zZfXV%mTneB%?DfaZsvHz={a1*F~x;%KXg`#(@b*-B3>GOKS4<&1qVmk6>0(PnRb>< z?5ZCVS|8~v{q@`Z{Vr{X0+S<@&5A+*IkH)KlT`$^uKFupqd#dg9XKOMQ1TA{6fJM# z|M~k8%60KcDJq6a>-`q7X!eKi4I`a8=Tl05Hy5NIg2&d+hRUm{2Py z3oW13-4~@T`A4ZS1JEMjsua>Zx~_+YYcJEU5Vdq<|qNx`623dRE#MH!n~ABR3rKCPJ}mIA5B#{!c2IaG!er8VI1^j z1UC@2@zPv_on@W%NgS(+9x+^01wf8~_KpfKMOq|FWI6c*Xk9=u`M;R5J+B{iw&aJd z_!N?qI!H8%@f?GKKZ;f1A`VPG%XXMNt*3kcKbj0Mi2lA#bOfwH&*S`P&%Q`ef3^CM zRJFoKuG@dQccoUgGTLoOZ)L%-a2YXpdY&%A`^~C>8GDhZ^(MTFi8oz}`{hDu7B{|( zQpoi|2-(fRQlc#;T%|?0Z$OKm+vnT>BWhMCtNvMv#DceAMr;xGA_bat-dnqIx8;hV zEMGatti2>9ew{!kH=HQ*`Ven$fE^p}HET}1c=oOCkF&AVgOfrb?9fMz2i%mUdO1Du zFJOG2wtYeaWxp&`&4AS;4%Y1DMD(5m3XK67V)Ac3p|3`j)ZrgO$GcQ}-;}4`Z3i%! z$(Tme^S-p8vE=LeH51g1p#4ffZKD5VEVo&(D0D=M0mTBoAB5S;rO`~spR(%(!4h_HzAZ% z$=>y;Si`J%Voy31=MiMfJ>zg;jI}%aWSN*sI;$T&ZhoFiGS6!f331)?wQG*p@rHrE zz`rS#OsC`hZpF#6Z=`ZJ_#Gk#E+C%KseKdzgL#Qx9#@-VVqa*(Hda5_-JK9HmL@4z zl~D}Th4=*AC+*xnsb`~ZdTQkNP$R7P#{{rOO9f_J(CmvBo z9Lgr{ZIP!yOzZ}0?B4!*y99K$NE64-Z>`RVIf3ij#RAgE!U?j+m+ov4&pK9qLAlUh z9S;ANkciObQwQ=e&jS@=1N02N+Uith_m=h+3(mdjW)GnpdVU(9y?S&Qw0s=)RCupz zfx`Y}k4Ah@f3~!>u;Y;_1-gI2lN!Rp>7c8v9y|RbkiHJ5`8t!Cq3?aNh#mvrmG^$a z><^D={$kZ(r{ZS_p%7p;NQ1AFWl@s%A1*tAIb@h{-d*aLpFo0& zBbwKk){g9p+d@N#GvV9-&EI>*R{K@#pt%hWjNYJ}1J-TCr8};bnK6xB^mO(_t+kBzIa$G{TxV#nodE#Cu z*8v+Tn61;BrUH|i2R|`lkbpsYUQCc{Eq*G>+)#aTr0wy#NVxb12G@x;Pn?L$Hnv|T zx0i0$tnkmWeywT>K_^e}1s=LzlV0g&@BQl=HQNYQT087oyxtDsOj9gENwR$`voT-q zFE8e{TEpktTR#T-7u=^9Tt`rwJEPSk{{Cor2E3<6Np zJ>g#^?oo$^NpoA0-~Jm#s$d+1l>AjXGNz{F39@X8%}y{BADJX4kNq1+v=}YFo9;cK zNmspSCdXYfdY59K5%=REz0YPMGO?_N1+u>A71*x!%!XY`&|Hbmm!A&1ma z%<&WziZv^5lDrVccw0fS338%4L2PMBF5=gkb74u2+vL%dfW=)EEot@aFzrXL>^iS6oVkqtw6QN@<>8Ew z%R|3)k+{q%n2D5APC9wa13<~cmpg>XL`t{BwypOmzdnHY4B%Y^&8hDi0*5kJA0P?d zKT$jIZ(c;R3|>~V15zhT!>tm#*B>m9rDCx#CWKCa<><`pszdRA0eG-7WKJoyFxe~6 zccpzPe56g5iN$Hrk?|`57iq_!+&Za7oQQgDD}51dgMJ~$(m4&)i;WH~je99=|9H|o z554Sw#ws$TB(x*mw!wsmiYNjv>;d;!}U z)z-o;e|%He1+ClRxLMQ*^23k2#(d5zJC+HYJJ%lEq}pC8GeuB-1*AsmGJxo6v7v+I zz=vUQ-@PI6-%HB8eIJQcSCm~`72RqL)rz^eD$Vp5PSaT&P^x%{Zxy~)UzqP z2k}iQaYwS&(RSriY>H|+$47MI2)4q>oBf%pfC2tk3y`GqdAx!m_%>HeUBJ=UQ zi|aopm%B+tlrH_Mn}yVI&xo|mIsg@UxF7rIF?!|I;DXuoQj)yAopZ>GoP33UqAh%g zYpZk7lOmnQ+t2-iXgses2XxN<)ciKd(ZpuY{%kP?MOV^8SD-Uqn|URQTjg|+_sy~# zSn|kQ=gaMuqHq-R+^wq`eB820z!>x~9=+|vB|~;ad1Lb{A$B4z$$S4~DzbNATlpAC zNrgE4rp0e(o-UwB=`dVxuQ5_fBiov6gLv1o2U^;qRG&uwEF##=Pql^~nsvH8bIcHB zXg`1VG|c_(RAc8_?WJ*}@(H1NJ+pg<#$NgR@1Y+2T^m6~RrC;)Fg5mz6x+G;v`evT zA5UoPA-(=9E&7!B^~Fj01YzqkB`lSF94#Xr0#}MCue}hhRguwu&6MtB12ePD0uG5@ z>cHrzW=Fin!>`ytNj|XRwE}0rpHe9c-fwSUfivrHg$Jwvn~qa{dG4nUpQb@IT5rva zdj+9`KEeKNjZ(=5V_kdSs;SkWt*wfP>#8REy?juckp_;rX+&<6#P_Km)AQW-aLTcN zZeA-(E7>V{WTtC}=v$(cog0H;=h(M>*?GZott2yuU&Jmml--1-T3=L_dF~S0k|@Lc zPF(!JdYsceE;qmBC0YTD1R%cBVLl3C98LnQ zdj0noO<2wpN%%|Ls5UW_2I9{kA9(EdGWafw<#-?k@SW-=|C2eq4uo+tG1lvo=?$o{ zBcJIZ)vjZ%%zfmqQ>Oh>hu=}fGO~BVOsZ)*W(X1(;~g1zBPuS^s5<7DiwYC4Bg=y6t60xOxnN>RKyf{g5y|{!`&lq z#wk_euDi*{M7RNbP^aA=DJ-oe)XbEVk4C@0Th#9yCRECmdk+(+jF>K5CrOLfEgdyB zg6os3@hcF=_HqXL!DZx5^BKBEdBY*7O{!5ZOWMmTUPj)| ziYnOTVh4TQEu^b-73!XX(x}BPI{k4or`UnlrZ-H4DQ0=Jk!m-U74g0+-}TIGIvV}R z2Sfz6u)%|epO0Z{u|PcIBzQ@>MGMl39sn!V;c4t@(Ztc5!7=%LmYp`HWTwK+t-v{B zuGr>MJ2zONZ2C!NwzE7M1~if4LU2H-GslBNg!V-ISk`?kqk&27=>SSu=Au1<6?0b6 zt6f4Q|LZr?U#bsm)ea9$wd$K}x@AaSV-WuM3tIo0;kxG*2Il!NXgptAql{6V*ZQEf<}=k zQrfH-Z1@UCo!lMGnn|>@L)pYsURlv>v(c4(gT%gio!`cmANQ3Q71wr$@;nq`g^vA= z7%X;@j)L>v_V^Eb>1I=NC9nkh0~h#}e**k+dIKGPZSl^eqrdaC(M=Uit(8tQ-X0D3 zpe=vV@RV_fzWeyLbAJxWQO|>9TT>{&d@0AyV1g4q?K(TyA{~84$!53x)3xRs9={#5 z`Aen+AyL|4IC380-g$gaKLAC?*SHSYEF*l;Wg|(dcNlE?vG}Mso#HEj8yl{M$iOmG zMQ9h&BU<27V!fwP_`F;JX&2O_*C_EdjW@`mPbp(&UTllYtMFtmIlCs0RDhQIE>vh; zpRf&HoXqF%vKfZ{jA<6h*;b$#;!MuWW}4Pxs%U>yN+2`o>-i`6^QwSEB<(y7IY8S7mldiLeKX=r@qUeuvzkEd*2v-Dox_po zPE~#4Us#2=c}y- zu$7Lv5(xu6&OIbwMciOd?M1x&^@QpB>BBX@YxUNpGl&;Hz!A_%DZK`NFP3h`+~cFv z0sOA(ZoB1F>HMpLj$7#F!GTex^jNY5mP?&TaIt_*`L@fYR}G6qzR8Jbv)0boagT~; zcLrWg*aF=DkPYO=#@xkPp@sk_rsW6tO56KAmNnT?b_dMSZx!())2sFy3cburL(Tq4 z@8}DgNk3M-^9R;@X$SV|VM!NjDU{q(8<|!Px~MyT zPhPqp!Rqk532SXwOfd_Jo3~GWj8D||y!L6!5#9Lr$*vPhTb`*b%WUn^3i~(ts`Q!? zN-S>m{n*$D7w#rV zon$x3)^u5q59N+4a7C5tf3m-BSySUDqXagH%Rj;1aT=-;8tbqSDhJ&8EXzz7gfA0_BHNR7uAdUTsULEwNKxpbAL6}Q*OI-Rh1prW-f%EFbbFT~rDPby0Q3duIX zBbid9ELGq)>L?9)K;DhqipC+txq1bidkUI#`A*_o=v%);Z1+aP^Bv09m`jG+&UKqt zxT={&-BF0IRgq(iah$^c56&q828~GRXfRNDyI*o@8lpeKh>{K9pJT zJTvhlPY;M_4wWG!o^|@xk=1yQ9vc)S57fY zW{_#ll73yX{Xvp>{gXMJ@58i6TK~%a)=~yU*rCbfXP-QNvxfVz?=_0bQvKG?f)WrN c&-O`_oS**m-?MO*x zzf>p6Pg*Zcvy~*+<{wd{{<29vM~MkAuF{T58Lt(=y)LXMI?d$oSJg zriQsBsv+Xhy(;3&b1BVJqW%Uy8&VQ`YppmI1a^5P?o9r?P8nOu?#KN4_Q=V!`dd82 zQO$7bd-UQTwll3~JL(fFbiaowzpPAc<3+gjrQW>w>D2YN?`+t@am4Z~Hhn#*-pzD} z^QkwRs)!WYMsL-v1oSRyakNp4kiE_%eYgQfj|$YSqh{RK_uD*auB2`p*+qI9ArLa8 zAm#r`@F!N^ZK7JO$5zOG#KM6o&T(0R+x57 zNE!{-HOAH7haOC5u3s*Fx$TQD9L=|afFc(JOfPm=*)fh*4pI^$HhLav>k6=QmY$w-kl)%7;*VmQvzy~}+Ctnxz z5Adx3C9l-q=(BQp7o`d`KV64LA`}k86?|5aw4lSIBieGT(1{XEMbsbfbwf*hMiB~l z1;il=y>3QX^MTFk(z;DeP83I+G}%a*jGlC=(||)>F7*}rHhE5l7gMIW8mK$Y$$`Kl zZ^g`vpuFG^tly@+8HR^B<72N8xB2od*{OUSCA%XH+X!=6XdBd5<=kzUWr98r@O{ql z;BNG&a$2tCbM))%jP?=a*g$1|jO^UlS^GxAM;6$neN$l6pZUs?9)iXnf?aY$(nvtETmeen@ zfix@<0+X;i=VC~MRqy`n=X?XNPz-OYwN2dbo-lpl$x27}uKZ{fNnNGckY3u5#obU3 zuEz9ycM9DZ-X}u4rbuxt#y;o;AME<%qGr0Wz!_Egr4pE(fCDqr?If;zw-~5;hp^>* zjGgmuj4hAn7bRdRD(~2kH$a}rwNVHdl?_)d1@YgX;{VDwADFH83>%blZ?8=7@pp*} zou6)~Jqf}`SwENfX1n&ca_tSKXRbC+Rx969IpLptU2OyYkt_RsrO$I6nCnD{ziU$R zy0)sW($O{fc#t>Lwypv%z|(NSxC$Ci@l2Jt>pZ8)u$EVmVbqe+-!fJ``O6*3-DSnK zwI6jJBRNOXK&xRNwbUX*DglcvcVgIp^KA=A) zT8_^JSCfL^)BR4w`t|en6;Oh&)m9x74ElWeSR23k&ZGIFzss~Jzx&9OasD{h<;cVr z?vR_>ah~O9-O7RSPNDgHENLbZB736yeslF`%$E1Ih+kCdkuxlx!RYWAfgGd&dap~0 zz@9OXj@I_P9Xf1nc{I@a2!q^$7F%lRnbq8LwU*}4(a6kqDf}5D7~7j~9o5&*8@ZyC zK%6D6-vK%_kLC+%hqy5~Q`>YpYtyikf(b0`f z$&&}+9)2l<)-gzp5j4o%;%#r?t=>eSqb(IqQxD&)#ipF;3eL_p*-e6}@T@dG=x*eJ zK5*NUeqzm_&OR-NfsXF+0~Ps86S)khfQ8-{-x-Ah*5TdjOCX^AH#&Ol5=|0NIyyNX zbG||Z@MY(pL15p|ao#<7u89ZD0y}KU)p-R69;aKku(l4;`@hZ7f8AWp;5+H!w|*C3 z!I~I-v*dtgy&~ZZ@JiK?e|zodaO(%5{v8B_$9%a%uxcu!9eC;!&_Zn|_^&2#`tCe4 zIk~b$X(o*#V7u3FUQRcJt$gv7_MfGW|H5#)Wt`5NO`qw}mZIK=JMaH}SSWOgAzdGo6aGt^rg4{yX8*3Wlnxt5OiY02Oiep#*Z4?<$b4B zIqe^9U~r^NDgMky?uQz-mWl;6@o zV2p?2O10hE)${u^7Hy?It%q;MAK8JqzxHXNMW^&|$vb@J4>yf@{`jWud# zxB63ym3$(KmA=WLr7n0k=bF5RA)1}_i@Cuf7}a>eRWXw z^?W(&xOxwqsyo)aK^y6p@F3~R*^#gVzXk37;cX*!*L{waM^J=e5fefP%$pC>N3)p_{pQ|R27ABMp*1S?m39ROitXO#A?tacxZ zwHFRfwi9VRt2TZ550MK^ugbqkKwwk zrkn7+0_vac4nF?SnzL06%211f`_Fn>g&H5=v+VS)`rEbJv~Tlo>OX{TWO~MG8l((U z!F||hPG_;QWChQSl*P^0oeoPRhhUH5H;o-ci^wAyaQs&IYE14#hX6UmFx>RVb1bV6zy7SxWhmNB2Q#* z;B)4P<0hLXB39op?9tm>5-p30M4Xl8_gB{>kpC34TNhdh8DF9yeE)uPX+-PVc3wan z@otsdXtTvhdTxgA!#SaUKhL9H0@n8(tJb&%O;q+Ddo>RN4)ZyPj(s+d`o61*fYzmF;$HQBb5a+s@0tsKL zg2F)uGLnoVY!Rv2KDl^Rf7;j#5svexuJH9o`S0#|n${nu31=($m&Z#m5WO^Fo%`+DSNSkJJbw;5sYHgHv$@wkOg ziPBZH0ttL)j8nLo7XhwGL(cjweOW52*ryKd4k{cE%fF#*kE>A#Jauj?ZJs(faWu?x zmD6Akp&)UvO$opq6^yIrtdG0wh}8@#XzlxYm6EAP3$bgR@EKQ(Dy@9|6LmdSI7yvT z-uErMu$)n~o#h6LP}1Pu@6Ls^@;bzbMgA4r^6(nKFV&aP)THFxiE0Y<9vX0;Ibp8b zv?MnSjiQ~Bp?G+Whll9D3TfX)=d~MMN-A%kjHvY>PkDkM>@1^s4<*?uYF4N znAr)~=(5inEo%6^%zpD`kwR&=d3*W8;%Prc)jE{ffqy!dL)q!MB{6N<=@Yfu6TohQGJU1B?2^Ge)Tnc5ZkHmE?p8m^C8wvg#^P|0FYx_@(y%~ z75%g?kzZc}FD6Ux4?6$WIb1q(?a=7B{hlVEG4tMp0!yv&cTj&JhgJ>P*sUHTjr4RS zmCAsyh~y5-0#V(2HNEk#%kRtEfLU2rtyLLe)`uBn;k~c#kK-P<7geiFEX=V^IePbQC{@q& zc6ath$%S8-JS?7zeGx2uOEnIxVI-K16rHODqFi^o1xQuBE(=r$IUpEY_E}45=(*&T z*YnX1>8}O44d%qO1+vI|8O?KHA>TJ`%2QrbW;?49=L!NlGPy*$P*&X^R;n*kLoOya z)37h7JUld8-9(Wxs2+f-`1@t2=rfz2Z+*3cU+nKZ*QH#y`2hW(y`rW>$Z^Q2(lFTP ztMMm2>YKtv(VXyGXK8GTz9Hwg6Uqg*6YkgHK3o;LHP^#L`%>Bwm<|L2q05691qoK+ zdlsQ>brZ_>db10BrXR)yx$;iCEiqL!xW01eP^~#vR8S=R-;C%m9QB;tY{RC z;EQM~W)H;#xg?Yxzns^09xgvc_leoDiDPTF#!lMqXOE^EQdsL^)H%z0CPJ!ewc?WY zmEn^1vMDfb8NG-O{`9jv0%CT`2g5cz6+W?_qOMZ8#+#Dr^`*kk^~RD^c&Mx@2P}~t zJgyF-;GE~c+(pbpljM94OE~S{zkfdq=&EYe?ZNq`Y?s}+*3HQ(vj(Ux-006s_V8BU zHy}Hso}04@V!q{29cbno>d*JL6QpX|QIXOR2~<6v64^Evdzl*S8sCMyS!$5^+U&|l zz0-6}mt-YHgl9ZbfGrWyQ*){i(R40MY26L=_s}(KqhP}*p+-AS)n9{H86L}Dx3sPX z?C2^L)7s{0aB};ZzAoyZ>3xR+0weQ9GjZ`8TRqfC6 zS)GJ9=en#LdRKlA?iyH3INVN?jN*C%on4?0j-cfIU1d;!9x;QsP9AFuQtHP9Z;7V} z_dWzorvku{Q0mh=z6J6i0|2RNyLNpnoqoPElaI-Qq4R`@*m2mYK&-v$zrN-3qW@kN zv2*rsPqem!BIQ0=hsY{hHS z6lrb38LulT{FShwrdkjk|M!6R+ zMH|uIEH5e-dHFiab!~8|_uIm7 zqLTKTpftC@AL zP=&wyVC(C@2kCVGmz1^KP_%e7e97_s0(f@7_jT8lJ3ta+)uu zBA~L-z1YopI`mbKbv7fMLuyO3hx~_uVcfTD{@}a`Rxz_eZlKzpLry5oIc~B=A9kxC zqorNvnw0H+&b~+TOLOXZw^Qv@u7|m=hK?pUkxR)bQK9RKueE8?C285og66+JyJ1)) zb%p!u&X=Bw(tgvoPQE10HsX?>S0SWXvV`$sGFqV;e@NG*(C_`$a<&KY|8dAq4IVi+ zHM1#T=vY#;GpmH}-iKZu!~;h0A{$BT0RoAsi*PGvAfSFPAqR)V>Hs;-joV80Z>${i zRTkL%Iu^D5z{HNeg>L>}At4y^%HeFYmLEeWJ@Pcc0AZBz0G-7-z^1vg2zGRnYkDX(W;SUkIf?%1(w zgOf@`<54{4nVfUG5>>{EK)7_|&jr;dy3k%GOOxD`F&GhZrgsmA+sw|+Lrdx_7S!$Z#s)1TLLliu3Lg{SQ8+}Yo z+TN63XAQ$Iup!W9xVx54CCTNxcRxJ%Rgq^Wk(T;S2<1XF8pFD!n^5}NU054S+?|>b zSC_uz^(@M{uX$YKsPB?M8yBZsp2s>51T?)K-$k6q4i?E*_J`@eA?@+Y+ejTp#FJ)C z>oaTAWvU4S)bF}`iBO&iDVN6DFT=j81ICQ@$@Z0-PY(YA3<@@uo-cwzT>UNNzpiFB zlou&g!3fFgb$Pp((6+!hQ#$e0&(_Cf+;H#5+S+9!+bwHH;WWzwImbld*dtyQFj6uG z^@vL_Hz>!YG?fyzXwY;k86hp`ZR^ypEl3}me%ng{CJu)vBA>|8T`3qPG-=e0X`usK<5+K|^SIQKKM z)x3AHWeGqT^g)pAK(GErH;++FpZ!F`o$qbu3a*)4Vi^n#Et1_Bsk~bGrFZ9dSzi$0 zXj@DSgdT{?siozAbmq4k`r&l=ZGRmNkM`;549AKqWL-u=5eP$~M!MqExff!dht}J%$7!?PG>AVm zHU(>IV>hC5y;VU65$Rv;-WR^Dn82P^G;ePveD@Y>!mHU9^ZZ5sG8SxhD`$mkR}DUs z|K(THa3;W3(d=#gW8eQ?Fsa{VeNpeyNB`n93x~>;anr&U(08oz z)?9dAFGid#KH+oxvW-e);M*TmPJr{J^RmW3s@MJ9$5l;3z$4QVI-NhmEUdGQ)fph^ zjN@%dT`Oub53lVy-$(YdQ+I}k-Nl`%;(;iy$%y?5i1B5)l(F51uMzM#^Rg%3M28lG zj<6Kg!BTT2!C&u`tm@sJ8wp^+gv_kiny9gj^CF{NU!-q!++4NY`oaJJ*h~N8zd<8k z^v(EL+#`!)8R*`%K=$MI=wv)a#|iA8fQV5Mz|_Tk+CN9V@qnH=>Akppj_%@x489W5 z#*^wlU<-P>O70B4pyAGiNFt|L)U?lhG6MPrrA%RX7kAtzY}(~ z!uILa@?Ir+ql&!N(<4gx-w*PgiVR|hmvHIS zQ*`g%T>^df|5g}Pi8jry@t2^d`&)B)ep`=l=drI&X4d-?%5!Yv4PK`EUu;!D14V9z zLN)aPh~I5GRsAvDMMb2yfCPjHnmDO9NY2UP+8S$JbCWBEM!I$X3gm`}X@fS)X+`V* zC@t)?^wAJ_TR|#crgB?Oo;(o1q^}{f^^00NES;`A{;X%JG6axDVh#2Rqb}dDC*Ss*$k+u)&d8;+5t;x_TTJ~97Aw|5$ zdSvg|{RbLIzR?k$aiK>s>PY*pw6oDdaZkHmB&w zT&XPZd2WG?ld!yn^&6U!_=ll&C5alQPF>-)>$;B*#+LzMHkEZy?MxlBM z%eRsRt~DyiNk~-H*WVCc(9G$1U?kya-;k_r{hH%FeT@iq$=2HB8idXKRGkGUFdhm9 zxiSumQ~$m7p~`38s>QNPph%~{YsI0{VLEU1&e2HWK%K+l=qq`ol;v<*RGX|L7~rCw zvPs$#2w?TRC=aZt?S9)4D!zMAt82pr-kaw!u=CUVO^yS~ow+Jg_#XB-xsM!owtVSn z-wSYYDd-yT(n6+r{Vsjc^hA+jXO+AK*3ZKqYIaBNT@2Hp0mg>T!H)yI8P+5Hb5QJc zGJk)ru!E@T;gx~U6-z7p)&q)w6{3%ciGk*vA1rTp#d}%Jn$h*~4RC+m%-q-JlBE^z z7AC2cyMXc~AC!Jy34Q-8SeA~Cy$HWjW8f@yVlCtUpA_Q%o0$Axb%e0Kouiolq_6y} zQQ`vQ4oA>VcbuM{o~hSt;I{9wEc7gWrVBMf3RO29Im(}E9 zG?3;ox&A~RTF0_FEK}7_o4RMb%BHn%`0E8ohlUkmj5fiGsMnVPe4*2 zx}!tO;O|@Kwmg`!hOTl&np3A^H+#M#%yO7_HLh-$7iE1*suNPULQft)^}}c`4qvm3 z$UkVSKvA<2Oow8EKaCkQOg4<42AEQ_j$0P8_JV%{>oeqFYC z72i@r6U3gB2Bd@Ubj=%(gki*=h2dAc@#5|VG7s!>?eARt|Lw*6KfdX)raxsY7L+8m z9`;_3^nN4B_Dusd0$t{Fr;Mj23yj#^vnhNs5q1F&%rp>c^viDCMdz4I2VVml>rs0D)T?38vT<*y>8+V>Om>sWa zM_S2{r5ZKYDyhy|B~nWu+lXG7SIClQ$y&ASY|WL?xUjg~=OQr2hJ&-bBRc<@l?}*z z?V=F2Qb9C6zCGPG=5%JWgD9_SRY$spejBijtX3>#&JdW1CnI=VXo&r~7$obZwB^DQ zT;a4h0eU5|iIR*KQCseK>QsR4#mo_dQQ1rJ32d9OyzEyT&69-mYfZ@wH$}bjry4FX zy1N?y7GPbok>UXs#(hE9s$uFTSd4akK)M0OqSl`7`g`8v=Z*hGK6^&$481SEkGqc9 z5)yGE+7EARqF4j{Kr&VoC$k{x309piG9K}_S-gc^WP)+!j9Wv_0BkXkdw6AQjZaiJR;v#rlEHGGYSE<>qmf~^_{Q%YKDUN zW4rXi|Gp~S;`F(Q2GT>$>WE<;pjZlJxHY0VS{7>TJ-0F|jKK0-AN}1MxKg7+%_aRx zmo4-w)%sQLvr(Fqsy?$K?oT)!%1SrDk)}S{y4Viqlt11v0F9^@G@T+ai*XWx5Vw}hAv+Qj%_=Y5xf9LADK#8d1Id;z4--Hl{j7SvM+u(YhD#> zDE2lcD@eEFoWU)#Q$LXIp9~+GsIh{)IZ_3$wa;9KO}^cI2sR#3{ynbBdHj{|mj`Zk zogJH9f&)}JV&(iV$n45tfrz7IlqP#S%WyDwe#~Y5ne^)FQKTYEM@con^0;lGxNde_|U zyqCfZJQh1^f`vAv4_)r=!kuf}b0M?5Duh3eW&Kt(%S#9C${jqYQ>&QvEeV^t|G?z> z`Rt=?D}{y^soUc){ao(#u~KKGL7DTMypI!TGK#oSyIyppkY_z6C6dQiTy}x3X(=nl zw$a3YF25Dzxp76Y&i{a;ErCl)c3Rl1_Sdpwx}%-fWYM4zcEW^l^z+Ot;xb62$8Hpw z_Yh=My?qxH^UKb{^1l@I2go!~GBF^*@nhuG=#Ub4tGv5ER_LY;r{+r*f8~n^Kb7mF z#c$xNuwUd-e=P~(sX^8c>p+s5BLxHT7Q^VJ2UeB|e68}fm3FLN=`T*zAU1-B;rq{5o-D;HZ`fmH5d{XE~rH-UZ?HtfCmepsLs5`M zPH{&yNuhTmPf;W?eSaU{^0*({v9Ru6SuYs9efGNwWoD^c3QS$KZ>wMIlF zK^#CGnJL?VXy-zYj0R#Drh?q788B9Omq+~(OWdEM0+L{M-jRagt}m@bOpRnCV-+<_ zqQ+l<>FFG#mwaf+tA@!doq$)mPFP^d0QMTYPaz7xc}Qk!?VH%WB=Aah=j-R!bVMso zmplF%`Sdm=J4Z`e!a~A1s7FR0TdigA@_9m_sJDID*l9QahIm7lX*&TA2=u&&=LuFW z6D=o`u@c)nbaXdv$RseGYG?sX?o37;vktyX*NHco<*n zoTKzE!1WD_C19TMpg%~wvvzB%HvoK^zO%ZcY3`%m>#A1w7YT1guZZvoM_q%+$Nw?p z?ZqmEUvBu;$(1;qQt>4F3dBoO@>d&MK6a5Grhf#4+2n=n6sKB1^!>})+s)IL)k3Y5 znQDay)|jbTQ=w}Y#$P;@pi?P38?6GQ=Kw!deC}FwQH#!XqzFviO0S=|Sd8_&XtK*t+QyCOD7+sd@fU{0@WFBc)~zD~kOz02{7{AJzr~FMN7u zCr`g&Z54&2_D{2_Q99tqAvw=RS3XWdM*Y@}aE3-19CyqaTAk2K62*gc)9hw@lxj`K7LmEZ$V~TvOushM8pE^5=E}aueqhS=BYDRkg4}5h`aSyUdDIu8SbDl@+Ye6 z{axn*@$v%e?yuZSL_w_H_@I1-Y|FvotLHVw*N^towtZFM^mK;RtJx_^TUWQYpuQY( zM;qnBjmLXLG!XCL(CuHUal2q)VXudncn7r5t5JtG)Do@*^IZku^r0aB@zbM8s52<0C% z7iEjf|IRON@K0WKEg2Y=;tinUZp=Z_jj$0=Ys{a*X_EYOG*axZ`TR*K-q^=9)qV}P zls5?%vdSP<3$F%mOulpjqJySvsc$DaS)`=aQraB)Ex%zl(-k10MtAW;J_=^1Nt1g6 z8J~Z6uf>f}Ne^UjJAZhb6e7I)d-#1D=jlbg3mW-tUDx|h$-ZmKU{_Zcqi}sw)zwpU z6CY?y8gZ|b>*M5zQ*Kb-hd|gm$s{%P@o2MZ-9;)5XD$8>C~2saPz6WJn(c<^*Y8F; z56{!l{n|-@krJ?W6(plY<*lo?a1Bio9Gu4YqT82b(yDr0y;k4a;>PA1ppS;S)`48v2bCxPyNEAiBywAxB~F{KG5z@lgWaK0JQuO4(SI5xvny-D0lxXf|0aoM(Fs z)7yBo8ES*2Z5P9K!UG$RLKUcpLsok8U(R3&z~#ML>eEN>#T7%b`F}o5#|XJDb5Uox zPBu!-Y!Ew|)a$G#5XX#tnlsa}cD$fzGLvqq*Wk1U_w}|G9S9bl_ngLP z)ciX-=QI*msWyz*8m@47K+K;5UkrKk<^}o8r^1C3FWt=IztaMg;H&yCs49_<=hzw_ zJYNQCRf$~G78p=t0@#DiEVYC`D*$ZM1L{~3p3w-yYu@-?DJ~iJ50jOSOF1_#Wo8WA zhUj%2vA!n~YB0EGW-T)Q&as=&I&FeP=GoHNO}=nxw5NX@rsM3jF?3Z-0j z5<9ilyJ}(&tVbMlEzX+Qu>N>Gs;)0|?aSc#hsmUtk%JNE2sa3F9fGYWYdd(MN?%Zu zQkYjEB^;Y5xXP`DkFE-u8kzE@K*6mFPC&`vVxcFFl{O13NT?XBSoO9NP*e}ps|0MH zM4t*IKH9=!f|>s=5akvgx6=-}00oX7?n&bgrFO$e!mSR_&y_t(sqarvVuR_rEeiY| zsBRxtmANlxYXxrUSc>TMt_fKDAaaE@{pa`dSr?~YOIa*fMyD2j=%`tezmX`8ieqap z;};Q>(>IA=-)*&n)XWkklzXjPZF!{ac^d%2#rTA9f%Ll1+KQMxHD&qMIrc2a*5Y&& z)~}>pciAQ;XuQV|fh=-{6Qs7X=d>I<>~c*IoPyVT#vci(&cuSp9MebM=-1{qwnlGP z?@88e18V22Fs!ICp?;d~BKPHov{eNPYAH>H+a>IxTeo19F^sa$?diWPi@RKdk1O`g zLO>+;BJH27aBLZHIf;p4Y*cI9AiFoG1(uN^=NPlfE~*DQ-1}kJ!ae@wk*JMWODNWT zIe~;t9r;obQczuO9@sfzi7b8b>^~yN(QS*pJg-lWMG{A(F?hGF!`|e=|Dgi3gVW@C^BO z0!cb9Jw0E-ZB==U`3jTsf~5+fUI3oRzGTpO@AhO)i%WQ42#x~)GLOMGi2BT`Fiuk_3foG`fhe3o3htwe}1lgNSJT}ws1os)T+5a`kUCs zgQDuKY)0Df@!VTY>}6K5Hb^P3TTIdc872>RjvPJd#TR!uC zv=S?{gV=ex#~~Y2q=`}VL08ARA;XicEENu;Eo!cVMmkD5$uX_r5>Ep zxeOyop$Y7b$0Qsj;#i1pvy`P#&0*Z$?5KzZwszC!eo-K^lWr$zIO3pbB3FRil%U?m z%+Q-$NQmx<%wyefnQE$FB-hEHMVGYfDU z$ydj$XxKOANWp;IL#ri>@8FIjIT`3KK8cp4{biv(UFcgcZZuuc+|}IOg~Ni*z7jzZXU3Y@pTpRK10!n0=#a6Vq%MD#Dqy38rzFP9XO zEA2&LEfijmq%Qz3u<}(}4n8%uIA9*LT{btkaCjcPkC|Wpfj)H%BEQQAaMvAaXuJJE zkfh?q&{fD^zWpi6h6-*lZ{4#-MsrIsas6O614|zxZ`Z;rzie$ zHqzO5UYIf@%pvbP)|bW`Ew4aX1@m1AWp=Xx$BQ<;Ki)z%ejwp-(?@{{CRY}#aHT{> zq@1g1pR50>$YuWfqtA`<^CYuo9rw@3*kprc15VSOn~vg;z2%B}`Llnz&T~DwZ>935 zkRWB1?O=;b3J452=8u$Xj~%jV=l=$n-S%5RnF`;d{;H+XbnH(CU-XK33cuB_w{Z%j zIyxM65Db*ph7A|D8}6)0^8B!;)B;7ppCk>ZtqE=lL^t4s9%kNXw4v0*>vG*Mr!d9>l$}CQtqT!yGofF}nGR9y=?<{S!ah_wXb_#;h5waA<=l zj$U5j%${^j=L`)Dyk`U1Di0j#{~O-tr%&N^tMx5@B}MxMj44b!p#aRkq}Y5ocWgZ7 z43@ltexcWAeg?BhN-Hl7N!IAADu^!>%=^OzF=k2xu{^W2>$*c?<5dbMf z!MJbr2qpS0pM+k%Lk^ui0PQ-MR94TKep^t*yifCR#~30aL~jN0?%HNiUmL#;fCOoy z`>blWY9Dc2#dl8eo7=x))3b>%Ht_>!$G4f!>RP6q)~?cvSj=qr7H_{Eo!(>RoA9h& z|NSYiWW#}vsoSlTph#SYA^h z3DXuEFM%dk=E711c(1o# z*Hs{!%jEDb*usUoJ`V`zf;r7V1jvVV9+iJ~Us7(M}30&``%bUrKlkB=9#c z_yH8AWq)Dk@t;#4MSm!=`{#Wua=JsR{Oo_@z)Z~5RMbvqFZ2_BGUYKHboC<)Kt8#u zkEi~q_Shi2gEZ9(>{`Ir`mjudMPb-@MGIvpOASY(63u*fl}{o<>V9$KR_(e|!@eG9 zD;v-3nSt_4h?e**fuPSySRqAu)+@r_RquL4F<%A+kDow%Am8JP@_OwAaK#`rBuxQ^ zHY@}Z7eGW;c%+z|whQh>F-@0mg~w%Ze_i7rBkQmMnw*c>zP)eiPg>-V^;nUv6faFN zx7l|hT90L-E+XB!$<;!5>@JW)(iUzYe2==M_;jOSW^*QFWgHpy>2oF$*fa$yjcz|| zc-nA&YAmNecu>W*eS3qsFg$bvq3!ZL>ut$|qbBd&r~jkH)s_0$fUK@1Atsl+5^Oq8 z=mYELVF6%6N@fA&@p|t3&afLOS2Ldy2|C5ib;v81`0+ksWo_~IlGk(&^zw@})4CZ_ zI#^+CD)AsSWuhWzw8!Hbgk*lW!Ufw(0M1|q3&8z5{Ds0y)_wcG*2WcWe*P#cPflxz zL|FXGo%6Ki<9;xFzMF{z@15TlLmszz3Yw7zqU<2;!DzxXIG?Sl*1+gB-k0jBV9_9x z=K_lO{0{VP@3Vds&2_WjasQd8u5wi=h?VIEr-JBAbCD0oEZkwFEax~)0d^4_Syi;)5JWdgw6>dNj67njqeJ%kMO75pux8vLN0o=ZXV{;4lr;- zsntMTRu4;s{hY62uwJvWQYJ@uAzdyDtdTd`*8kIompNRRo+~~>B%ULA zgET5x*R~dV+;o|A%|=pW)Mtogo0_Ow5Io=ks-Qm6kUWY-E z^Y;cf2A433*Wxc4#8VXv>!0N0vhYpyN$Eq_OXfjGLLQ_i@{x1bhhj0@nZKws!E z-Z_q@=fa4=D4qwmh@e#eDuIMaXD90R4X*A-xn;NJhudfN&TVFn{K;0HNkfx&{4%=o z^%Dr%*_+H^&A{oY%yT%(n>VdSGbPSARrqa#A#mmhxJ6fQqMFBqgRA|Xcgb`PDd-Cz zp54V)pYE8dI6sc$YWUH8D;2ghoNK}L*ygCIRD15MDL7|5A$k_`ra8**$Rm-4Cd&Jq zB7NcSo^flRX=oJ7vK}MOOha86?3>SxN$tzF?Y1xQ`0Rn<)5IA+>s+q~`}7XzMf^^4 z`YK@{Ft1=UTrnJ9XwkboyXmoBH#5eSi+bn1w`gy^pG1$^evC*0{V+>3l4p`N$^|Lvx3^25_Y-}3a>gPFcf4gu zT_U%2@JYJwuOv}#^C@%!WwMz56i(S4-u!{&XDlK5CBX#s?@qTK8{pBhlz}by{ixXe z-)h=N!}%$`e&zP1d4(2iRYr{UI<%(^w!UTLj?CqLF498j5wCmxYsziAh+yYxpo)N_ ze_;vJVlYN+ZC>lUI}5KgP&w3)TJ)ZF&dzo~{37`jpvZeL4qayF*rJ(i833SZd3$Oq@Vv>q+!3#?sW3?KNG>;Z?|;UI;W zCa*twL%6c9L>?-fC2MS;|H?@tT0_=rwsan)O3@3S56paN&+w-W`NTRgMn>UL(L-#l zjC|C~+Ml5}RcozoR5jrBfii>q%mf;y1|lkY9#jlx z!Jw7%EgWpL)TbSeU_<&fR??<6(xhH7a1Q9-wvD$xduA17F!F}&ORt@Qh-8Skkgtx^0I zJOtc*pc%opADFUlpF8>gQTEnhQEqMDu(8#xh=>R%DWD+TAg#32NDVQBG|Ujv4Z0C& zknZm8E|HF*hYo2NO1kk~gL~i4{T$EzeBb-}k9r&&7jvyyajsvTY{_oz7950Dnv2u8 z%JuwQw(J~RKOBSkxBbTW&N6m7s?}_d(GQX)hl>2d(NDo3_P%Az8n2;_D2O4=KKeh(b81c5)HgNt4*=E#{;g@NoJDg z$O!1So=6#L9CE?Dc6C)%)mOIY;b1C>{o~ts!AzX$S|dOUp{t$8!7fBdkV-j|F1`|J z-+KxG>bTU9w||6;AM2{gjNmQlvT+j(`Rys{QWU#9BV=TT#2G6Fsmcmkd|ui(5q({# zIb6b$J)vnpn*Mb94+LQ>LcX-dG;PLZe-$8{z;o5;bdW<<=^e^N;Kvy`*+T0&s!7Tv zrt1f+Vm$U+8qXf~FEGZPm&dwUjE<7=z31xjE^h6x7c3{s7ac3(!rBrQViGb3@Yl+iF$d^KQOFM;K*rpm1q^Oli*h?2c zd5`5e?;8UoyD~V?T^g%fYDyLX#6AbM*sB=RB!Ff7cDT{rBibvb^={G@B@I}dB!W(2H{zrkh8H|E))^2Ov#!L;*+ zSOJ5O+w#bOW(n2Ba{naw(NIW)|J87tU~?8`f{%Qey<}Au#>Q8wS4zX{Z@W{hAj5n(3 zNSVv!=9g%b$#o*mgO91I z45o~1Dm6<9ok?&Z{3ZJh9_zNT`XLhA+-jJW&{@lW`7LGbV&%8t+<)ryW7rr##bC^o z#_QM?4j$`f;udauv7@=NHtbKIK0RsUZWxH1^mpmh_93~f|CNNL{>({t2>rq-Feqpp z_u91yb#-;L%6=U{VGh1FCyRLP_h$^ZuU5Y>$7sjK{;UzHAttv@%{0@+oQJw~TkQuw z9^UO6z__@bGOWk12oT(6hxp&_G(6HRaPLG|0yuvZ^QyOb$#y-Wj2z<(4Ua5PDpBh*jI<;AH4T$FO2j&!7^I;`sGoJ}*rNHmwdGH|xBR zw+0LBxuPz?q)0?c7kg^8C9^TVKj{)qGb4QNsOCxm(CD5>KXj#KPeMZf#*v_`Ov3k`O1?x1n;`OJi6usfn( z{E?^8MN$4mjZDH6IFrMLwsV#()qHXkdyIPLD)6aU6VG|ZGJ^5T^EAG(q8RSIFqEz_ zroRWS%8Pji6Y@O4^er@ea-V^g+C}}>gn8wnh++Q7phM0zY&yq-#Xw|%OmCkWdGKFr zfW;oM=_+$b?kICBS;y-W;uKJmy4CXM30^&#)=BVWF%(NyG&x~PeRI)1?$UKgZ9cEQ z?I`S>7$+z3@yHKJ>P@cZlira`s%t8Ni_wX)MDnOQ<%Gls9h8>e6x;mA=Q;Hj_a{Xv zUhy&;J+gQb?9Jn7nU{s+Z0BB}TCW9{miP2F9Tu zUm`K)db@MHgQj8gkM0Np(DEDB~W@rY4$^cP8ZcAZ|~#D zez1$?>u3d}1lhXhS@w;w7A(hS1~N>3MoV#y(Ow3+=EbZo6)wpi980+49WH-PS=lC> z`^+5t4>fP~trO8=IXBCUKA9Zu1wnMhP|m0vlY~TU@J3c*{x)57RQTP`C!O&%bs{!< z2bu=y#xEdLEJQujdb_RaByeRV45jZK{T^^44`_LJ|2~PFaPC3^)$X>DbImHBv%TcX zAYx}hKg}?Nza8`qW;A-IPnD?lY^78oqCBrhz7?NU*|b7A;~wf8fpT{Or6bY&9E;yA zBj#$EUjkX_W9o{EH;)u=6?ta1whw5ul($k$Jrq=NP5vM_J`LfI7HWlQ7F;gS_lE=8 z63IR5vf7^vB%INyy03v;*?Ks>4o(-9P$?9rW|1YFOD3X;9>K~s>`?7i^Fzh#m9_Os z@px_Jg)yH5p73q^f1nWgM3Gow6|Bt5OX|rM{Aa*49O+jLP4kYt%eVR}$iy|ixBfEj z4EMwA_=GP$Ct^L|(<@%ef-xL#nZu=%Xn8#ff})^octM#>$QfeggI`32mNz#^v;c}T zApVHK!elo1lj+J*6Xo_<~IuW2umWljr2J`FWgd7~$kl3!e^a2b-Zm6zvy zYBTk8u7FZdLH)?JY;zSDwadSs+}(*Y-x}fE*``3 zeLKlIq4tpS!?_t7_nh~y*3PS0CXdOoW=KPpS5V5@FHMm^X)fZ!=*>O3gx=lN7~5$4 zv<7e}_dRZRYeQ)~fPX4(f7lM`T-?j$vD+AH^0X zlt$*<1Vl2rhAcVw>I-s*Hv(VeH`R14sxEL1n|*#OlYh4j=@TfXv7W~qhR(sA_p@3E zNCHlxJe4tx!6XeWnM@!ii=6q%YZczh$@gXR&58}e2-%zkHF=;eV#eJ@KMTY}t-lVU z(a+@6N3ACHf&?}*M(~3`B7nbJ&$_ua1$6>U8oBRPiJ^hl^$;88lwX}B7?TT3C zSvy%uyF(f$yElS&VzfW3LoO%w+T_s=;;($y2|{hVS#$e|B43=+K35Yv3>kT^X<(IS zNsQ+Du8&l1FZM>nA9yNQ`=pho>0u*ZFsT=$y9os9IQR2R&!{<)aPH_D$c6DvL>*M@ z43xgztOG(P{DOf!=F53zw^tl3z36GXA;Z^sF_`P`hKR9x1ulG_< zH{M8BHcGFFnb6ss?2kdj=P)o*8IxOsINgRFzcI;I76PZ-np^W%$$|4N<@ zdRZX_xX#v-K;|%C;H-gXhy5vOOE{Mcbe_rnthl7~UE4zYo&@*h(@NkDgLV9ajygRt zmC4wZycdeyegi3je-z_UI{Q6x=F&EW&e&F!yk|7fbRX5w-GRtND4<`y0w(f!Tjx!? zKc-f(-j;uDpvpaHyI=p4gxdq;Jec>pOS1R%dE|3DT2}v~mbX3IY~vj7X`Fj-ZH!IB zU2?A{n%#cdHj-={Ete=*Z`4O7FsP5J)Wj1?^9g(`WsqxNlip#$edF4XB)+st4xov6 zz28Cya?(sh>?hB3(~U30utAX$PrSd{X<$qC6QkjT2y`Ff{BaYcUKsCktdVX#y-M*y zr2Q^%*sqc?_^+(%1HuUATEX2r`frnY|Go{Of><@UXJYe_OgY*TbK0W)ddLWCYkZtug~ zLV?sH_>K^Tz;TBf4w3M|mEtoGCz#(O^}0Yw@^|Y~1Ce(^EPZ`06@&@?gjVR4Mwwlj z51?pqsTS!!Eg#?QF=l$Sx)?B{CHhWi2pChA>G_JF;ZlMWf=6RC1t-m}7Yd!v;fdKr zZy)zPsog%Q`tYGI-K*hv5nr=z4Od`vyp2n*-@C+8e>Y5MtT12y5k;wO_vwz?iGn%7 ziSX0CH;*hxmK}5}((c79;WbE(bcoxqN0&aTo#z_H*^L!)L06=eUoV-H!$%n^sK?2a zynQiL=MnP?OC*3e_Z0$9c{t_{DHZ+vV`!XWK7KjBRK0=_86Uz-Ye}>qR(lCZu$PBU zkDO|kMqZ)zldc_ra;C=@Q_f7zx)aJ|wucmFD7Gy*S_rzs1PAtgRuW?p7}9S9a=EJR zue2WwRoz2OjqO$R7noTYWAvlUn(9Y(5FiR9&RbPGIxKUns@Kf>enK`SufHl~DMk!at(M@=fNd6J6xN_6(zHxCy+7Zc?Q;x*z7KLoKX~k8m<|*g&uW!Yf{_@$$SJoew)N8(dH_!u zR5cO5c!-#sh{FyD%zuoEDOZ;-Doq_`|MwCHJ*)DyrTrSW)ei8`v^x!)C#~N7__prr z9fh{VlGBN$qKgnJnI1;2FMZI$C+3i{47@(_1Xw|Ds8DQnbD0~_C`Frjwb~!Or@N=c zwkgoTJ7rGh*G?341TI>wT@4lLcaG#Mr|o`MG^;WRU%VBTWBPi>R?_vJMD%~Tt zr~ywBU{76>$}mJb`^09u>Cuba689L_muc|q!2bF|_a>(9$)y|@wjTgD&UDijLdig6 z52~KL;u$d!5*g`iV3uwxs-F+a8(VA%6IuOf!^6j&L-3c-xcbFiz1KK!+KMF!bOPDq z`yM}S94#3LEzR(7xIgzrVBU!12oJK{SMq0Su6w^&UhYPlHnLW(-ZQBUUZzI*qI64&%nxLV zp_SvkpfU=4gJ3Io6}pn~W7U*!aV22)yeF&pA=Vg9j;U<9Ps_`_$dg07j(~ELJ<~Tz zl~4M__p8gL%ydh75^PT#Zgz4Tj+-=Ocqwp&*?ma1U~I)Gn2jCY+0Z7P+za2EI$1iv^IXJZtY2WVtBFkwUTd$8X zGAK5^ZE|6IHhWo%+ezh2=L1Y&n)gp4Cl-{c80VzMxAIv!7)iKd_gb<3b6q(t5_+^> z*;H)}(I{;6IvNm;*s3H5+x*C54z*D-L&P}#pgC1K)HVJAc;>ek(*k!6zUbW~gt0a6B6NOyE+vva^sbPs2uBX{M&}d+{ii~_A zCo8dF82Y99`XRNCyRxdXk;g_4g4ij>?j7E?3#ChrX|1{$~?Rf&)63T(5?8 z4j}%wJ?1au9(d8T@3&O=4UfRu;otYWD;aD3QmArmJ*zCzlwdNZihkvG6*}?u?cX9G ztHg#I*Q5D~iMHnT(xdo;Z_oxe=x^*o7@5WWX!rJg;~)B+WG)l7Tjjj2`z`@HTKq!O z3VZvyT*zysYam3;$5b+ooBRbga}fvXa%#CG7OI`G<-HYSr2!{;zamgoyTUj%LQ~uD5r6G9EeadE6*_ zzMJV98!XFjG&mAYOWLT*k?4?;wxg@yFn0-5*G(KUqfQ0MvpMTx@QVq?EunXb)GF1_ zEd*?ebX18?P-5t*qT4YF2~jNE+?9E~lsofg^>$vZ($#diOpxqq#P1ezS9|fG=i^rOXRnk`NY_VD<6%da7;$l!@d1py0mOgAxl2RJ8?CnHK80oP%5| z2zuNvcQL-iAFQdxx=|{ZB{1-6hu4TK!*;irzy>cZp_>XG?poxTl8Jtnwccc-F4CyX z?H9%PDO@J!m0<=->`c#)3KbLOuZAB>nkc-YNfD4I+CMg`HUw`bB4!zFz|IQZ+$OCE zGjjrFXkIa>ulR-7AJgj=X4i_IW*tGdZ@FD^y9p+F319^4u$NB05CpbBl$XukS+`gAX1zfUvB^ZdJZ9Qnk?%nQrq)c!*cr&%EC`X7ceKi{cdMKLAwBK?30;20g^PQOfcgyI_I7`( zA0Y@)b~`{T3z*~5m@yC_m@0h~om^ZrfI_=}WN>hBrr!C$d#UgeUFfz;} zOcTE1;^H|dwDzw9?M94hS4Nu)*yAj8 z3;_IcKbmLN_#h31cdckk118{Ul<0a0B$JmjM-7?nl_{fi;5dmTvmbKI1!15b0U+k= z=j+_nyNW9Vp+Ic$UxCg4iY_YB+Vvr8P_q63gx{Q-m+Fy-67<~mWX!1ro(0jheGrtE zhj-$4j8+LiH+&HY%X0N{f1&rQA^Tj`T6yMG=|QdvQ%zunt*x|HTI_Wh`T19z=My!` zgRfeh{zM1nEyyE&V?dI<;`5^JS*6{UbZRtoMYO=xd_WOm^noKu;xChn^(AoBKULHb zt$X^1RVq95q(}eT=~~uA4+ir|a<_P@)6VP*>;cW8vu9zAky?`W3y&)xopvEYJyS3 zqe-_k*`i(CINO25$Khv^o9C3&aBbnq(DIXa|LIbt%Is&!r}pahrnTJVx(pfDN{?{u z35T^659w%QFS$Q+P0#GNVxr0ygb1-^jq>QQ2naEv={-Nwmtj*~<>d_|eMsmaG@Gd` zG2FnJYVo=C)u+nLi>eVNgI zBTGd~2|{W4*KoqO`3N%b<0)k68lkp-*N)aA5d-@*S|V2$2AXY1h(j zImI(OMIcHrvid%bInor!bIVO>r=6#Pc@@g0XH zF5k`HFiQC0mTHz`JFZ|lZb533i?%ixaqnU-Ds$YOSJIVEUkOGr)2eX%WBYuzTv zfjoqxQ<-XitNNaAnrUxToQh|_f;0gt$4J1IEPJ_pA6 zKEd~NvXZt?&NyFs7R146XQn2%1(tWv`@9FTHM~Tc(s)0k zORAVjZKU_aiet2$$p$F{Tb>Mbhi5d@Rz;&YJ6&ox3NGhZRIXbB;CtjppPzd#)zK5OHDJ3L6l|KPbWeBr?Ak`^4ch*k@;x%em zG@KZxohexR^>?34uARPz?k;nn7N5;L$}7za>Ejk6Y@v5$P(x%`ClkGFapa@b3sH-_ zuf2J?OS~N|k02mF&d5l$+*6~8rOBW0ml8YA{ho7jKZ~hSP6$MJLOU*$JS&#*-$rPm(j6fBSxP*$?S! z;K$_jJ~S~?D!ArL1u=|yzabLw#k8+k-E#iBNOlCX1-W%++;D}DRXAfF%@OaUB{4i# z0=e_HcH~zv(fTu`7;>zn7r{a+F$rh$X_Z2?w&%hFF7rMiZGLa%Q>l;<8TRGOA$O=w zGg3!RoxE!IYGhy{$*%5MBw321x8CP!Yj6w0onjEldt3_K1>G@m23MeyW?tJAfBRNc zCzST8y{aPYtw+oAjRnp9hI6Y0R(5-L=dt^j3{$DWG$gg-0QNh+Bf1mMJc?Rb*uEnV zj15)^=dC(auQ?Ld{n!ap<29uvCYhfqliLYTaZ)#ZxRYmU&vX5nLywCJ`E3lJv^!gX zroiEGPTH7Zi`>d-<9>>|n_fFTVY#XJfxgVSyl3f;EAh8V>A1j0CReS%hTfVvyD4Wz zO)$y7eYbXPd@6cKKZ-!Dn87f`5gDI|&~=w$1tmeoQ#JR@MP8~_o7nEV*S;bCcKPjH zY&4Lc4SDsVfjFChKrWuwcCiCjAdoXy#e{h8)G$p-5LYt5D)fCHlU7}o>)Bzh3bBx| z&%bDM0YSk64A+J*F)`VmsdobPYfp4#!$H2Qzd>w7--FQ17c}jm*bxu zLUnI~zTJ$?7zK8#{ZHeRW2L1ye(wxKF|Xf^^}>j#&c_%n20T=a%FVQ} z#t3_J)nlvfb<&aUM0~9jOfH9`GIM_xCjd_#<_wm}Sc8HLpcqk2sD3oi= zKav^NN0pn6_FaDGvtV>vx{KO%JOLtw^Uu3KsB#$hH>eUFTKv-^6CHly;iy{+WPMp| zp%E>v{>YOL0Z-1u`5pawS$z^HP^nep(#n#+^iG+zNmhSb05v}F1$b- z0ny482=|Q$3{CEr8YUsd8k=>-Nh05asGhLMLVoL|63gxi&02BhMAzlr@NQ?j+{J7o zRvs_s%$zNNwe?Gc%NUb3%v!m^H5;8iU2hw@LA>2ny|kcRjWG_#258nE#W}j1#BG3O z^fz71P27g86%QC(2-1$A0!&Fa@PS8~kJn2x@?8VYT@|`;7i!#Hl=}(L^*vI*5(^}I6!Ms7fOH~dm~6r;>mEXfML__BSzm~lb$@N9IW`>L2-uo;;2d2S{1j5|jT zR*vrcXs=o zH{o>QW=%(~{d5)5mA8Z(Ry_>wEBiI{NhRpEkP)z}?d^M9!KU1*jY9srb9Pj!jQYtf zrf&&R_wvQrPf+UJ)`~ht{=tp-vB1;HZSL-XNqfjnG%=GEYM4*FLJ*y0hiXi1&2pT4 z*GG5_dp_IhQTbZ&kWx@C<)8* zv?p_O_Nh5Pc?^B!-Hmu<1H~H0K#1@0GkQjP;2@`qv+?@N@YC6{d;S=Q6`2YBZ-bZ^N^8ha^JF|t z$6VdfO`TJ=d_uhzKbK$VO)1=g*X;SthOZ9P6NPMZof9-SPwL`XXXi_K^t4F1i(B%X zZZF}Ldpu>8IZ zN`_agytw$Zw3YV)`TC5?CFL2}K)zU7!3WEpbnL?7!fJJa;-no;fnR|Ji1$K2FHV$ z<-g>ZEk9p&5s?!l7uAh^$|;o{Wqvorarpk1a)|#I9a33G7C(C3oamG#~0;#F9Jch4kSeMk2 z1buAsQ8oI1DO`tB5M;$;ulj4(b#&xDCph3MbAUxOy|a5Y*;z`+6eKr9SL5&pJ&o1K z$D=5039ZC(ahZk) z{hlRGm{%XZz(l~Zs|x8Eh4#w|79MSL`>~IQw@=j}Cpol2{`vNILY2l zS!x3aga(I$GVbKpjf>pT-jh<<<~6Z)aoSEN;wrHebH9B4T6%H!Cf`z<-H@n9{R!I^|$Q-9nv_+s@m&Py2AMh%&+DwB*lU!#$wB zVg3 zFIcH9T4eZPGbcCiF#-Zk!Rd4F8QVMBsTmkSA%e%I87ais_$ortOQnuY0(mytx}X*q zp|JcW2w7oDb+69{@+Z9F^>gluu@s6of??xdCTE*NmqCt~Qj$ax6u*Y~Oe?FMuxeh7Rx09<$G!Y zF)cnqAXl45WM02qh@*TVEAt{5fpn{}J!P2T7riV4JuQ4z>f$H)-27vXG{ZQwWbUnD zEWsm{4F(x~j50v{gB-0}<^=Me1!?L~5WG0@-y}!}DiGY8zUyi3p(y6CD5+h0PeY#Jw zC(iILhP69JMXz*pv`k5bzTEI7A9u?L`j`VUG5oK3t`KeM_sT@CSk<~(Hg0fXVZrH- zRsV~r;e~iB!A5BDh;p9TbnZK#*Kw#YeE*Yyk#Q5aVS--$Z-)OX+SvMmd2Xf`sf?zT znXf52CzQ|-Wp2Ke?g6pX%pO7Qtkp-}8!KtCF0J*WtXXNILwg%l13k_!PpoUad#OUF zsTNK{v4bu5u(IK$+9{)gJJI}Gn>mj|^CL!51Rc;T|+{_)~v8Kfh3A|XH zqq@o!gYC&@Db1wUetd$GZn0pCc%4m{y}P5RDWqOq=0sjIZ@Wzl;sQ>e)~r^ulFG#ws}cVLu0IELjk*8h$H?+3A_!Bz4OQ*;xxR1L`QS=6n2A<4ner_w+6QRru5@P?+Cejdy6`h7~+2 zeW9sD9~SU__dwk(cXW43$svaf1I1X}W^sr(Z@TK!jw-{>pUU1d2@mRR) zy=RFhlWvQVi>@&zuukD$XUo^d`xjxV`}urLSfZ3sb{j5qUv)o>9Nk0Xalu=cFkXBp z_*z(uetydPaca&99D|q@-BUC~7?y)Tu$b9vVNw@;CV&t0R8R{l!~dHOg)<=PnT*!s zC99#$np{Rb-O=692CHe$dEV=m5ayoHB_J9`afFyS`YRel6A~MQvFB=H6at z&Phis6CLmOe&2I>rCfHX_yf*o_pksrDG#_wcU?&=vym6D?Vb(V&`kWqJY+Zwrh%)d zX|Gd-D#?^g5O=CMT(mz7>BhPCkJgGA^89|Kl}XaL?T8 zkWJ65qt~r3(Waqg)$Zmy+a&D6>veAvegI~FFg#-Y(e`sT=NvzLzHPQIudL<+)a+uq z7uB3mYhO)YZvIHlOCPfnQXgsZ`K+^CsZOO=Uim!sK_b0V88J`}p~rAego`~pPuPUY zR8nyUue6-O7L=wBhtec%;1Xu~#W8516xG@#av!V?PuOWv zzPV!Xh_{HUx4!o)M$-56Qn%;bo_pXU!4{FnNp+ObjCWTAveM@{-d4$X&=Ad0cprDA z%$2vZFEwBLLky%&N?cS<&&sX3rjDQR@MvM9t)D0lAz)BxU(PSY4i8gcty~tnu-Z<1 zf~{_E@tLNbcRj5{UH)R1G;`@uyuIpsNnjDiP;N$2m?M}>)iUSGp{|V|Yv#E3&Oy!v zDqnhw5{O_3uvdl4dhTe!vKJ93ccuOFTaH6S~v6J|`-DT#Le(u*$fZD+R<4cx1 zJ$*)Pbti)6gnr*&o3te~krd!B<0VUuz_)#vW5nzNMv_uH81iRtH&vVG@wrLjUHfr; zlzfmMgr>#Y&y2rea9$3ExEw@i%bqX9w*Cw^BI5~RPx*x=++xW*h*VWHDf?yX2cdun zUF;_aIPW`zGM~W-7Lpi*Wd+VrXY!+uFPQI^7Bg$uJ?8`Iv}F6 zf;XH5N@G}NI9-7_in3hWT|$pb2<~)HLHLh-ATIO4r6}|g4Oy_qcaNYrPMFxzeTZtS zUG~cgBNt%;6q@JI6SC+l&Pqe=LtCOz>BPk}Us9qB1Ucic#UQ_P0B+DyX|4~UWx&1W zkdBuZKR=LB@2c}hjEHVZ!*2darb#b@?Uc^-Jh6sL3G>gVXLBIAW3!9h$geG*yZiRL zREN&0l?C!&$CrHnpc^m02)X?uXyGiB=hxeaupUcSNr*ek(dj+jsU$Yq_peD9b0u%I z?|D*tv_K9y&&$y^(OQhAX)xVjRk7<6;;L-nyE!%Y;7=Qno5SCq(|nQXhR)>}QDQO0Y8)uw*8SJvB#mv*+>W~-RC$`#18T=LzDEVQmp zE^`c~U+Il!H=n`3cd@aWARU>gh{yjM^Wg&^M#Q>l;P>CK#qpE{mUTL8EBZd#Za|$TOE$pYt29NvMacEx$wY1R^-?l^T4!}Mx?MoOHBWoF~PV&l`7B(s5 z*Kn(slqdnDPkBo!6a>~R}+)yP%v z(%RX81TL4W28xI@zO>2HC}M&9_&}8(cgs0lUt?MdsZzyvkBI$PabH-u>Ey70nQk4G z2cV+4R@5NwCW8b1k%Tp>?S4^?7ECS&K*FqP z*#9POfA5OPvGUVDD8lv6Tv>7Yb;~vw`(}DHe5kL<&o*7WySu(1N%>$EuR-H3X2mkA zJW6mq90xfwC(g5H31qXrTDenplV(m+vMbsJ93KXT0zKGe)>NCAwHtw5nVSBPA^$u3ZZ|6kzz#glqFR2nMqov0ZbRPNDb%>Te2;}H|?`FZ}ez!|<<{is@;E zA#brIOS0xSxh^74|Da`WB-9Cx{;3)y5@4O0HXT z7>wXbwJc?4MhQR9l{EomK1$i1j;KuEt&+WrukTntzowc?REty<=6#$UrF zfn}(XQTsN+1BO8jRr9}kfa1POCc%6Ue3aM3Q>$v7fTnA+>oP=|(xo!*JbudZB>tzL zyPuxn9~v^b;z-_414$^XLb$wVE?XpEeZHLr%uj=Y(!yBc!@bc2zoSYRaq)&0YN~wdnJB_oGa@$ePjpL9DIw7= zrC+>j;XSh)L8h@tarONf7yB5mh9j&KW)`Ab6Jy%@wK$@bz?w@+ePdZ>Iw~99+fg!+chz01h%2+g z;Go<01(g~VaWHKEDh3;BXdYhv73F|7UB@5JV>$!vvx<}tobof{1^K$-gnw(_75InH}LzSQ84oE zX@VRu05Ly*WZ2gGNw)j;e~-p)gy`n>1UypijiF$V7(NIadQZAXAFDGvY05lAi4X6RbbAyPHDl&Zk|09sS1kGxlPe zQfy|lt@5y@_pOaUkQV=uF-K^i6e~xJ{b?y*8U0CJ4L=+I)4mLw?5p^onE=%u4LYG% zh8CLXkYrPhGCFR$a42qJ$lF&H2nvM%=64@{;$PCBX@H(nv7nVVGQ# ztG0pVMMXs)(8JkO5`n8bvdUL6fWZSq}vS<`ZKe#D~haf%Z5Z?6KFH-}k2)Ihen9d)*<#S!p_Bpro0Q znoA0nJcT;A5C4BQy!*SQ@bBbq{jVuTf&<6WYnU4>Yk?b;<~a;cN`KcW0qBO!sBPOP zFe&WD9*+f@LwAI?L>UR7Y}uwRhT+UcI2ql`qg9bc;?}{}Odf&{+I(Nh%Nc_f8|D90 z{p}UHq@@DDyC7*#2Cvy*SbjvbjAUgLI=$!nXEh?JEafhBXx-Mv7r-3na6JL^AO{Dv#x2(g$>(k5H<9S@|bg zelIi{JYnMFw4u_Kv1M&dJvMW?n8{~s6bEY2pn=MrOl zy5+2+fd)jA>t)xML5xK!-wWe}1Ou5gkR%3iEgisQj+7Jo6DjRRtCkd@&EDpg6cFSu z8j0D4>NGc6_pnTg(mMv5EpZ^tX+hxoM;3;H?i2wj6)0nU z{@LVfA0?z#f(i3J|95BT|KAfKSjQLRHT!$_cQE@sar{<+SK&1D6|u^THs$pB*_d~f zp`&|c<7I&rHFzUjnD6t;8{dSvP6rD6l!$QvX>bX$FO8<=gAC}=Bj+C;YgWp%m`K;_ zgU`Tg*M35Oz`3%Ug>TT&Sa}|&^@g2@c9=Va%_(vHNzGQm5NwYi$hGAF=cR|4%bUW~vCWNyjcp?ORkl3mBn(J#<$bjK( z>*tW*9tGee+kd6EsB8GR8fc>)Q z2i@tyKnG*3^BmScP-v^|;`YXF*bAlfYgopW+YMpYUh`a6TS%3d);_N)r81?$Qu8** z{r)Ix24<*~Z;1qqx^GpKQN3$KXfmc?Ln(Wtr|{F1s&%Z9kv_~6sLR?%L=4iiyufST z?)le@%^S&j`XroC6)nw0AFd2z5yL+`-_=S_x?hZ8dN>hGg^UhR%!KZ5iihMDfeGzx zra*{)Y#;d4FxL15b4h+&#yBGenTwtMAqKH6mFGgtzJCtBx_`PCRG}`L zcrUtHaQQQBLjTGxdh`2#oc2)ATSvF8u0byoM6LoqCU&(u4Q9(N*sgqIMoY-7H3hQD zP`N4xRbp{v#j1JLQ`B;6J3E#jYyUM&T3XuqXbVMU{I{w5y6J%iHxOXL1vO|vc0Knq zd(3faAPND%dLoxiVm&j|cjOca)_y;^C?wV$Za!YuomInMiL1Gp@sp%}6Ra7(tB&N~ zsQ&JL@Xwn1pBrz5Ip_xZh$69XbO%Ql%9h+~1h&)HM}Wdx##>ftpidU1o;_{v-Yf0Y zEz{5(ve)-Tad`H(DLWsQu9*{q5{~8T?E(~g6oI1x-Bs|hU3T8Akms6ed9Z1%A^2jd zo=iQk|9gw=Ic%>(YmQ=vlx*{ZS&z$_(4n$nqMOp?XY0BikngLj!AuNCm4Ow5X$6uF z`lG;vm6)OD)dY&RCND!P4U4FyZTSYvSPvA}p^>91PqKW>Vm!c0arL~`zFIkeGV~9@ zWE+QIvW@ZZy1|sk)qcESt>gZ?|7d9loOq50F}?(C%uwrO);EaF0qq!5SeaGMn+Oyo zmWHhWx9Jl_T>lC>97=5e9Pfj<%#c#KKS24oOgiZAi%#zieZoE?eFx_LNJWd8_*HX{ zKa~8mpToYI40n=0$bC*tOi!F?oBEYQWezLN-$qSK6s>OUA}%pvr+9&v+VtK@9r-YU zWGHlU+xtujVSCkCD3tJu1teQ_zPI*ltV4v<7nC$b>8`gG--PRr#3@i&%_L52$vT507RW%lxTUG#ZEUtbGCN192 z7onZaUO4OjO}X;Gl<5C)0Wn%)PN-|QL83YMi|oIZ6QBS0rSQ)&Q>yo0r-%)5VU>0P z7Ao4Xr!?wyo>}qfKDdMY;0a0vk;2tE3~d4LYfK;Xc^d3!sP+v(SF9^SfET#wz1^n` z%+D3e4q{?R>?C=ByHYkaSw_$Tdm=x~*4i*neE3~PN(NE5zt;ERsj6u0jy9tRqxtc$ za&Kp4d<3dDoL<-5*><@jGS5KP$*%UVcfv@f(U5o6To$0=$~Ts=&!bL;eyMvPUO0|S zTAZ@Y-;_v`gq}Afg)x?WF-{i>l$r|~AU7>W+Pef?uuS34!aJ?mOh04t$?i%7$SR-Q z46R9NWi^uFL`NvUu|u2ZGFH|+!MSa4QKBN0UWw^*p=)?+JVjmF0$BmZ(F2Yr4hdd5 zpC|vf9B$QQC8%Qjew^-zaZ%MEiEOMA8*MnhSilgpje}EV2`5+C&s}Ud4_2))(v!g3 z0XLk|fHswA&^;~*{s=$t*T<^4fnWp=7cs#T?<4E@k#$oWC=Q30)j)OjWZb*U<5Sub zQ~M^P-|5=~#YE8ph&RxO)$GuiX!|_2hO7yGSq>Y_>?~fTwV)_5*J6W_$HyspTGb$t z;*?N=_MVNZs0V0auuU2=@bJabuP)MB8!^#YWlm>21wpJJpl$pSEZe4^65QU3mdx^3 zvug&BTIR_+he@8MEWGmTKcTW|=8<2ebmvv&%Y(iU+_x4ieXBgHgXv#UD(*BPlK{#9 z;U=wOjeIaAz}>hfWh`&6L;-9NI!phN4lE4`2x>boaTGAP3d-zu%G=((JIgx~82JBK zGgU%!Gj%Y2z)zOx#{Qcch5BP-pTS+@qq14{>KdjZuL+$bq!;U6x3-1|&h3f$t~sh> z+6>i{w5khSC|ZxGm@@U{t8jpZ$mqKuaqybv1pEps8!P)~HTSwlI~V2>Eak`R%U~N}E+7WI z;q)*6Fnk%Tl8$^)@@tAo!LRFb|KEZDN_3T#a@&{HlTAa?WeGs3+sF0XscVtvDc5&Q zssA~g7UbuZF}PnZ1>yByg%rj$6u?!s&7Ur(_ephBG_16Bz>!DsYCjJpZ1)X(7Yp3c zR)KIHb^ldQnS45q#f|m7o0#Ue&4`;RMlV7YI)_-k4`Bj`xtMNatX&LY9p3Vdr;hu} zAy(P%I>c|}1ha)Of)Q6EN|YDM+yV&v?V%wZ9ulyftt5Q^QnDm!|W%?Cdq;DN|Qdrr^z_Z2tz0QU%NXxJm;}d3D@%rl`&Q2_ky% z`@X3=kP7T!VUh+%5*g3FA&sj_zA6aJeu@iDfbJA!w+NMM1V;1-YlBch85>Sa00=7d zQL+k`X->GfaCFz-4><-_>bYjG163^WClkmA|EqJk`E0`lrv3R8rQC!n!-$4CUgrMs zaTO-}G9N@&);k}eaXHiu%toKHt$YDMF=hpb{)dL<|F;`*T~NZw=hIdj#h1aI_Lt3T zzqjS!@?d2fO^L|^-;1@122KXB_=|3tO6D4|Xco{dMGt5^@3*`Ua(7i$zB}p5Vw(Pe zIcP(8?xf8OMwqLnc^X6IqXoT%xSqxeF@LPtH#`)DnT(a`%R&enOxD-Wc zuhWSqxJWuuo9D~JwWMPYxa1z;Q33=;em!@L?^$No_%v6xIW8wyA>Y1^{X__W-h80B z0zvZsY3{q@sc!%OPuxwZgd{1E5h3KrE*WKSAu}T!WOK4dvNN)dz4spBplsQD6WLq# z{$6k6{@wTI(f9s-@5lF_-#^9iKG*wNuh;duUgP3gj7d@aD=}a83!St_nPkQK?lO;^h5EW2x9dPM59p7 z{V2Im$0+TF0TBy$p9zoz`_U{N113P81o=ur&jVk{i`Zi`Le9zEzF+NyM@z7gC?HP| zw24)R_O(=8`HTXiJ~WDqoR z7C|mtp&QaAQ3!Ei`N9%8>ah_h`9;nNs(mvjig{^ntyoA+YhjN0$pj}lteb_1IWxWq zsVK}y#B>yH5pMCAiDHc>@8MM)U_JJP283_o5tC z?{kp(K&O&&$3#hBAtJ&YxSAjV5Xk5k=~@qN($gtbE_^xqGN1N1aXCe{tJP7hYw^3X z^A;HS2lwClOT1){&3U0?b6#_?k5KYo+90P41zj3nBp=t9`>_KZ2rqT;1UNi^if4Bc zQ1Num=YZ(O*GYI(I{36m)9kqqy6IzvIapqYM@dI&_~w)U*?<=Z20TyM6Xl*|Wr;A7f9&6ni-O5hdPu>L`;&NUtbXcM5bLtXbkht3VG^dmzohhrNl(94S6$GoqRoO$zV2h9unC3hy3IQ~U{me1tWKZ$BmKk@Sbu_q z&Nzlx1*(P_VAtgJUj})8!w;GLav8~hNrNl{CXK4%=UvB`gO?^}f&ZmI&}^F*Bze+g zYZ(?z2$JKb&@ytj^1v#w$(Ij$1^~_g z;1t~rp-suR93TM>)(^g$fNRHg8LD-a5Uie`M0b6piVqclB;SaSPMtY;M{}C`^MtDQ zYXZFWImv8CnG`1f@CX~-gnM+wKwk6t_GTkP1u5eF5}PNe--H(T=@q3F-8;36BoX}g zh%Ro$y(oyH$?AqQTQeL`;?yr)6%%QqrKg9F!$Y!7;g`m1W)-gxbrwee!RriRrtg&{ zP#lgjCY5`XgOks9Vl$z<2oy2yV0k>#c;91!LE}cdWVt#9D$kR z1UWUmq5}RX^gvB9Ssfxt@7W(|W5FRY;Etfw>vjW6p|l4-`|Mc(R5WTi4o%T9c#&|e zGe32qkOQE;B&4PC(0HdQl{fIP4ozysZoFTJ3?&q5Zk|kA2r6PCz%T0H`l`#6R;iLa z0-XnDQvd?uh-UD?r|Z$FbO|#w&+-BF9fXd~*ClxqDjXD-X*93xVp~?a5m3F7?bn|) zDdKl2U*Avef-|TYmHcin8WyzQwNN<7oezK=LOr(BDR1NQ?U)am#}~8=CsCv>d#bYiyE9IOB`3T&SOrecnRY~ADDRV=2mzb- zDK4#^)3+karX|?l4fL7VRWzqr^a zljBNqvlhLC7(80`Bp^6xho3e8IeNS$YR-4j)E8?a4Mh>1p3|Ui?5Y?VDRLurLicW& z3v@ZdyetjTs1#Lpk~4Ddx=C|~{PNyZekUt!NZ*)*+=*;~{==>Ow_Q_QSUjBuiI*YHBb!G}{S zek3s`CJmT1pnP7w(Fe;%q{GD90#cJeOr{|+>LEn`d->&O;fFfYIi{^2>AUmIfg7Wr zbQRYNJDSl-^n=&32Kp2G=!@6DF>BcU_P(|zeDyqq8Hn&T2kUmyraI1d4oP({Y5ZO4 zrHJUdC8hOr+BgQjV2`p&MD}v8lLhP3JPb%<Y%?*BYd^9%j^i-l{CVPRJ~z$(mHJ*@WHp zOqOYNFy~UBI@1vk5)Fv^DLLfduxJ|i(aPr{^4He@w4abhQv@XY;2=%~$91EDPWD^L z%LOGra=Z13>t{(ldNKZbKSFrvI_H^Yf2K84P(#sLOz%#DLugGEm$&6ekkM6A=So#g zD>4^x1yMHDGP!PT4^BneOvJVM?C~W^T^}TqhS4TC6}L{F$o3^RtM7v$9`1uWEYDJ! zS{3QwvC$WEiHi4ge_m25KyL@H8LmQ_Tm3l*wCZeN2t^B%bI5@#P;;y)^t`gH&BVrQ z>`hp`;t)YBp9zl2yz?7%+i#v3H@c7t7Wj!y!B1qR7h){BQRw|8j$ z;_#)x(^aG^e1Z5-I#Jd;pVD(N?^=ZPF12jGk&A)iu&MA)9th^Z_5s!QZ_+UL>u>PC zvF$_GWFL9yG&v{Zfq*m6@YnuR>Lkp*ATTg+V{s@|pcMjP@vjR*fwicl0#eO1Bxv-e ztT`w9BE*7P+8cLFKx8VH4SaNgoaKBJk;~fv>2h`-ob*q*5&g1ahn->ToS_XSM4t{T zOpKfU5CcMZ(1$+0b*caNw=Ruw02zn`Q4EmsNNVmq2q<)sm$3n*&rT_jW7wK#RkN>d zeo8F|X?g0<4Z*xEt94sH9OG4uI)HHkukF;@tX9imDS`{%0ww~cP*pQ_Q8!aCT|=gO zrfdTfKV#Og1PE|FcKkM9k?;ZmSuf=atNDMa&Z z@vMSgTrUPQiej>R^%a>)P9XD4AA4V%aq^EXc}u$|Y3JvH@P+sx${UtN6K^%<>NMdi zj~5+Rsi<|k6Ud-j`ZM&>JByT$ufdgf&4UCV1n-k-zCv+MH!5<;B%{JU(e zoN@#km*%wfAhc)!?#Y%K@DpZ9@ZRqGB-`S6K}C>6Gp>R3ZiquO;>?;@Q}9drM=8j9 zzF)UdA#S(gbHd3qF=#c&w8(uC(Ikn4ACh7i#3s6b8WAW>1Vs-X#en2&l)-#nSW&yaKy-0CDhH! zW92y3g=e=nqTh58>-+sbUeL+T<{z0wQ0D1gMEMRM9oq-or4w16= zNEKr$OtP;Uzrf#;Opdt?GW}>0)QcFHw(0{p^lW1#bv`F*sN{wl%TSum%|DP;yv?*a z0^w-tA0&rlkIy4AqWlvZ!;1jrhWWe2cmC8N&O>bmDh93U^4daLbFw1mrw&5(vw0vg zb;emyfuG?8iNJ(fi+n+a8t?I}Fo8Ulg*{CJob~+Q9xZ(bh;;anCJW?vZwoHPR@jM7 zFU-9ak4Hk|pJYm+PB*F_cGyg|#<7A;bgx|da{ z3t+~{sxK_${x=$_qn7(%rKxR{3w8@7&XUNJjL7%Qz?0GD0(KrnwiVkTOX0CqvBPLD z(r^?+D1?FtDb3bEqMMwi`y7_T(1zNu;ta?qMBrVp3t?Q^dodVKe8=Ym(K=Wf5e~Zm zNN7l?h5lehrcQaJu$F%pl+*Yas|^_%4!}Nv8G1r#<;YeVFfa|J$-M}LSkFV4LEJ1OQ)lmm| zsZb#w!y_!d1VP16(YuvutkViqXFEW4Lz>doiOMn3=Kb6hOW1cKrC+?sSRhw7smx8f zF?}kVzf6NxuRV7Fe_B?)D9}07pF$3dNYwHUXC?6b5H7p$+BS@*f1Ofz8w%=p2)HzV zgA!Gp7a;)XpkOhBu^;u$yfLteXUQtf-22)4yMr z`XK)dlrzm>f4|YCu$69l^b$LiugYZc$?YMH|78yk?I4@AEaWpavy>t1xQ`L1%eoGv zyc$3M(oo=RkVLL@pwp3{pI#Au<2;@IdTwZR@sy=E-0O3OiyxE%+bR2dFRHJY=0@6C z`Df$JuXMDA+oA|nr1c{xZn1%_S_}w{Ks?Ai5=mbEiMhsnX9z1?I#w&^9^-c+&-U)p zmpO)||C3|26d!U$XzIU-AG=%wR%_aG3LJ|J$vd6#PX&1e`fR5aUe$6lj|AW3<24&Z z#r4x^a+r#_{Kdb@Y{$E~02rnF(tIP}{!?zRqs3o@4$Vy}@t&aAdZ_1`c|ig4>5qoG zy0asDD7)CdV^7Nlh;4yDoMy(*?Z^M->OP}#IA>S-tOsep<|UE@y@5R@QFnRmPuFR8 zS6zxotfGo#hC+IK7r4`84fFHPwmX4GzanoHt<(^$lYpPIB93zN{xSLhrNM#tMD$VM z`#-`IddEo;zsr?4Y52Ea``aa85z3yl7kIl4ZtZ`jNYz1M$m1UJD{p_7n|%iQpvvhr z_^uNB*t`&fvJd|;&+2zl|F^)3ukYc($YIGbVy+hu>?1G~Z~(!&q2Ic6WqiP9gU#_* ziDvw@cP9;xDgdhpX6bM|3B)JZbP(%13{Ap52yx?pbg?6dmapu8^N_qt2Sy4E{WbsS zk>)y(&bUSbKFKoRoxfdVy`6LC&rWc!;BrU*sm_iYJBgQSjB|&pw4E#|L)oF(u?|ec z`B_{zeLP=AM=$z&`q@eE3Ad{UEKa7iZT9~wkjPS)8vo&&V?+cEraU9`Mw)-O+c zF+d=8GC5v*g{gpM2dbtalk-BI3IyO-0^6N%+ifo6^uhVT1Nfhl+r^!59&8Ky^~dUa z#7A}7FnUk)nnla$)96KP!>;3H8Eg0q8vB9s7u>?rE&dO?(-UpFEp#$^vJvFypYww? z((@d&_qF(zRi)W!*SQZqoc5hx*`i_SblQ$G6#q}t_TQWNe-opBIlBKoG4da#j<>oUL%dA}*_lDO8uz3wWSsN8^yuM;iGK}}vCfp{#Q<;|O zI&|mlY3r@YZyWEtdd2HzNp{WfJaX_`*xl0O@q+OfckH?U{L5G}mM|zdS&)DXeYu^U zavf~%56;IkAzLKXdOSw@ePaw*3Sh+L@m6mFRK7YZcI6|6-u)k@E|~nGKzzoR-G)nr(oLiFn1KtPDAw$74kTXNkFEoP zLi7Z!A2vyV6gg2`HEFQE5+HL#n{w=(JLsIyc^~LpUbI{zMmrPe?heHBaxF4+>a;>(G$z|*!s9<)UlXp0vh8 zw5^ZAxaA_AAh$eH_bh*Q=RUamI)>7IG@d)9@Y>Eyw#weN1^;mNX7!ToQoBh@(BbS@ zk2{UsO5?WVM5fsOc3*k%)_l<6Tu=4ayZcj`D>^FlQZ{SPNzW?`uSAQq-!gjJ$(6}Ku6rsH&R)3C6v z`EkS1$^FhLA{Qg_ywB+L3nmb?G6aecQ_CMvXToGbo-TA~1+;Hs>r_r6vn`+U_R zc?yjmTiVk;g-fqSg@em?+ltPZN!pQBfRY$>0#5qp@9%RRxYe3qt&a?S4hlzo_AM|G z(CTlV_v{QHe(5uzMWH`XgOPBTYrl?c#qe6B6L!AADyUXxI2uQifgg>5n|T2N~w ztzTqj_K!+~5kn98@|Iwd$5EF8h>2}P288C%-nf;-LMv1&XnX%^;oxH$6GXB_f&W5d ztOwLDy=%QqEe~aoO?K>`C~*0RWup)xU$}Q%KcU!1Uk?pS{X|K8+DYZ@>%Wu%*PxMD zG=BlK+br$Uts-ZU42>xRi8_!{}k?5oUU z7GgNd2B}f=ZETLLRH4(n4bmy8p&1@Eirrv`u!xNTu^`8UJPY8-#Yg(xxtpp2961L!WafH5| zI}pXXyI#7xI?`xY`LMCYE;lQyu3|TmuKoqq4k*b>kFS5Nld8(8AJa*apj#j^iy9ww zz$!or`A4|&C3MI-bVGZO@n*aaH~DZ`W3hp*|iS;!S&NgjCe zz02Z{+r$32?yBD!B;d7jR~n8LuO(+=nD`*7E+MvEgszH%ZHuGXGZ%kNt_-7?CMc+h zNT5$w;{i(3-N|YYmz>XqM@^!hHgO6|P=g|jM`B?q1h=|yxZtV~Yl<7`VMFz;t6Zq+ zN|e_UE1CL;xjU&ao#LIY_MJ}=BmwBfto1+ad-+CET=5AAg2H`6!+kd*4@Ehp6qb2p zsy%8wM`)}WSsySks5LNj9E`{5O9$XO=WK|T`&sK21VxO~hwk;qn0qDm2hH!{D{&84 z$r4sS4#`--G+&uZKPwcGTP`d*NuO0EneN4ZP$SgStH4dF^iZyLyQ0{Ualqw(d}-JG zxn8PO{qvqxSyTR$s#h}Hq>~?evQy$MbRv9lQ1Ux3mpPi20u}dbjZW9$iMu8SxFMVKBQF?r4CvMYb07w z7uk!fty(2j=iXG?72SoIJ-LqHJVRpMw#w+2sWo}9zunn5*n_}CuKi$|7wc~(W-{4a zv}W}W^}BtSKw#oNKpXY$3}DTCzweLk3CGh4ag1%5TQDf>;v~pg4VX5qsj2b4%9YjQ zm81Uf-moubz^(o{4(P&-lw?z>>pFKP3O-vz1*>Bl z_~R%_Kkw6HUN9QE9=5b6VR~>KtC*96GYi|jjev(?r-CKcv4M$`lVkiLUAw{51Li2l zoP7M(^wkw28HZ=vifFS7X|5e+uP)&MlZkntkkXcto}I=WTp#Yx zFJ`F$F1fSd14!S|ZIHImnjCPa})lG8TdQxsq2r47W`wavxC#Z`-|OkR{|7z-|xW z5@PwHTP=7c_q|%AR9cn_aWSq_nXKe3Hrb6g)?4fMB%-MvnGY(J^#z$$L+7>f5uv!mXQ;oP==88 zDkKIEV)_h(NaeZSjWP*&?`|CSyt17EX|!Rw6dBy(>g-e-IYKs>djFTuu$8|`ZeC(m z?+o6R#VHr&XG+)(mvkt;i0a-S9M8cpJ9pI%;EKuQRV}IUjYTj|Dp|8vw7jy}9I_A) z5NHW!7cjEN{#oNiRARnRY%+DF`iv~%-Mi)Kwpb4j4?Me@=fvUEp{m-f%gWZNjn*0_OYCRh(W!Uj zL?s-wc10~oj*nia7+3Wl(z>%^ueFtTyZi&PlH2U5#mz5AU7TxPL)dL`1(Yhn$5a z$WP-H=Cl6(e%ZWr@L~M5uOFP#Dbt#PTWt|QD(`OSyH`31iHQNMyl5^%bv0)Oj8Osm z1+J;dNiA*d2M78ARS!19ucw{SLLk!6Xlq+rZcY-MF7ZvPD`dIq@`Q|#KCXKw>@7Vw7E3?p(0t=rHqxqb9T1)SCM+uMBc;DEsn2 zPry=fC&M^@e6^W^UxFHfrFkz&a|+wz*!d>BGo1ZN^xR)XTQmOFck_vbPsY+iB^%M3 z8PQua=!xm6kv^p&feXG7RMq%!1y1P8*Yq8i?O;6N1FBu}G0+Du`9yg$cvKz#3-X;) A%K!iX literal 0 HcmV?d00001 diff --git a/peripherals/semaforo/tb.do b/peripherals/semaforo/tb.do new file mode 100644 index 00000000..8098a1bd --- /dev/null +++ b/peripherals/semaforo/tb.do @@ -0,0 +1,34 @@ +#Cria biblioteca do projeto +vlib work + +#compila projeto: todos os aquivo. Ordem é importante +vcom semaforo.vhd semaforo_testbench.vhd + +#Simula (work é o diretorio, semaforo_testbench é o nome da entity) +vsim -voptargs="+acc" -t ns work.semaforo_testbench + +#Mosta forma de onda +view wave + +#Adiciona ondas específicas +add wave -radix binary /clk +add wave -radix binary /rst +add wave -radix binary /start +add wave -radix binary /pedestre +add wave -radix binary /carro +add wave -radix binary /r1 +add wave -radix binary /y1 +add wave -radix binary /g1 +add wave -radix uns /ped_count +add wave -radix uns /car_count +add wave -radix uns /time_display +#add wave -radix uns /visual_display +add wave /dut/pr_state + + + +#Simula até um 500ns +run 2500 + +wave zoomfull +write wave wave.ps \ No newline at end of file