@@ -38,22 +38,22 @@ syn keyword verilogStatement endprimitive endtable
38
38
syn keyword verilogStatement event force fork join
39
39
syn keyword verilogStatement join_any join_none forkjoin
40
40
syn keyword verilogStatement generate genvar highz0 highz1 ifnone
41
- syn keyword verilogStatement incdir include initial inout input
42
- syn keyword verilogStatement instance integer large liblist
43
- syn keyword verilogStatement library localparam macromodule medium
41
+ syn keyword verilogStatement initial
42
+ syn keyword verilogStatement instance large liblist
43
+ syn keyword verilogStatement library macromodule medium
44
44
syn keyword verilogStatement nand negedge nmos nor
45
45
syn keyword verilogStatement noshowcancelled not notif0 notif1 or
46
- syn keyword verilogStatement output parameter pmos posedge primitive
46
+ syn keyword verilogStatement pmos posedge primitive
47
47
syn keyword verilogStatement pull0 pull1 pulldown pullup
48
48
syn keyword verilogStatement pulsestyle_onevent pulsestyle_ondetect
49
- syn keyword verilogStatement rcmos real realtime reg release
49
+ syn keyword verilogStatement rcmos release
50
50
syn keyword verilogStatement rnmos rpmos rtran rtranif0 rtranif1
51
51
syn keyword verilogStatement scalared showcancelled signed small
52
52
syn keyword verilogStatement specparam strong0 strong1
53
- syn keyword verilogStatement supply0 supply1 table time tran
54
- syn keyword verilogStatement tranif0 tranif1 tri tri0 tri1 triand
53
+ syn keyword verilogStatement supply0 supply1 table tran
54
+ syn keyword verilogStatement tranif0 tranif1 tri0 tri1 triand
55
55
syn keyword verilogStatement trior trireg unsigned use vectored wait
56
- syn keyword verilogStatement wand weak0 weak1 wire wor xnor xor
56
+ syn keyword verilogStatement wand weak0 weak1 wor xnor xor
57
57
syn keyword verilogStatement semaphore mailbox
58
58
59
59
syn keyword verilogStatement always_comb always_ff always_latch
@@ -66,13 +66,10 @@ syn keyword verilogStatement randcase
66
66
syn keyword verilogStatement randsequence
67
67
syn keyword verilogStatement get_randstate set_randstate
68
68
syn keyword verilogStatement srandom
69
- syn keyword verilogStatement logic bit byte time
70
- syn keyword verilogStatement int longint shortint
71
- syn keyword verilogStatement struct packed
69
+ syn keyword verilogStatement packed
72
70
syn keyword verilogStatement final
73
71
syn keyword verilogStatement import
74
72
syn keyword verilogStatement context pure
75
- syn keyword verilogStatement void shortreal chandle string
76
73
syn keyword verilogStatement modport
77
74
syn keyword verilogStatement cover coverpoint
78
75
syn keyword verilogStatement program endprogram
@@ -92,7 +89,11 @@ syn keyword verilogStatement s_always s_eventually s_nexttime s_until s_until_
92
89
syn keyword verilogStatement strong sync_accept_on sync_reject_on unique unique0
93
90
syn keyword verilogStatement until until_with untyped weak
94
91
95
- syn keyword verilogTypeDef enum
92
+ syn keyword verilogDataType reg wire integer real time realtime
93
+ syn keyword verilogDataType logic bit byte shortint int longint shortreal tri
94
+ syn keyword verilogDataType void string chandle
95
+
96
+ syn keyword verilogTypeDef enum struct
96
97
97
98
syn keyword verilogConditional iff
98
99
syn keyword verilogConditional if else case casex casez default endcase
@@ -101,11 +102,19 @@ syn keyword verilogRepeat forever repeat while for
101
102
syn keyword verilogRepeat return break continue
102
103
syn keyword verilogRepeat do while foreach
103
104
105
+ syn keyword verilogDirection input output inout
106
+
107
+ syn keyword verilogParameter parameter localparam
108
+
109
+ syn keyword verilogInclude incdir include
110
+
104
111
syn match verilogGlobal " `[a-zA-Z_][a-zA-Z0-9_$]\+ "
105
112
syn match verilogGlobal " $[a-zA-Z0-9_$]\+ "
106
113
114
+ syn match verilogInclude " `include"
115
+
107
116
if ! exists (' g:verilog_disable_constant_highlight' )
108
- syn match verilogConstant " \< [A-Z][A-Z0-9_$]*\> "
117
+ syn match verilogConstant " \( ^ \| [^.] \)\z s \ < [A-Z][A-Z0-9_$]*\> "
109
118
endif
110
119
111
120
syn match verilogNumber " \(\d\+\)\? '[sS]\? [bB]\s *[0-1_xXzZ?]\+ "
@@ -126,9 +135,9 @@ syn match verilogEscape "\\\o\o\=\o\=" contained
126
135
127
136
syn keyword verilogMethod new
128
137
if v: version >= 704
129
- syn match verilogMethod " \(\(\s\| [(/]\| ^\)\.\)\@ 2<!\<\w\+\z e#\? ("
138
+ syn match verilogMethod " \(\(\s\| [(/]\| ^\)\.\)\@ 2<!\<\w\+\z e#\? * ("
130
139
else
131
- syn match verilogMethod " \(\(\s\| [(/]\| ^\)\.\)\@ <!\<\w\+\z e#\? ("
140
+ syn match verilogMethod " \(\(\s\| [(/]\| ^\)\.\)\@ <!\<\w\+\z e#\? * ("
132
141
endif
133
142
134
143
syn match verilogLabel " \<\k\+\>\z e\s *:\s *\<\( assert\| assume\| cover\( point\)\?\| cross\)\> "
@@ -391,8 +400,11 @@ if version >= 508 || !exists("did_verilog_syn_inits")
391
400
HiLink verilogEscape Special
392
401
HiLink verilogMethod Function
393
402
HiLink verilogTypeDef TypeDef
403
+ HiLink verilogDataType Type
394
404
HiLink verilogObject Type
395
-
405
+ HiLink verilogInclude Include
406
+ HiLink verilogDirection StorageClass
407
+ HiLink verilogParameter StorageClass
396
408
delcommand HiLink
397
409
endif
398
410
0 commit comments