1
+ `default_nettype none
2
+ `timescale 1 ns / 10 ps
3
+
4
+ module sha_mainloop # (parameter PADDED_SIZE = 512 )
5
+ (input logic [PADDED_SIZE - 1 : 0 ] padded,
6
+ input logic clk, rst);
7
+
8
+ function [31 : 0 ] K ;
9
+ input [6 : 0 ] x;
10
+ K = k[2047 - x* 32 - : 32 ];
11
+ endfunction
12
+
13
+ function automatic [31 : 0 ] W ;
14
+ input [6 : 0 ] x;
15
+ if (^ x === 1'bX ) W = 32'h777 ;
16
+ else W = (x< 16 ) ? padded[(511 - x* 32 ) - : 32 ] : rho1 (W (x- 2 )) + W (x- 7 ) + rho0 (W (x- 15 )) + W (x- 16 );
17
+ endfunction
18
+
19
+ function automatic [31 : 0 ] rho0 ;
20
+ input [31 : 0 ] x;
21
+ if (^ x === 1'bX ) rho0 = 32'h888 ;
22
+ else rho0 = { x[6 : 0 ],x[31 : 7 ]} ^ { x[17 : 0 ],x[31 : 18 ]} ^ (x >> 3 );
23
+ endfunction
24
+
25
+ function automatic [31 : 0 ] rho1 ;
26
+ input [31 : 0 ] x;
27
+ if (^ x === 1'bX ) rho1 = 32'h888 ;
28
+ else rho1 = { x[16 : 0 ],x[31 : 17 ]} ^ { x[18 : 0 ],x[31 : 19 ]} ^ (x >> 10 );
29
+ endfunction
30
+
31
+ function [31 : 0 ] ch ;
32
+ input [31 : 0 ] x,y,z;
33
+ if (^ x === 1'bX ) ch = 32'h888 ;
34
+ else ch = (x & y) ^ (~ x & z);
35
+ endfunction
36
+
37
+ function [31 : 0 ] maj ;
38
+ input [31 : 0 ] x,y,z;
39
+ if (^ x === 1'bX ) maj = 32'h888 ;
40
+ else maj = (x & y) ^ (x & z) ^ (y & z);
41
+ endfunction
42
+
43
+ function [31 : 0 ] sum0 ;
44
+ input [31 : 0 ] x;
45
+ if (^ x === 1'bX ) sum0 = 32'h888 ;
46
+ else sum0 = { x[1 : 0 ],x[31 : 2 ]} ^ { x[12 : 0 ],x[31 : 13 ]} ^ { x[21 : 0 ],x[31 : 22 ]} ;
47
+ endfunction
48
+
49
+ function [31 : 0 ] sum1 ;
50
+ input [31 : 0 ] x;
51
+ if (^ x === 1'bX ) sum1 = 32'h888 ;
52
+ // else sum1 = (x >> 6) ^ (x >> 11) ^ (x >> 25);
53
+ else sum1 = { x[5 : 0 ],x[31 : 6 ]} ^ { x[10 : 0 ],x[31 : 11 ]} ^ { x[24 : 0 ],x[31 : 25 ]} ;
54
+ endfunction
55
+
56
+ localparam N = PADDED_SIZE / 512 ; // number of blocks
57
+
58
+ logic [255 : 0 ] initial_hashes = { 32'h6a09e667 , 32'hbb67ae85 , 32'h3c6ef372 , 32'ha54ff53a , 32'h510e527f , 32'h9b05688c , 32'h1f83d9ab , 32'h5be0cd19 } ;
59
+
60
+ logic [2047 : 0 ] k = { 32'h428a2f98 , 32'h71374491 , 32'hb5c0fbcf , 32'he9b5dba5 , 32'h3956c25b , 32'h59f111f1 , 32'h923f82a4 , 32'hab1c5ed5 , 32'hd807aa98 , 32'h12835b01 , 32'h243185be , 32'h550c7dc3 , 32'h72be5d74 , 32'h80deb1fe , 32'h9bdc06a7 , 32'hc19bf174 , 32'he49b69c1 , 32'hefbe4786 , 32'h0fc19dc6 , 32'h240ca1cc , 32'h2de92c6f , 32'h4a7484aa , 32'h5cb0a9dc , 32'h76f988da , 32'h983e5152 , 32'ha831c66d , 32'hb00327c8 , 32'hbf597fc7 , 32'hc6e00bf3 , 32'hd5a79147 , 32'h06ca6351 , 32'h14292967 , 32'h27b70a85 , 32'h2e1b2138 , 32'h4d2c6dfc , 32'h53380d13 , 32'h650a7354 , 32'h766a0abb , 32'h81c2c92e , 32'h92722c85 , 32'ha2bfe8a1 , 32'ha81a664b , 32'hc24b8b70 , 32'hc76c51a3 , 32'hd192e819 , 32'hd6990624 , 32'hf40e3585 , 32'h106aa070 , 32'h19a4c116 , 32'h1e376c08 , 32'h2748774c , 32'h34b0bcb5 , 32'h391c0cb3 , 32'h4ed8aa4a , 32'h5b9cca4f , 32'h682e6ff3 , 32'h748f82ee , 32'h78a5636f , 32'h84c87814 , 32'h8cc70208 , 32'h90befffa , 32'ha4506ceb , 32'hbef9a3f7 , 32'hc67178f2 } ;
61
+
62
+ logic [31 : 0 ] a, b, c, d, e, f, g, h, t1, t2;
63
+ logic [31 : 0 ] h1, h2, h3, h4, h5, h6, h7, h8;
64
+
65
+ genvar i;
66
+ logic [6 : 0 ] j;
67
+
68
+ for (i= 0 ; i< N ; i= i+ 1 ) begin
69
+
70
+ logic [31 : 0 ] ch_efg, maj_abc, sum0_a, sum1_e, kj, wj;
71
+
72
+ always_comb begin
73
+ ch_efg = ch (e,f,g);
74
+ maj_abc = maj (a,b,c);
75
+ sum0_a = sum0 (a);
76
+ sum1_e = sum1 (e);
77
+ wj = W (j);
78
+ kj = K (j);
79
+ end
80
+
81
+ always @ (negedge clk) begin
82
+ // t1 <= h + sum1(e) + ch(e,f,g) + K(j) + W(j);
83
+ // t2 <= sum0(a) + maj(a,b,c);
84
+ t1 <= (h + sum1_e + ch_efg + kj + wj)% 4294967296 ;
85
+ t2 <= (sum0_a + maj_abc)% 4294967296 ;
86
+ end
87
+
88
+ always @ (posedge clk or posedge rst) begin
89
+ if (rst) begin
90
+ j <= 1'bX ;
91
+ h1 <= 32'h6a09e667 ;
92
+ h2 <= 32'hbb67ae85 ;
93
+ h3 <= 32'h3c6ef372 ;
94
+ h4 <= 32'ha54ff53a ;
95
+ h5 <= 32'h510e527f ;
96
+ h6 <= 32'h9b05688c ;
97
+ h7 <= 32'h1f83d9ab ;
98
+ h8 <= 32'h5be0cd19 ;
99
+ end
100
+ else if (^ j === 1'bX ) begin
101
+ a <= h1;
102
+ b <= h2;
103
+ c <= h3;
104
+ d <= h4;
105
+ e <= h5;
106
+ f <= h6;
107
+ g <= h7;
108
+ h <= h8;
109
+ j <= 1'd0 ;
110
+ end
111
+ else if (j < 64 ) begin
112
+ h <= g;
113
+ g <= f;
114
+ f <= e;
115
+ e <= (d+ t1)% 4294967296 ;
116
+ d <= c;
117
+ c <= b;
118
+ b <= a;
119
+ a <= (t1+ t2)% 4294967296 ;
120
+ j <= j+ 1 ;
121
+ end
122
+ else if (j == 64 ) begin
123
+ h1 <= a + h1;
124
+ h2 <= b + h2;
125
+ h3 <= c + h3;
126
+ h4 <= d + h4;
127
+ h5 <= e + h5;
128
+ h6 <= f + h6;
129
+ h7 <= g + h7;
130
+ h8 <= h + h8;
131
+ j <= j+ 1 ;
132
+ end
133
+ end
134
+ end
135
+ endmodule
0 commit comments