Skip to content

Commit f74126e

Browse files
committed
Minor style fixes
1 parent fd06088 commit f74126e

File tree

4 files changed

+11
-11
lines changed

4 files changed

+11
-11
lines changed

clk_divider.sv

+4-3
Original file line numberDiff line numberDiff line change
@@ -1,11 +1,12 @@
11
//------------------------------------------------------------------------------
22
// clk_divider.sv
3+
// published as part of https://github.com/pConst/basic_verilog
34
// Konstantin Pavlov, [email protected]
45
//------------------------------------------------------------------------------
56

67
// INFO ------------------------------------------------------------------------
78
// Divides main clock to get derivative slower synchronous clocks
8-
9+
//
910

1011
/* --- INSTANTIATION TEMPLATE BEGIN ---
1112
@@ -27,13 +28,13 @@ module clk_divider #( parameter
2728
input clk,
2829
input nrst,
2930
input ena,
30-
output logic [(WIDTH-1):0] out = 0
31+
output logic [(WIDTH-1):0] out = '0
3132
);
3233

3334

3435
always_ff @(posedge clk) begin
3536
if ( ~nrst ) begin
36-
out[(WIDTH-1):0] <= 0;
37+
out[(WIDTH-1):0] <= '0;
3738
end else if (ena) begin
3839
out[(WIDTH-1):0] <= out[(WIDTH-1):0] + 1'b1;
3940
end

delay.sv

+5-5
Original file line numberDiff line numberDiff line change
@@ -1,5 +1,6 @@
11
//------------------------------------------------------------------------------
2-
// delay.v
2+
// delay.sv
3+
// published as part of https://github.com/pConst/basic_verilog
34
// Konstantin Pavlov, [email protected]
45
//------------------------------------------------------------------------------
56

@@ -12,16 +13,15 @@
1213
// Tip for Xilinx-based implementations: Leave nrst=1'b1 and ena=1'b1 on
1314
// purpose of inferring Xilinx`s SRL16E/SRL32E primitives
1415
//
15-
//
1616
// CAUTION: delay module is widely used for synchronizing signals across clock
1717
// domains. When synchronizing, please exclude input data paths from timing
18-
// analisys manually by writing appropriate set_false_path SDC constraint
18+
// analysis manually by writing appropriate set_false_path SDC constraint
1919
//
2020
// Version 2 introduces "ALTERA_BLOCK_RAM" option to implement delays using
21-
// block RAM. Quartus can make shifters on block RAM aautomatically
21+
// block RAM. Quartus can make shifters on block RAM automatically
2222
// using 'altshift_taps' internal module when "Auto Shift Register
2323
// Replacement" option is ON
24-
24+
//
2525

2626
/* --- INSTANTIATION TEMPLATE BEGIN ---
2727

dynamic_delay.sv

+2-1
Original file line numberDiff line numberDiff line change
@@ -1,5 +1,6 @@
11
//--------------------------------------------------------------------------------
2-
// dynamic_delay.v
2+
// dynamic_delay.sv
3+
// published as part of https://github.com/pConst/basic_verilog
34
// Konstantin Pavlov, [email protected]
45
//--------------------------------------------------------------------------------
56

soft_latch.sv

-2
Original file line numberDiff line numberDiff line change
@@ -81,8 +81,6 @@ always_comb begin
8181
end else begin
8282
out[WIDTH-1:0] <= in_buf[WIDTH-1:0];
8383
end
84-
85-
8684
end
8785

8886
endmodule

0 commit comments

Comments
 (0)