Skip to content

Commit bcc548f

Browse files
committed
SImplified reverse_vector.sv code
1 parent 0e19b10 commit bcc548f

File tree

1 file changed

+8
-22
lines changed

1 file changed

+8
-22
lines changed

reverse_vector.sv

+8-22
Original file line numberDiff line numberDiff line change
@@ -22,32 +22,18 @@ reverse_vector #(
2222

2323

2424
module reverse_vector #( parameter
25-
WIDTH = 8 // WIDTH must be >=2
25+
WIDTH = 8
2626
)(
2727
input [(WIDTH-1):0] in,
2828
output logic [(WIDTH-1):0] out
2929
);
3030

31-
32-
genvar i;
33-
34-
generate
35-
for (i = 0; i < (WIDTH/2) ; i++) begin : gen1
36-
always_comb begin
37-
out[i] = in[WIDTH-1-i];
38-
out[WIDTH-1-i] = in[i];
39-
end // always_comb
40-
end // for
41-
endgenerate
42-
43-
// additional assign needed when WIDTH is odd
44-
generate
45-
if ( WIDTH%2 ) begin : gen2
46-
always_comb begin
47-
out[WIDTH/2] = in[WIDTH/2];
48-
end // always_comb
49-
end // for
50-
endgenerate
51-
31+
integer i;
32+
always_comb begin
33+
for (i = 0; i < WIDTH ; i++) begin : gen_reverse
34+
out[i] = in[(WIDTH-1)-i];
35+
end // for
36+
end // always_comb
5237

5338
endmodule
39+

0 commit comments

Comments
 (0)