Skip to content

Commit 66ff427

Browse files
committed
Added error suppression for Modelsim script
1 parent 9804067 commit 66ff427

File tree

3 files changed

+14
-4
lines changed

3 files changed

+14
-4
lines changed

example_projects/testbench_template_tb/compile.tcl

+3-1
Original file line numberDiff line numberDiff line change
@@ -28,6 +28,8 @@ set vsim_params "-L altera_mf_ver -L altera_mf -L lpm_ver -L lpm"
2828

2929
set top_level work.main_tb
3030

31+
set suppress_err_list ""
32+
3133
# Console commands:
3234
# r = Recompile changed and dependent files
3335
# rr = Recompile everything
@@ -61,7 +63,7 @@ foreach {library file_list} $library_file_list {
6163
if [regexp {.vhdl?$} $file] {
6264
vcom -93 $file
6365
} else {
64-
vlog $file
66+
vlog $file -suppress $suppress_err_list
6567
}
6668
set last_compile_time 0
6769
}

example_projects/testbench_template_tb/main_tb.sv

+10-3
Original file line numberDiff line numberDiff line change
@@ -1,5 +1,6 @@
11
//------------------------------------------------------------------------------
22
// main_tb.sv
3+
// published as part of https://github.com/pConst/basic_verilog
34
// Konstantin Pavlov, [email protected]
45
//------------------------------------------------------------------------------
56

@@ -21,11 +22,17 @@ initial begin
2122
end
2223

2324
// external device "asynchronous" clock
24-
logic clk33;
25+
logic clk33a;
2526
initial begin
26-
#0 clk33 = 1'b0;
27+
#0 clk33a = 1'b0;
2728
forever
28-
#15.151 clk33 = ~clk33;
29+
#7 clk33a = ~clk33a;
30+
end
31+
32+
logic clk33;
33+
//assign clk33 = clk33a;
34+
always @(*) begin
35+
clk33 = #($urandom_range(0, 2000)*10ps) clk33a;
2936
end
3037

3138
logic rst;

main_tb.sv

+1
Original file line numberDiff line numberDiff line change
@@ -1,5 +1,6 @@
11
//------------------------------------------------------------------------------
22
// main_tb.sv
3+
// published as part of https://github.com/pConst/basic_verilog
34
// Konstantin Pavlov, [email protected]
45
//------------------------------------------------------------------------------
56

0 commit comments

Comments
 (0)