File tree 3 files changed +19
-1
lines changed
3 files changed +19
-1
lines changed Original file line number Diff line number Diff line change @@ -243,7 +243,7 @@ struct SetundefPass : public Pass {
243
243
{
244
244
for (auto *cell : module->selected_cells ()) {
245
245
for (auto ¶meter : cell->parameters ) {
246
- for (auto bit : parameter.second ) {
246
+ for (auto & bit : parameter.second . bits () ) {
247
247
if (bit > RTLIL::State::S1)
248
248
bit = worker.next_bit ();
249
249
}
Original file line number Diff line number Diff line change
1
+ module foo # (parameter [1 : 0 ] a) (output [1 : 0 ] o);
2
+ assign o = a;
3
+ endmodule
4
+
5
+ module top (output [1 : 0 ] o);
6
+ foo # (2'b0x ) foo (o);
7
+ always_comb begin
8
+ assert (o == 2'b00 );
9
+ end
10
+ endmodule
Original file line number Diff line number Diff line change
1
+ read_verilog -sv setundef.sv
2
+ setundef -zero -params
3
+ hierarchy -top top
4
+ flatten
5
+ proc
6
+ async2sync
7
+ write_json
8
+ sat -seq 5 -prove-asserts
You can’t perform that action at this time.
0 commit comments