diff --git a/library/regmaps/adi_regmap_adc_pkg.sv b/library/regmaps/adi_regmap_adc_pkg.sv index 51eb3e15..32f62732 100644 --- a/library/regmaps/adi_regmap_adc_pkg.sv +++ b/library/regmaps/adi_regmap_adc_pkg.sv @@ -1,6 +1,6 @@ // *************************************************************************** // *************************************************************************** -// Copyright (C) 2014 - 2024 Analog Devices, Inc. All rights reserved. +// Copyright (C) 2014 - 2025 Analog Devices, Inc. All rights reserved. // // In this HDL repository, there are many different and unique modules, consisting // of various HDL (Verilog or VHDL) components. The individual modules are @@ -33,467 +33,982 @@ // *************************************************************************** // *************************************************************************** /* Auto generated Register Map */ -/* Thu Mar 28 13:22:23 2024 */ +/* Jan 28 13:30:16 2025 v0.3.55 */ package adi_regmap_adc_pkg; - import adi_regmap_pkg::*; - - -/* ADC Common (axi_ad*) */ - - const reg_t ADC_COMMON_REG_RSTN = '{ 'h0040, "REG_RSTN" , '{ - "CE_N": '{ 2, 2, RW, 'h0 }, - "MMCM_RSTN": '{ 1, 1, RW, 'h0 }, - "RSTN": '{ 0, 0, RW, 'h0 }}}; - `define SET_ADC_COMMON_REG_RSTN_CE_N(x) SetField(ADC_COMMON_REG_RSTN,"CE_N",x) - `define GET_ADC_COMMON_REG_RSTN_CE_N(x) GetField(ADC_COMMON_REG_RSTN,"CE_N",x) - `define DEFAULT_ADC_COMMON_REG_RSTN_CE_N GetResetValue(ADC_COMMON_REG_RSTN,"CE_N") - `define UPDATE_ADC_COMMON_REG_RSTN_CE_N(x,y) UpdateField(ADC_COMMON_REG_RSTN,"CE_N",x,y) - `define SET_ADC_COMMON_REG_RSTN_MMCM_RSTN(x) SetField(ADC_COMMON_REG_RSTN,"MMCM_RSTN",x) - `define GET_ADC_COMMON_REG_RSTN_MMCM_RSTN(x) GetField(ADC_COMMON_REG_RSTN,"MMCM_RSTN",x) - `define DEFAULT_ADC_COMMON_REG_RSTN_MMCM_RSTN GetResetValue(ADC_COMMON_REG_RSTN,"MMCM_RSTN") - `define UPDATE_ADC_COMMON_REG_RSTN_MMCM_RSTN(x,y) UpdateField(ADC_COMMON_REG_RSTN,"MMCM_RSTN",x,y) - `define SET_ADC_COMMON_REG_RSTN_RSTN(x) SetField(ADC_COMMON_REG_RSTN,"RSTN",x) - `define GET_ADC_COMMON_REG_RSTN_RSTN(x) GetField(ADC_COMMON_REG_RSTN,"RSTN",x) - `define DEFAULT_ADC_COMMON_REG_RSTN_RSTN GetResetValue(ADC_COMMON_REG_RSTN,"RSTN") - `define UPDATE_ADC_COMMON_REG_RSTN_RSTN(x,y) UpdateField(ADC_COMMON_REG_RSTN,"RSTN",x,y) - - const reg_t ADC_COMMON_REG_CNTRL = '{ 'h0044, "REG_CNTRL" , '{ - "SDR_DDR_N": '{ 16, 16, RW, 'h0 }, - "SYMB_OP": '{ 15, 15, RW, 'h0 }, - "SYMB_8_16B": '{ 14, 14, RW, 'h0 }, - "NUM_LANES": '{ 12, 8, RW, 'h0 }, - "SYNC": '{ 3, 3, RW, 'h0 }, - "R1_MODE": '{ 2, 2, RW, 'h0 }, - "DDR_EDGESEL": '{ 1, 1, RW, 'h0 }, - "PIN_MODE": '{ 0, 0, RW, 'h0 }}}; - `define SET_ADC_COMMON_REG_CNTRL_SDR_DDR_N(x) SetField(ADC_COMMON_REG_CNTRL,"SDR_DDR_N",x) - `define GET_ADC_COMMON_REG_CNTRL_SDR_DDR_N(x) GetField(ADC_COMMON_REG_CNTRL,"SDR_DDR_N",x) - `define DEFAULT_ADC_COMMON_REG_CNTRL_SDR_DDR_N GetResetValue(ADC_COMMON_REG_CNTRL,"SDR_DDR_N") - `define UPDATE_ADC_COMMON_REG_CNTRL_SDR_DDR_N(x,y) UpdateField(ADC_COMMON_REG_CNTRL,"SDR_DDR_N",x,y) - `define SET_ADC_COMMON_REG_CNTRL_SYMB_OP(x) SetField(ADC_COMMON_REG_CNTRL,"SYMB_OP",x) - `define GET_ADC_COMMON_REG_CNTRL_SYMB_OP(x) GetField(ADC_COMMON_REG_CNTRL,"SYMB_OP",x) - `define DEFAULT_ADC_COMMON_REG_CNTRL_SYMB_OP GetResetValue(ADC_COMMON_REG_CNTRL,"SYMB_OP") - `define UPDATE_ADC_COMMON_REG_CNTRL_SYMB_OP(x,y) UpdateField(ADC_COMMON_REG_CNTRL,"SYMB_OP",x,y) - `define SET_ADC_COMMON_REG_CNTRL_SYMB_8_16B(x) SetField(ADC_COMMON_REG_CNTRL,"SYMB_8_16B",x) - `define GET_ADC_COMMON_REG_CNTRL_SYMB_8_16B(x) GetField(ADC_COMMON_REG_CNTRL,"SYMB_8_16B",x) - `define DEFAULT_ADC_COMMON_REG_CNTRL_SYMB_8_16B GetResetValue(ADC_COMMON_REG_CNTRL,"SYMB_8_16B") - `define UPDATE_ADC_COMMON_REG_CNTRL_SYMB_8_16B(x,y) UpdateField(ADC_COMMON_REG_CNTRL,"SYMB_8_16B",x,y) - `define SET_ADC_COMMON_REG_CNTRL_NUM_LANES(x) SetField(ADC_COMMON_REG_CNTRL,"NUM_LANES",x) - `define GET_ADC_COMMON_REG_CNTRL_NUM_LANES(x) GetField(ADC_COMMON_REG_CNTRL,"NUM_LANES",x) - `define DEFAULT_ADC_COMMON_REG_CNTRL_NUM_LANES GetResetValue(ADC_COMMON_REG_CNTRL,"NUM_LANES") - `define UPDATE_ADC_COMMON_REG_CNTRL_NUM_LANES(x,y) UpdateField(ADC_COMMON_REG_CNTRL,"NUM_LANES",x,y) - `define SET_ADC_COMMON_REG_CNTRL_SYNC(x) SetField(ADC_COMMON_REG_CNTRL,"SYNC",x) - `define GET_ADC_COMMON_REG_CNTRL_SYNC(x) GetField(ADC_COMMON_REG_CNTRL,"SYNC",x) - `define DEFAULT_ADC_COMMON_REG_CNTRL_SYNC GetResetValue(ADC_COMMON_REG_CNTRL,"SYNC") - `define UPDATE_ADC_COMMON_REG_CNTRL_SYNC(x,y) UpdateField(ADC_COMMON_REG_CNTRL,"SYNC",x,y) - `define SET_ADC_COMMON_REG_CNTRL_R1_MODE(x) SetField(ADC_COMMON_REG_CNTRL,"R1_MODE",x) - `define GET_ADC_COMMON_REG_CNTRL_R1_MODE(x) GetField(ADC_COMMON_REG_CNTRL,"R1_MODE",x) - `define DEFAULT_ADC_COMMON_REG_CNTRL_R1_MODE GetResetValue(ADC_COMMON_REG_CNTRL,"R1_MODE") - `define UPDATE_ADC_COMMON_REG_CNTRL_R1_MODE(x,y) UpdateField(ADC_COMMON_REG_CNTRL,"R1_MODE",x,y) - `define SET_ADC_COMMON_REG_CNTRL_DDR_EDGESEL(x) SetField(ADC_COMMON_REG_CNTRL,"DDR_EDGESEL",x) - `define GET_ADC_COMMON_REG_CNTRL_DDR_EDGESEL(x) GetField(ADC_COMMON_REG_CNTRL,"DDR_EDGESEL",x) - `define DEFAULT_ADC_COMMON_REG_CNTRL_DDR_EDGESEL GetResetValue(ADC_COMMON_REG_CNTRL,"DDR_EDGESEL") - `define UPDATE_ADC_COMMON_REG_CNTRL_DDR_EDGESEL(x,y) UpdateField(ADC_COMMON_REG_CNTRL,"DDR_EDGESEL",x,y) - `define SET_ADC_COMMON_REG_CNTRL_PIN_MODE(x) SetField(ADC_COMMON_REG_CNTRL,"PIN_MODE",x) - `define GET_ADC_COMMON_REG_CNTRL_PIN_MODE(x) GetField(ADC_COMMON_REG_CNTRL,"PIN_MODE",x) - `define DEFAULT_ADC_COMMON_REG_CNTRL_PIN_MODE GetResetValue(ADC_COMMON_REG_CNTRL,"PIN_MODE") - `define UPDATE_ADC_COMMON_REG_CNTRL_PIN_MODE(x,y) UpdateField(ADC_COMMON_REG_CNTRL,"PIN_MODE",x,y) - - const reg_t ADC_COMMON_REG_CNTRL_2 = '{ 'h0048, "REG_CNTRL_2" , '{ - "EXT_SYNC_ARM": '{ 1, 1, RW, 'h0 }, - "EXT_SYNC_DISARM": '{ 2, 2, RW, 'h0 }, - "MANUAL_SYNC_REQUEST": '{ 8, 8, RW, 'h0 }}}; - `define SET_ADC_COMMON_REG_CNTRL_2_EXT_SYNC_ARM(x) SetField(ADC_COMMON_REG_CNTRL_2,"EXT_SYNC_ARM",x) - `define GET_ADC_COMMON_REG_CNTRL_2_EXT_SYNC_ARM(x) GetField(ADC_COMMON_REG_CNTRL_2,"EXT_SYNC_ARM",x) - `define DEFAULT_ADC_COMMON_REG_CNTRL_2_EXT_SYNC_ARM GetResetValue(ADC_COMMON_REG_CNTRL_2,"EXT_SYNC_ARM") - `define UPDATE_ADC_COMMON_REG_CNTRL_2_EXT_SYNC_ARM(x,y) UpdateField(ADC_COMMON_REG_CNTRL_2,"EXT_SYNC_ARM",x,y) - `define SET_ADC_COMMON_REG_CNTRL_2_EXT_SYNC_DISARM(x) SetField(ADC_COMMON_REG_CNTRL_2,"EXT_SYNC_DISARM",x) - `define GET_ADC_COMMON_REG_CNTRL_2_EXT_SYNC_DISARM(x) GetField(ADC_COMMON_REG_CNTRL_2,"EXT_SYNC_DISARM",x) - `define DEFAULT_ADC_COMMON_REG_CNTRL_2_EXT_SYNC_DISARM GetResetValue(ADC_COMMON_REG_CNTRL_2,"EXT_SYNC_DISARM") - `define UPDATE_ADC_COMMON_REG_CNTRL_2_EXT_SYNC_DISARM(x,y) UpdateField(ADC_COMMON_REG_CNTRL_2,"EXT_SYNC_DISARM",x,y) - `define SET_ADC_COMMON_REG_CNTRL_2_MANUAL_SYNC_REQUEST(x) SetField(ADC_COMMON_REG_CNTRL_2,"MANUAL_SYNC_REQUEST",x) - `define GET_ADC_COMMON_REG_CNTRL_2_MANUAL_SYNC_REQUEST(x) GetField(ADC_COMMON_REG_CNTRL_2,"MANUAL_SYNC_REQUEST",x) - `define DEFAULT_ADC_COMMON_REG_CNTRL_2_MANUAL_SYNC_REQUEST GetResetValue(ADC_COMMON_REG_CNTRL_2,"MANUAL_SYNC_REQUEST") - `define UPDATE_ADC_COMMON_REG_CNTRL_2_MANUAL_SYNC_REQUEST(x,y) UpdateField(ADC_COMMON_REG_CNTRL_2,"MANUAL_SYNC_REQUEST",x,y) - - const reg_t ADC_COMMON_REG_CNTRL_3 = '{ 'h004c, "REG_CNTRL_3" , '{ - "CRC_EN": '{ 8, 8, RW, 'h0 }, - "CUSTOM_CONTROL": '{ 7, 0, RW, 'h00 }}}; - `define SET_ADC_COMMON_REG_CNTRL_3_CRC_EN(x) SetField(ADC_COMMON_REG_CNTRL_3,"CRC_EN",x) - `define GET_ADC_COMMON_REG_CNTRL_3_CRC_EN(x) GetField(ADC_COMMON_REG_CNTRL_3,"CRC_EN",x) - `define DEFAULT_ADC_COMMON_REG_CNTRL_3_CRC_EN GetResetValue(ADC_COMMON_REG_CNTRL_3,"CRC_EN") - `define UPDATE_ADC_COMMON_REG_CNTRL_3_CRC_EN(x,y) UpdateField(ADC_COMMON_REG_CNTRL_3,"CRC_EN",x,y) - `define SET_ADC_COMMON_REG_CNTRL_3_CUSTOM_CONTROL(x) SetField(ADC_COMMON_REG_CNTRL_3,"CUSTOM_CONTROL",x) - `define GET_ADC_COMMON_REG_CNTRL_3_CUSTOM_CONTROL(x) GetField(ADC_COMMON_REG_CNTRL_3,"CUSTOM_CONTROL",x) - `define DEFAULT_ADC_COMMON_REG_CNTRL_3_CUSTOM_CONTROL GetResetValue(ADC_COMMON_REG_CNTRL_3,"CUSTOM_CONTROL") - `define UPDATE_ADC_COMMON_REG_CNTRL_3_CUSTOM_CONTROL(x,y) UpdateField(ADC_COMMON_REG_CNTRL_3,"CUSTOM_CONTROL",x,y) - - const reg_t ADC_COMMON_REG_CLK_FREQ = '{ 'h0054, "REG_CLK_FREQ" , '{ - "CLK_FREQ": '{ 31, 0, RO, 'h0000 }}}; - `define SET_ADC_COMMON_REG_CLK_FREQ_CLK_FREQ(x) SetField(ADC_COMMON_REG_CLK_FREQ,"CLK_FREQ",x) - `define GET_ADC_COMMON_REG_CLK_FREQ_CLK_FREQ(x) GetField(ADC_COMMON_REG_CLK_FREQ,"CLK_FREQ",x) - `define DEFAULT_ADC_COMMON_REG_CLK_FREQ_CLK_FREQ GetResetValue(ADC_COMMON_REG_CLK_FREQ,"CLK_FREQ") - `define UPDATE_ADC_COMMON_REG_CLK_FREQ_CLK_FREQ(x,y) UpdateField(ADC_COMMON_REG_CLK_FREQ,"CLK_FREQ",x,y) - - const reg_t ADC_COMMON_REG_CLK_RATIO = '{ 'h0058, "REG_CLK_RATIO" , '{ - "CLK_RATIO": '{ 31, 0, RO, 'h0000 }}}; - `define SET_ADC_COMMON_REG_CLK_RATIO_CLK_RATIO(x) SetField(ADC_COMMON_REG_CLK_RATIO,"CLK_RATIO",x) - `define GET_ADC_COMMON_REG_CLK_RATIO_CLK_RATIO(x) GetField(ADC_COMMON_REG_CLK_RATIO,"CLK_RATIO",x) - `define DEFAULT_ADC_COMMON_REG_CLK_RATIO_CLK_RATIO GetResetValue(ADC_COMMON_REG_CLK_RATIO,"CLK_RATIO") - `define UPDATE_ADC_COMMON_REG_CLK_RATIO_CLK_RATIO(x,y) UpdateField(ADC_COMMON_REG_CLK_RATIO,"CLK_RATIO",x,y) - - const reg_t ADC_COMMON_REG_STATUS = '{ 'h005c, "REG_STATUS" , '{ - "ADC_CTRL_STATUS": '{ 4, 4, RO, 'h0 }, - "PN_ERR": '{ 3, 3, RO, 'h0 }, - "PN_OOS": '{ 2, 2, RO, 'h0 }, - "OVER_RANGE": '{ 1, 1, RO, 'h0 }, - "STATUS": '{ 0, 0, RO, 'h0 }}}; - `define SET_ADC_COMMON_REG_STATUS_ADC_CTRL_STATUS(x) SetField(ADC_COMMON_REG_STATUS,"ADC_CTRL_STATUS",x) - `define GET_ADC_COMMON_REG_STATUS_ADC_CTRL_STATUS(x) GetField(ADC_COMMON_REG_STATUS,"ADC_CTRL_STATUS",x) - `define DEFAULT_ADC_COMMON_REG_STATUS_ADC_CTRL_STATUS GetResetValue(ADC_COMMON_REG_STATUS,"ADC_CTRL_STATUS") - `define UPDATE_ADC_COMMON_REG_STATUS_ADC_CTRL_STATUS(x,y) UpdateField(ADC_COMMON_REG_STATUS,"ADC_CTRL_STATUS",x,y) - `define SET_ADC_COMMON_REG_STATUS_PN_ERR(x) SetField(ADC_COMMON_REG_STATUS,"PN_ERR",x) - `define GET_ADC_COMMON_REG_STATUS_PN_ERR(x) GetField(ADC_COMMON_REG_STATUS,"PN_ERR",x) - `define DEFAULT_ADC_COMMON_REG_STATUS_PN_ERR GetResetValue(ADC_COMMON_REG_STATUS,"PN_ERR") - `define UPDATE_ADC_COMMON_REG_STATUS_PN_ERR(x,y) UpdateField(ADC_COMMON_REG_STATUS,"PN_ERR",x,y) - `define SET_ADC_COMMON_REG_STATUS_PN_OOS(x) SetField(ADC_COMMON_REG_STATUS,"PN_OOS",x) - `define GET_ADC_COMMON_REG_STATUS_PN_OOS(x) GetField(ADC_COMMON_REG_STATUS,"PN_OOS",x) - `define DEFAULT_ADC_COMMON_REG_STATUS_PN_OOS GetResetValue(ADC_COMMON_REG_STATUS,"PN_OOS") - `define UPDATE_ADC_COMMON_REG_STATUS_PN_OOS(x,y) UpdateField(ADC_COMMON_REG_STATUS,"PN_OOS",x,y) - `define SET_ADC_COMMON_REG_STATUS_OVER_RANGE(x) SetField(ADC_COMMON_REG_STATUS,"OVER_RANGE",x) - `define GET_ADC_COMMON_REG_STATUS_OVER_RANGE(x) GetField(ADC_COMMON_REG_STATUS,"OVER_RANGE",x) - `define DEFAULT_ADC_COMMON_REG_STATUS_OVER_RANGE GetResetValue(ADC_COMMON_REG_STATUS,"OVER_RANGE") - `define UPDATE_ADC_COMMON_REG_STATUS_OVER_RANGE(x,y) UpdateField(ADC_COMMON_REG_STATUS,"OVER_RANGE",x,y) - `define SET_ADC_COMMON_REG_STATUS_STATUS(x) SetField(ADC_COMMON_REG_STATUS,"STATUS",x) - `define GET_ADC_COMMON_REG_STATUS_STATUS(x) GetField(ADC_COMMON_REG_STATUS,"STATUS",x) - `define DEFAULT_ADC_COMMON_REG_STATUS_STATUS GetResetValue(ADC_COMMON_REG_STATUS,"STATUS") - `define UPDATE_ADC_COMMON_REG_STATUS_STATUS(x,y) UpdateField(ADC_COMMON_REG_STATUS,"STATUS",x,y) - - const reg_t ADC_COMMON_REG_DELAY_CNTRL_ = '{ 'h0060, "REG_DELAY_CNTRL_" , '{ - "DELAY_SEL": '{ 17, 17, RW, 'h0 }, - "DELAY_RWN": '{ 16, 16, RW, 'h0 }, - "DELAY_ADDRESS": '{ 15, 8, RW, 'h00 }, - "DELAY_WDATA": '{ 4, 0, RW, 'h0 }}}; - `define SET_ADC_COMMON_REG_DELAY_CNTRL__DELAY_SEL(x) SetField(ADC_COMMON_REG_DELAY_CNTRL_,"DELAY_SEL",x) - `define GET_ADC_COMMON_REG_DELAY_CNTRL__DELAY_SEL(x) GetField(ADC_COMMON_REG_DELAY_CNTRL_,"DELAY_SEL",x) - `define DEFAULT_ADC_COMMON_REG_DELAY_CNTRL__DELAY_SEL GetResetValue(ADC_COMMON_REG_DELAY_CNTRL_,"DELAY_SEL") - `define UPDATE_ADC_COMMON_REG_DELAY_CNTRL__DELAY_SEL(x,y) UpdateField(ADC_COMMON_REG_DELAY_CNTRL_,"DELAY_SEL",x,y) - `define SET_ADC_COMMON_REG_DELAY_CNTRL__DELAY_RWN(x) SetField(ADC_COMMON_REG_DELAY_CNTRL_,"DELAY_RWN",x) - `define GET_ADC_COMMON_REG_DELAY_CNTRL__DELAY_RWN(x) GetField(ADC_COMMON_REG_DELAY_CNTRL_,"DELAY_RWN",x) - `define DEFAULT_ADC_COMMON_REG_DELAY_CNTRL__DELAY_RWN GetResetValue(ADC_COMMON_REG_DELAY_CNTRL_,"DELAY_RWN") - `define UPDATE_ADC_COMMON_REG_DELAY_CNTRL__DELAY_RWN(x,y) UpdateField(ADC_COMMON_REG_DELAY_CNTRL_,"DELAY_RWN",x,y) - `define SET_ADC_COMMON_REG_DELAY_CNTRL__DELAY_ADDRESS(x) SetField(ADC_COMMON_REG_DELAY_CNTRL_,"DELAY_ADDRESS",x) - `define GET_ADC_COMMON_REG_DELAY_CNTRL__DELAY_ADDRESS(x) GetField(ADC_COMMON_REG_DELAY_CNTRL_,"DELAY_ADDRESS",x) - `define DEFAULT_ADC_COMMON_REG_DELAY_CNTRL__DELAY_ADDRESS GetResetValue(ADC_COMMON_REG_DELAY_CNTRL_,"DELAY_ADDRESS") - `define UPDATE_ADC_COMMON_REG_DELAY_CNTRL__DELAY_ADDRESS(x,y) UpdateField(ADC_COMMON_REG_DELAY_CNTRL_,"DELAY_ADDRESS",x,y) - `define SET_ADC_COMMON_REG_DELAY_CNTRL__DELAY_WDATA(x) SetField(ADC_COMMON_REG_DELAY_CNTRL_,"DELAY_WDATA",x) - `define GET_ADC_COMMON_REG_DELAY_CNTRL__DELAY_WDATA(x) GetField(ADC_COMMON_REG_DELAY_CNTRL_,"DELAY_WDATA",x) - `define DEFAULT_ADC_COMMON_REG_DELAY_CNTRL__DELAY_WDATA GetResetValue(ADC_COMMON_REG_DELAY_CNTRL_,"DELAY_WDATA") - `define UPDATE_ADC_COMMON_REG_DELAY_CNTRL__DELAY_WDATA(x,y) UpdateField(ADC_COMMON_REG_DELAY_CNTRL_,"DELAY_WDATA",x,y) - - const reg_t ADC_COMMON_REG_DELAY_STATUS_ = '{ 'h0064, "REG_DELAY_STATUS_" , '{ - "DELAY_LOCKED": '{ 9, 9, RO, 'h0 }, - "DELAY_STATUS": '{ 8, 8, RO, 'h0 }, - "DELAY_RDATA": '{ 4, 0, RO, 'h0 }}}; - `define SET_ADC_COMMON_REG_DELAY_STATUS__DELAY_LOCKED(x) SetField(ADC_COMMON_REG_DELAY_STATUS_,"DELAY_LOCKED",x) - `define GET_ADC_COMMON_REG_DELAY_STATUS__DELAY_LOCKED(x) GetField(ADC_COMMON_REG_DELAY_STATUS_,"DELAY_LOCKED",x) - `define DEFAULT_ADC_COMMON_REG_DELAY_STATUS__DELAY_LOCKED GetResetValue(ADC_COMMON_REG_DELAY_STATUS_,"DELAY_LOCKED") - `define UPDATE_ADC_COMMON_REG_DELAY_STATUS__DELAY_LOCKED(x,y) UpdateField(ADC_COMMON_REG_DELAY_STATUS_,"DELAY_LOCKED",x,y) - `define SET_ADC_COMMON_REG_DELAY_STATUS__DELAY_STATUS(x) SetField(ADC_COMMON_REG_DELAY_STATUS_,"DELAY_STATUS",x) - `define GET_ADC_COMMON_REG_DELAY_STATUS__DELAY_STATUS(x) GetField(ADC_COMMON_REG_DELAY_STATUS_,"DELAY_STATUS",x) - `define DEFAULT_ADC_COMMON_REG_DELAY_STATUS__DELAY_STATUS GetResetValue(ADC_COMMON_REG_DELAY_STATUS_,"DELAY_STATUS") - `define UPDATE_ADC_COMMON_REG_DELAY_STATUS__DELAY_STATUS(x,y) UpdateField(ADC_COMMON_REG_DELAY_STATUS_,"DELAY_STATUS",x,y) - `define SET_ADC_COMMON_REG_DELAY_STATUS__DELAY_RDATA(x) SetField(ADC_COMMON_REG_DELAY_STATUS_,"DELAY_RDATA",x) - `define GET_ADC_COMMON_REG_DELAY_STATUS__DELAY_RDATA(x) GetField(ADC_COMMON_REG_DELAY_STATUS_,"DELAY_RDATA",x) - `define DEFAULT_ADC_COMMON_REG_DELAY_STATUS__DELAY_RDATA GetResetValue(ADC_COMMON_REG_DELAY_STATUS_,"DELAY_RDATA") - `define UPDATE_ADC_COMMON_REG_DELAY_STATUS__DELAY_RDATA(x,y) UpdateField(ADC_COMMON_REG_DELAY_STATUS_,"DELAY_RDATA",x,y) - - const reg_t ADC_COMMON_REG_SYNC_STATUS = '{ 'h0068, "REG_SYNC_STATUS" , '{ - "ADC_SYNC": '{ 0, 0, RO, 'h0 }}}; - `define SET_ADC_COMMON_REG_SYNC_STATUS_ADC_SYNC(x) SetField(ADC_COMMON_REG_SYNC_STATUS,"ADC_SYNC",x) - `define GET_ADC_COMMON_REG_SYNC_STATUS_ADC_SYNC(x) GetField(ADC_COMMON_REG_SYNC_STATUS,"ADC_SYNC",x) - `define DEFAULT_ADC_COMMON_REG_SYNC_STATUS_ADC_SYNC GetResetValue(ADC_COMMON_REG_SYNC_STATUS,"ADC_SYNC") - `define UPDATE_ADC_COMMON_REG_SYNC_STATUS_ADC_SYNC(x,y) UpdateField(ADC_COMMON_REG_SYNC_STATUS,"ADC_SYNC",x,y) - - const reg_t ADC_COMMON_REG_DRP_CNTRL = '{ 'h0070, "REG_DRP_CNTRL" , '{ - "DRP_RWN": '{ 28, 28, RW, 'h0 }, - "DRP_ADDRESS": '{ 27, 16, RW, 'h00 }}}; - `define SET_ADC_COMMON_REG_DRP_CNTRL_DRP_RWN(x) SetField(ADC_COMMON_REG_DRP_CNTRL,"DRP_RWN",x) - `define GET_ADC_COMMON_REG_DRP_CNTRL_DRP_RWN(x) GetField(ADC_COMMON_REG_DRP_CNTRL,"DRP_RWN",x) - `define DEFAULT_ADC_COMMON_REG_DRP_CNTRL_DRP_RWN GetResetValue(ADC_COMMON_REG_DRP_CNTRL,"DRP_RWN") - `define UPDATE_ADC_COMMON_REG_DRP_CNTRL_DRP_RWN(x,y) UpdateField(ADC_COMMON_REG_DRP_CNTRL,"DRP_RWN",x,y) - `define SET_ADC_COMMON_REG_DRP_CNTRL_DRP_ADDRESS(x) SetField(ADC_COMMON_REG_DRP_CNTRL,"DRP_ADDRESS",x) - `define GET_ADC_COMMON_REG_DRP_CNTRL_DRP_ADDRESS(x) GetField(ADC_COMMON_REG_DRP_CNTRL,"DRP_ADDRESS",x) - `define DEFAULT_ADC_COMMON_REG_DRP_CNTRL_DRP_ADDRESS GetResetValue(ADC_COMMON_REG_DRP_CNTRL,"DRP_ADDRESS") - `define UPDATE_ADC_COMMON_REG_DRP_CNTRL_DRP_ADDRESS(x,y) UpdateField(ADC_COMMON_REG_DRP_CNTRL,"DRP_ADDRESS",x,y) - - const reg_t ADC_COMMON_REG_DRP_STATUS = '{ 'h0074, "REG_DRP_STATUS" , '{ - "DRP_LOCKED": '{ 17, 17, RO, 'h0 }, - "DRP_STATUS": '{ 16, 16, RO, 'h0 }}}; - `define SET_ADC_COMMON_REG_DRP_STATUS_DRP_LOCKED(x) SetField(ADC_COMMON_REG_DRP_STATUS,"DRP_LOCKED",x) - `define GET_ADC_COMMON_REG_DRP_STATUS_DRP_LOCKED(x) GetField(ADC_COMMON_REG_DRP_STATUS,"DRP_LOCKED",x) - `define DEFAULT_ADC_COMMON_REG_DRP_STATUS_DRP_LOCKED GetResetValue(ADC_COMMON_REG_DRP_STATUS,"DRP_LOCKED") - `define UPDATE_ADC_COMMON_REG_DRP_STATUS_DRP_LOCKED(x,y) UpdateField(ADC_COMMON_REG_DRP_STATUS,"DRP_LOCKED",x,y) - `define SET_ADC_COMMON_REG_DRP_STATUS_DRP_STATUS(x) SetField(ADC_COMMON_REG_DRP_STATUS,"DRP_STATUS",x) - `define GET_ADC_COMMON_REG_DRP_STATUS_DRP_STATUS(x) GetField(ADC_COMMON_REG_DRP_STATUS,"DRP_STATUS",x) - `define DEFAULT_ADC_COMMON_REG_DRP_STATUS_DRP_STATUS GetResetValue(ADC_COMMON_REG_DRP_STATUS,"DRP_STATUS") - `define UPDATE_ADC_COMMON_REG_DRP_STATUS_DRP_STATUS(x,y) UpdateField(ADC_COMMON_REG_DRP_STATUS,"DRP_STATUS",x,y) - - const reg_t ADC_COMMON_REG_DRP_WDATA = '{ 'h0078, "REG_DRP_WDATA" , '{ - "DRP_WDATA": '{ 15, 0, RW, 'h00 }}}; - `define SET_ADC_COMMON_REG_DRP_WDATA_DRP_WDATA(x) SetField(ADC_COMMON_REG_DRP_WDATA,"DRP_WDATA",x) - `define GET_ADC_COMMON_REG_DRP_WDATA_DRP_WDATA(x) GetField(ADC_COMMON_REG_DRP_WDATA,"DRP_WDATA",x) - `define DEFAULT_ADC_COMMON_REG_DRP_WDATA_DRP_WDATA GetResetValue(ADC_COMMON_REG_DRP_WDATA,"DRP_WDATA") - `define UPDATE_ADC_COMMON_REG_DRP_WDATA_DRP_WDATA(x,y) UpdateField(ADC_COMMON_REG_DRP_WDATA,"DRP_WDATA",x,y) - - const reg_t ADC_COMMON_REG_DRP_RDATA = '{ 'h007c, "REG_DRP_RDATA" , '{ - "DRP_RDATA": '{ 15, 0, RO, 'h00 }}}; - `define SET_ADC_COMMON_REG_DRP_RDATA_DRP_RDATA(x) SetField(ADC_COMMON_REG_DRP_RDATA,"DRP_RDATA",x) - `define GET_ADC_COMMON_REG_DRP_RDATA_DRP_RDATA(x) GetField(ADC_COMMON_REG_DRP_RDATA,"DRP_RDATA",x) - `define DEFAULT_ADC_COMMON_REG_DRP_RDATA_DRP_RDATA GetResetValue(ADC_COMMON_REG_DRP_RDATA,"DRP_RDATA") - `define UPDATE_ADC_COMMON_REG_DRP_RDATA_DRP_RDATA(x,y) UpdateField(ADC_COMMON_REG_DRP_RDATA,"DRP_RDATA",x,y) - - const reg_t ADC_COMMON_REG_ADC_CONFIG_WR = '{ 'h0080, "REG_ADC_CONFIG_WR" , '{ - "ADC_CONFIG_WR": '{ 31, 0, RW, 'h0000 }}}; - `define SET_ADC_COMMON_REG_ADC_CONFIG_WR_ADC_CONFIG_WR(x) SetField(ADC_COMMON_REG_ADC_CONFIG_WR,"ADC_CONFIG_WR",x) - `define GET_ADC_COMMON_REG_ADC_CONFIG_WR_ADC_CONFIG_WR(x) GetField(ADC_COMMON_REG_ADC_CONFIG_WR,"ADC_CONFIG_WR",x) - `define DEFAULT_ADC_COMMON_REG_ADC_CONFIG_WR_ADC_CONFIG_WR GetResetValue(ADC_COMMON_REG_ADC_CONFIG_WR,"ADC_CONFIG_WR") - `define UPDATE_ADC_COMMON_REG_ADC_CONFIG_WR_ADC_CONFIG_WR(x,y) UpdateField(ADC_COMMON_REG_ADC_CONFIG_WR,"ADC_CONFIG_WR",x,y) - - const reg_t ADC_COMMON_REG_ADC_CONFIG_RD = '{ 'h0084, "REG_ADC_CONFIG_RD" , '{ - "ADC_CONFIG_RD": '{ 31, 0, RO, 'h0000 }}}; - `define SET_ADC_COMMON_REG_ADC_CONFIG_RD_ADC_CONFIG_RD(x) SetField(ADC_COMMON_REG_ADC_CONFIG_RD,"ADC_CONFIG_RD",x) - `define GET_ADC_COMMON_REG_ADC_CONFIG_RD_ADC_CONFIG_RD(x) GetField(ADC_COMMON_REG_ADC_CONFIG_RD,"ADC_CONFIG_RD",x) - `define DEFAULT_ADC_COMMON_REG_ADC_CONFIG_RD_ADC_CONFIG_RD GetResetValue(ADC_COMMON_REG_ADC_CONFIG_RD,"ADC_CONFIG_RD") - `define UPDATE_ADC_COMMON_REG_ADC_CONFIG_RD_ADC_CONFIG_RD(x,y) UpdateField(ADC_COMMON_REG_ADC_CONFIG_RD,"ADC_CONFIG_RD",x,y) - - const reg_t ADC_COMMON_REG_UI_STATUS = '{ 'h0088, "REG_UI_STATUS" , '{ - "UI_OVF": '{ 2, 2, RW1C, 'h0 }, - "UI_UNF": '{ 1, 1, RW1C, 'h0 }}}; - `define SET_ADC_COMMON_REG_UI_STATUS_UI_OVF(x) SetField(ADC_COMMON_REG_UI_STATUS,"UI_OVF",x) - `define GET_ADC_COMMON_REG_UI_STATUS_UI_OVF(x) GetField(ADC_COMMON_REG_UI_STATUS,"UI_OVF",x) - `define DEFAULT_ADC_COMMON_REG_UI_STATUS_UI_OVF GetResetValue(ADC_COMMON_REG_UI_STATUS,"UI_OVF") - `define UPDATE_ADC_COMMON_REG_UI_STATUS_UI_OVF(x,y) UpdateField(ADC_COMMON_REG_UI_STATUS,"UI_OVF",x,y) - `define SET_ADC_COMMON_REG_UI_STATUS_UI_UNF(x) SetField(ADC_COMMON_REG_UI_STATUS,"UI_UNF",x) - `define GET_ADC_COMMON_REG_UI_STATUS_UI_UNF(x) GetField(ADC_COMMON_REG_UI_STATUS,"UI_UNF",x) - `define DEFAULT_ADC_COMMON_REG_UI_STATUS_UI_UNF GetResetValue(ADC_COMMON_REG_UI_STATUS,"UI_UNF") - `define UPDATE_ADC_COMMON_REG_UI_STATUS_UI_UNF(x,y) UpdateField(ADC_COMMON_REG_UI_STATUS,"UI_UNF",x,y) - - const reg_t ADC_COMMON_REG_ADC_CONFIG_CTRL = '{ 'h008c, "REG_ADC_CONFIG_CTRL" , '{ - "ADC_CONFIG_CTRL": '{ 31, 0, RW, 'h0000 }}}; - `define SET_ADC_COMMON_REG_ADC_CONFIG_CTRL_ADC_CONFIG_CTRL(x) SetField(ADC_COMMON_REG_ADC_CONFIG_CTRL,"ADC_CONFIG_CTRL",x) - `define GET_ADC_COMMON_REG_ADC_CONFIG_CTRL_ADC_CONFIG_CTRL(x) GetField(ADC_COMMON_REG_ADC_CONFIG_CTRL,"ADC_CONFIG_CTRL",x) - `define DEFAULT_ADC_COMMON_REG_ADC_CONFIG_CTRL_ADC_CONFIG_CTRL GetResetValue(ADC_COMMON_REG_ADC_CONFIG_CTRL,"ADC_CONFIG_CTRL") - `define UPDATE_ADC_COMMON_REG_ADC_CONFIG_CTRL_ADC_CONFIG_CTRL(x,y) UpdateField(ADC_COMMON_REG_ADC_CONFIG_CTRL,"ADC_CONFIG_CTRL",x,y) - - const reg_t ADC_COMMON_REG_USR_CNTRL_1 = '{ 'h00a0, "REG_USR_CNTRL_1" , '{ - "USR_CHANMAX": '{ 7, 0, RW, 'h00 }}}; - `define SET_ADC_COMMON_REG_USR_CNTRL_1_USR_CHANMAX(x) SetField(ADC_COMMON_REG_USR_CNTRL_1,"USR_CHANMAX",x) - `define GET_ADC_COMMON_REG_USR_CNTRL_1_USR_CHANMAX(x) GetField(ADC_COMMON_REG_USR_CNTRL_1,"USR_CHANMAX",x) - `define DEFAULT_ADC_COMMON_REG_USR_CNTRL_1_USR_CHANMAX GetResetValue(ADC_COMMON_REG_USR_CNTRL_1,"USR_CHANMAX") - `define UPDATE_ADC_COMMON_REG_USR_CNTRL_1_USR_CHANMAX(x,y) UpdateField(ADC_COMMON_REG_USR_CNTRL_1,"USR_CHANMAX",x,y) - - const reg_t ADC_COMMON_REG_ADC_START_CODE = '{ 'h00a4, "REG_ADC_START_CODE" , '{ - "ADC_START_CODE": '{ 31, 0, RW, 'h00000000 }}}; - `define SET_ADC_COMMON_REG_ADC_START_CODE_ADC_START_CODE(x) SetField(ADC_COMMON_REG_ADC_START_CODE,"ADC_START_CODE",x) - `define GET_ADC_COMMON_REG_ADC_START_CODE_ADC_START_CODE(x) GetField(ADC_COMMON_REG_ADC_START_CODE,"ADC_START_CODE",x) - `define DEFAULT_ADC_COMMON_REG_ADC_START_CODE_ADC_START_CODE GetResetValue(ADC_COMMON_REG_ADC_START_CODE,"ADC_START_CODE") - `define UPDATE_ADC_COMMON_REG_ADC_START_CODE_ADC_START_CODE(x,y) UpdateField(ADC_COMMON_REG_ADC_START_CODE,"ADC_START_CODE",x,y) - - const reg_t ADC_COMMON_REG_ADC_GPIO_IN = '{ 'h00b8, "REG_ADC_GPIO_IN" , '{ - "ADC_GPIO_IN": '{ 31, 0, RO, 'h00000000 }}}; - `define SET_ADC_COMMON_REG_ADC_GPIO_IN_ADC_GPIO_IN(x) SetField(ADC_COMMON_REG_ADC_GPIO_IN,"ADC_GPIO_IN",x) - `define GET_ADC_COMMON_REG_ADC_GPIO_IN_ADC_GPIO_IN(x) GetField(ADC_COMMON_REG_ADC_GPIO_IN,"ADC_GPIO_IN",x) - `define DEFAULT_ADC_COMMON_REG_ADC_GPIO_IN_ADC_GPIO_IN GetResetValue(ADC_COMMON_REG_ADC_GPIO_IN,"ADC_GPIO_IN") - `define UPDATE_ADC_COMMON_REG_ADC_GPIO_IN_ADC_GPIO_IN(x,y) UpdateField(ADC_COMMON_REG_ADC_GPIO_IN,"ADC_GPIO_IN",x,y) - - const reg_t ADC_COMMON_REG_ADC_GPIO_OUT = '{ 'h00bc, "REG_ADC_GPIO_OUT" , '{ - "ADC_GPIO_OUT": '{ 31, 0, RW, 'h00000000 }}}; - `define SET_ADC_COMMON_REG_ADC_GPIO_OUT_ADC_GPIO_OUT(x) SetField(ADC_COMMON_REG_ADC_GPIO_OUT,"ADC_GPIO_OUT",x) - `define GET_ADC_COMMON_REG_ADC_GPIO_OUT_ADC_GPIO_OUT(x) GetField(ADC_COMMON_REG_ADC_GPIO_OUT,"ADC_GPIO_OUT",x) - `define DEFAULT_ADC_COMMON_REG_ADC_GPIO_OUT_ADC_GPIO_OUT GetResetValue(ADC_COMMON_REG_ADC_GPIO_OUT,"ADC_GPIO_OUT") - `define UPDATE_ADC_COMMON_REG_ADC_GPIO_OUT_ADC_GPIO_OUT(x,y) UpdateField(ADC_COMMON_REG_ADC_GPIO_OUT,"ADC_GPIO_OUT",x,y) - - const reg_t ADC_COMMON_REG_PPS_COUNTER = '{ 'h00c0, "REG_PPS_COUNTER" , '{ - "PPS_COUNTER": '{ 31, 0, RO, 'h00000000 }}}; - `define SET_ADC_COMMON_REG_PPS_COUNTER_PPS_COUNTER(x) SetField(ADC_COMMON_REG_PPS_COUNTER,"PPS_COUNTER",x) - `define GET_ADC_COMMON_REG_PPS_COUNTER_PPS_COUNTER(x) GetField(ADC_COMMON_REG_PPS_COUNTER,"PPS_COUNTER",x) - `define DEFAULT_ADC_COMMON_REG_PPS_COUNTER_PPS_COUNTER GetResetValue(ADC_COMMON_REG_PPS_COUNTER,"PPS_COUNTER") - `define UPDATE_ADC_COMMON_REG_PPS_COUNTER_PPS_COUNTER(x,y) UpdateField(ADC_COMMON_REG_PPS_COUNTER,"PPS_COUNTER",x,y) - - const reg_t ADC_COMMON_REG_PPS_STATUS = '{ 'h00c4, "REG_PPS_STATUS" , '{ - "PPS_STATUS": '{ 0, 0, RO, 'h0 }}}; - `define SET_ADC_COMMON_REG_PPS_STATUS_PPS_STATUS(x) SetField(ADC_COMMON_REG_PPS_STATUS,"PPS_STATUS",x) - `define GET_ADC_COMMON_REG_PPS_STATUS_PPS_STATUS(x) GetField(ADC_COMMON_REG_PPS_STATUS,"PPS_STATUS",x) - `define DEFAULT_ADC_COMMON_REG_PPS_STATUS_PPS_STATUS GetResetValue(ADC_COMMON_REG_PPS_STATUS,"PPS_STATUS") - `define UPDATE_ADC_COMMON_REG_PPS_STATUS_PPS_STATUS(x,y) UpdateField(ADC_COMMON_REG_PPS_STATUS,"PPS_STATUS",x,y) - - -/* ADC Channel (axi_ad*) */ - - const reg_t ADC_CHANNEL_REG_CHAN_CNTRL = '{ 'h0400, "REG_CHAN_CNTRL" , '{ - "ADC_LB_OWR": '{ 11, 11, RW, 'h0 }, - "ADC_PN_SEL_OWR": '{ 10, 10, RW, 'h0 }, - "IQCOR_ENB": '{ 9, 9, RW, 'h0 }, - "DCFILT_ENB": '{ 8, 8, RW, 'h0 }, - "FORMAT_SIGNEXT": '{ 6, 6, RW, 'h0 }, - "FORMAT_TYPE": '{ 5, 5, RW, 'h0 }, - "FORMAT_ENABLE": '{ 4, 4, RW, 'h0 }, - "ADC_PN_TYPE_OWR": '{ 1, 1, RW, 'h0 }, - "ENABLE": '{ 0, 0, RW, 'h0 }}}; - `define SET_ADC_CHANNEL_REG_CHAN_CNTRL_ADC_LB_OWR(x) SetField(ADC_CHANNEL_REG_CHAN_CNTRL,"ADC_LB_OWR",x) - `define GET_ADC_CHANNEL_REG_CHAN_CNTRL_ADC_LB_OWR(x) GetField(ADC_CHANNEL_REG_CHAN_CNTRL,"ADC_LB_OWR",x) - `define DEFAULT_ADC_CHANNEL_REG_CHAN_CNTRL_ADC_LB_OWR GetResetValue(ADC_CHANNEL_REG_CHAN_CNTRL,"ADC_LB_OWR") - `define UPDATE_ADC_CHANNEL_REG_CHAN_CNTRL_ADC_LB_OWR(x,y) UpdateField(ADC_CHANNEL_REG_CHAN_CNTRL,"ADC_LB_OWR",x,y) - `define SET_ADC_CHANNEL_REG_CHAN_CNTRL_ADC_PN_SEL_OWR(x) SetField(ADC_CHANNEL_REG_CHAN_CNTRL,"ADC_PN_SEL_OWR",x) - `define GET_ADC_CHANNEL_REG_CHAN_CNTRL_ADC_PN_SEL_OWR(x) GetField(ADC_CHANNEL_REG_CHAN_CNTRL,"ADC_PN_SEL_OWR",x) - `define DEFAULT_ADC_CHANNEL_REG_CHAN_CNTRL_ADC_PN_SEL_OWR GetResetValue(ADC_CHANNEL_REG_CHAN_CNTRL,"ADC_PN_SEL_OWR") - `define UPDATE_ADC_CHANNEL_REG_CHAN_CNTRL_ADC_PN_SEL_OWR(x,y) UpdateField(ADC_CHANNEL_REG_CHAN_CNTRL,"ADC_PN_SEL_OWR",x,y) - `define SET_ADC_CHANNEL_REG_CHAN_CNTRL_IQCOR_ENB(x) SetField(ADC_CHANNEL_REG_CHAN_CNTRL,"IQCOR_ENB",x) - `define GET_ADC_CHANNEL_REG_CHAN_CNTRL_IQCOR_ENB(x) GetField(ADC_CHANNEL_REG_CHAN_CNTRL,"IQCOR_ENB",x) - `define DEFAULT_ADC_CHANNEL_REG_CHAN_CNTRL_IQCOR_ENB GetResetValue(ADC_CHANNEL_REG_CHAN_CNTRL,"IQCOR_ENB") - `define UPDATE_ADC_CHANNEL_REG_CHAN_CNTRL_IQCOR_ENB(x,y) UpdateField(ADC_CHANNEL_REG_CHAN_CNTRL,"IQCOR_ENB",x,y) - `define SET_ADC_CHANNEL_REG_CHAN_CNTRL_DCFILT_ENB(x) SetField(ADC_CHANNEL_REG_CHAN_CNTRL,"DCFILT_ENB",x) - `define GET_ADC_CHANNEL_REG_CHAN_CNTRL_DCFILT_ENB(x) GetField(ADC_CHANNEL_REG_CHAN_CNTRL,"DCFILT_ENB",x) - `define DEFAULT_ADC_CHANNEL_REG_CHAN_CNTRL_DCFILT_ENB GetResetValue(ADC_CHANNEL_REG_CHAN_CNTRL,"DCFILT_ENB") - `define UPDATE_ADC_CHANNEL_REG_CHAN_CNTRL_DCFILT_ENB(x,y) UpdateField(ADC_CHANNEL_REG_CHAN_CNTRL,"DCFILT_ENB",x,y) - `define SET_ADC_CHANNEL_REG_CHAN_CNTRL_FORMAT_SIGNEXT(x) SetField(ADC_CHANNEL_REG_CHAN_CNTRL,"FORMAT_SIGNEXT",x) - `define GET_ADC_CHANNEL_REG_CHAN_CNTRL_FORMAT_SIGNEXT(x) GetField(ADC_CHANNEL_REG_CHAN_CNTRL,"FORMAT_SIGNEXT",x) - `define DEFAULT_ADC_CHANNEL_REG_CHAN_CNTRL_FORMAT_SIGNEXT GetResetValue(ADC_CHANNEL_REG_CHAN_CNTRL,"FORMAT_SIGNEXT") - `define UPDATE_ADC_CHANNEL_REG_CHAN_CNTRL_FORMAT_SIGNEXT(x,y) UpdateField(ADC_CHANNEL_REG_CHAN_CNTRL,"FORMAT_SIGNEXT",x,y) - `define SET_ADC_CHANNEL_REG_CHAN_CNTRL_FORMAT_TYPE(x) SetField(ADC_CHANNEL_REG_CHAN_CNTRL,"FORMAT_TYPE",x) - `define GET_ADC_CHANNEL_REG_CHAN_CNTRL_FORMAT_TYPE(x) GetField(ADC_CHANNEL_REG_CHAN_CNTRL,"FORMAT_TYPE",x) - `define DEFAULT_ADC_CHANNEL_REG_CHAN_CNTRL_FORMAT_TYPE GetResetValue(ADC_CHANNEL_REG_CHAN_CNTRL,"FORMAT_TYPE") - `define UPDATE_ADC_CHANNEL_REG_CHAN_CNTRL_FORMAT_TYPE(x,y) UpdateField(ADC_CHANNEL_REG_CHAN_CNTRL,"FORMAT_TYPE",x,y) - `define SET_ADC_CHANNEL_REG_CHAN_CNTRL_FORMAT_ENABLE(x) SetField(ADC_CHANNEL_REG_CHAN_CNTRL,"FORMAT_ENABLE",x) - `define GET_ADC_CHANNEL_REG_CHAN_CNTRL_FORMAT_ENABLE(x) GetField(ADC_CHANNEL_REG_CHAN_CNTRL,"FORMAT_ENABLE",x) - `define DEFAULT_ADC_CHANNEL_REG_CHAN_CNTRL_FORMAT_ENABLE GetResetValue(ADC_CHANNEL_REG_CHAN_CNTRL,"FORMAT_ENABLE") - `define UPDATE_ADC_CHANNEL_REG_CHAN_CNTRL_FORMAT_ENABLE(x,y) UpdateField(ADC_CHANNEL_REG_CHAN_CNTRL,"FORMAT_ENABLE",x,y) - `define SET_ADC_CHANNEL_REG_CHAN_CNTRL_ADC_PN_TYPE_OWR(x) SetField(ADC_CHANNEL_REG_CHAN_CNTRL,"ADC_PN_TYPE_OWR",x) - `define GET_ADC_CHANNEL_REG_CHAN_CNTRL_ADC_PN_TYPE_OWR(x) GetField(ADC_CHANNEL_REG_CHAN_CNTRL,"ADC_PN_TYPE_OWR",x) - `define DEFAULT_ADC_CHANNEL_REG_CHAN_CNTRL_ADC_PN_TYPE_OWR GetResetValue(ADC_CHANNEL_REG_CHAN_CNTRL,"ADC_PN_TYPE_OWR") - `define UPDATE_ADC_CHANNEL_REG_CHAN_CNTRL_ADC_PN_TYPE_OWR(x,y) UpdateField(ADC_CHANNEL_REG_CHAN_CNTRL,"ADC_PN_TYPE_OWR",x,y) - `define SET_ADC_CHANNEL_REG_CHAN_CNTRL_ENABLE(x) SetField(ADC_CHANNEL_REG_CHAN_CNTRL,"ENABLE",x) - `define GET_ADC_CHANNEL_REG_CHAN_CNTRL_ENABLE(x) GetField(ADC_CHANNEL_REG_CHAN_CNTRL,"ENABLE",x) - `define DEFAULT_ADC_CHANNEL_REG_CHAN_CNTRL_ENABLE GetResetValue(ADC_CHANNEL_REG_CHAN_CNTRL,"ENABLE") - `define UPDATE_ADC_CHANNEL_REG_CHAN_CNTRL_ENABLE(x,y) UpdateField(ADC_CHANNEL_REG_CHAN_CNTRL,"ENABLE",x,y) - - const reg_t ADC_CHANNEL_REG_CHAN_STATUS = '{ 'h0404, "REG_CHAN_STATUS" , '{ - "CRC_ERR": '{ 12, 12, RW1C, 'h0 }, - "STATUS_HEADER": '{ 11, 4, RO, 'h00 }, - "PN_ERR": '{ 2, 2, RW1C, 'h0 }, - "PN_OOS": '{ 1, 1, RW1C, 'h0 }, - "OVER_RANGE": '{ 0, 0, RW1C, 'h0 }}}; - `define SET_ADC_CHANNEL_REG_CHAN_STATUS_CRC_ERR(x) SetField(ADC_CHANNEL_REG_CHAN_STATUS,"CRC_ERR",x) - `define GET_ADC_CHANNEL_REG_CHAN_STATUS_CRC_ERR(x) GetField(ADC_CHANNEL_REG_CHAN_STATUS,"CRC_ERR",x) - `define DEFAULT_ADC_CHANNEL_REG_CHAN_STATUS_CRC_ERR GetResetValue(ADC_CHANNEL_REG_CHAN_STATUS,"CRC_ERR") - `define UPDATE_ADC_CHANNEL_REG_CHAN_STATUS_CRC_ERR(x,y) UpdateField(ADC_CHANNEL_REG_CHAN_STATUS,"CRC_ERR",x,y) - `define SET_ADC_CHANNEL_REG_CHAN_STATUS_STATUS_HEADER(x) SetField(ADC_CHANNEL_REG_CHAN_STATUS,"STATUS_HEADER",x) - `define GET_ADC_CHANNEL_REG_CHAN_STATUS_STATUS_HEADER(x) GetField(ADC_CHANNEL_REG_CHAN_STATUS,"STATUS_HEADER",x) - `define DEFAULT_ADC_CHANNEL_REG_CHAN_STATUS_STATUS_HEADER GetResetValue(ADC_CHANNEL_REG_CHAN_STATUS,"STATUS_HEADER") - `define UPDATE_ADC_CHANNEL_REG_CHAN_STATUS_STATUS_HEADER(x,y) UpdateField(ADC_CHANNEL_REG_CHAN_STATUS,"STATUS_HEADER",x,y) - `define SET_ADC_CHANNEL_REG_CHAN_STATUS_PN_ERR(x) SetField(ADC_CHANNEL_REG_CHAN_STATUS,"PN_ERR",x) - `define GET_ADC_CHANNEL_REG_CHAN_STATUS_PN_ERR(x) GetField(ADC_CHANNEL_REG_CHAN_STATUS,"PN_ERR",x) - `define DEFAULT_ADC_CHANNEL_REG_CHAN_STATUS_PN_ERR GetResetValue(ADC_CHANNEL_REG_CHAN_STATUS,"PN_ERR") - `define UPDATE_ADC_CHANNEL_REG_CHAN_STATUS_PN_ERR(x,y) UpdateField(ADC_CHANNEL_REG_CHAN_STATUS,"PN_ERR",x,y) - `define SET_ADC_CHANNEL_REG_CHAN_STATUS_PN_OOS(x) SetField(ADC_CHANNEL_REG_CHAN_STATUS,"PN_OOS",x) - `define GET_ADC_CHANNEL_REG_CHAN_STATUS_PN_OOS(x) GetField(ADC_CHANNEL_REG_CHAN_STATUS,"PN_OOS",x) - `define DEFAULT_ADC_CHANNEL_REG_CHAN_STATUS_PN_OOS GetResetValue(ADC_CHANNEL_REG_CHAN_STATUS,"PN_OOS") - `define UPDATE_ADC_CHANNEL_REG_CHAN_STATUS_PN_OOS(x,y) UpdateField(ADC_CHANNEL_REG_CHAN_STATUS,"PN_OOS",x,y) - `define SET_ADC_CHANNEL_REG_CHAN_STATUS_OVER_RANGE(x) SetField(ADC_CHANNEL_REG_CHAN_STATUS,"OVER_RANGE",x) - `define GET_ADC_CHANNEL_REG_CHAN_STATUS_OVER_RANGE(x) GetField(ADC_CHANNEL_REG_CHAN_STATUS,"OVER_RANGE",x) - `define DEFAULT_ADC_CHANNEL_REG_CHAN_STATUS_OVER_RANGE GetResetValue(ADC_CHANNEL_REG_CHAN_STATUS,"OVER_RANGE") - `define UPDATE_ADC_CHANNEL_REG_CHAN_STATUS_OVER_RANGE(x,y) UpdateField(ADC_CHANNEL_REG_CHAN_STATUS,"OVER_RANGE",x,y) - - const reg_t ADC_CHANNEL_REG_CHAN_RAW_DATA = '{ 'h0408, "REG_CHAN_RAW_DATA" , '{ - "ADC_READ_DATA": '{ 31, 0, RO, 'h0000 }}}; - `define SET_ADC_CHANNEL_REG_CHAN_RAW_DATA_ADC_READ_DATA(x) SetField(ADC_CHANNEL_REG_CHAN_RAW_DATA,"ADC_READ_DATA",x) - `define GET_ADC_CHANNEL_REG_CHAN_RAW_DATA_ADC_READ_DATA(x) GetField(ADC_CHANNEL_REG_CHAN_RAW_DATA,"ADC_READ_DATA",x) - `define DEFAULT_ADC_CHANNEL_REG_CHAN_RAW_DATA_ADC_READ_DATA GetResetValue(ADC_CHANNEL_REG_CHAN_RAW_DATA,"ADC_READ_DATA") - `define UPDATE_ADC_CHANNEL_REG_CHAN_RAW_DATA_ADC_READ_DATA(x,y) UpdateField(ADC_CHANNEL_REG_CHAN_RAW_DATA,"ADC_READ_DATA",x,y) - - const reg_t ADC_CHANNEL_REG_CHAN_CNTRL_1 = '{ 'h0410, "REG_CHAN_CNTRL_1" , '{ - "DCFILT_OFFSET": '{ 31, 16, RW, 'h0000 }, - "DCFILT_COEFF": '{ 15, 0, RW, 'h0000 }}}; - `define SET_ADC_CHANNEL_REG_CHAN_CNTRL_1_DCFILT_OFFSET(x) SetField(ADC_CHANNEL_REG_CHAN_CNTRL_1,"DCFILT_OFFSET",x) - `define GET_ADC_CHANNEL_REG_CHAN_CNTRL_1_DCFILT_OFFSET(x) GetField(ADC_CHANNEL_REG_CHAN_CNTRL_1,"DCFILT_OFFSET",x) - `define DEFAULT_ADC_CHANNEL_REG_CHAN_CNTRL_1_DCFILT_OFFSET GetResetValue(ADC_CHANNEL_REG_CHAN_CNTRL_1,"DCFILT_OFFSET") - `define UPDATE_ADC_CHANNEL_REG_CHAN_CNTRL_1_DCFILT_OFFSET(x,y) UpdateField(ADC_CHANNEL_REG_CHAN_CNTRL_1,"DCFILT_OFFSET",x,y) - `define SET_ADC_CHANNEL_REG_CHAN_CNTRL_1_DCFILT_COEFF(x) SetField(ADC_CHANNEL_REG_CHAN_CNTRL_1,"DCFILT_COEFF",x) - `define GET_ADC_CHANNEL_REG_CHAN_CNTRL_1_DCFILT_COEFF(x) GetField(ADC_CHANNEL_REG_CHAN_CNTRL_1,"DCFILT_COEFF",x) - `define DEFAULT_ADC_CHANNEL_REG_CHAN_CNTRL_1_DCFILT_COEFF GetResetValue(ADC_CHANNEL_REG_CHAN_CNTRL_1,"DCFILT_COEFF") - `define UPDATE_ADC_CHANNEL_REG_CHAN_CNTRL_1_DCFILT_COEFF(x,y) UpdateField(ADC_CHANNEL_REG_CHAN_CNTRL_1,"DCFILT_COEFF",x,y) - - const reg_t ADC_CHANNEL_REG_CHAN_CNTRL_2 = '{ 'h0414, "REG_CHAN_CNTRL_2" , '{ - "IQCOR_COEFF_1": '{ 31, 16, RW, 'h0000 }, - "IQCOR_COEFF_2": '{ 15, 0, RW, 'h0000 }}}; - `define SET_ADC_CHANNEL_REG_CHAN_CNTRL_2_IQCOR_COEFF_1(x) SetField(ADC_CHANNEL_REG_CHAN_CNTRL_2,"IQCOR_COEFF_1",x) - `define GET_ADC_CHANNEL_REG_CHAN_CNTRL_2_IQCOR_COEFF_1(x) GetField(ADC_CHANNEL_REG_CHAN_CNTRL_2,"IQCOR_COEFF_1",x) - `define DEFAULT_ADC_CHANNEL_REG_CHAN_CNTRL_2_IQCOR_COEFF_1 GetResetValue(ADC_CHANNEL_REG_CHAN_CNTRL_2,"IQCOR_COEFF_1") - `define UPDATE_ADC_CHANNEL_REG_CHAN_CNTRL_2_IQCOR_COEFF_1(x,y) UpdateField(ADC_CHANNEL_REG_CHAN_CNTRL_2,"IQCOR_COEFF_1",x,y) - `define SET_ADC_CHANNEL_REG_CHAN_CNTRL_2_IQCOR_COEFF_2(x) SetField(ADC_CHANNEL_REG_CHAN_CNTRL_2,"IQCOR_COEFF_2",x) - `define GET_ADC_CHANNEL_REG_CHAN_CNTRL_2_IQCOR_COEFF_2(x) GetField(ADC_CHANNEL_REG_CHAN_CNTRL_2,"IQCOR_COEFF_2",x) - `define DEFAULT_ADC_CHANNEL_REG_CHAN_CNTRL_2_IQCOR_COEFF_2 GetResetValue(ADC_CHANNEL_REG_CHAN_CNTRL_2,"IQCOR_COEFF_2") - `define UPDATE_ADC_CHANNEL_REG_CHAN_CNTRL_2_IQCOR_COEFF_2(x,y) UpdateField(ADC_CHANNEL_REG_CHAN_CNTRL_2,"IQCOR_COEFF_2",x,y) - - const reg_t ADC_CHANNEL_REG_CHAN_CNTRL_3 = '{ 'h0418, "REG_CHAN_CNTRL_3" , '{ - "ADC_PN_SEL": '{ 19, 16, RW, 'h0 }, - "ADC_DATA_SEL": '{ 3, 0, RW, 'h0 }}}; - `define SET_ADC_CHANNEL_REG_CHAN_CNTRL_3_ADC_PN_SEL(x) SetField(ADC_CHANNEL_REG_CHAN_CNTRL_3,"ADC_PN_SEL",x) - `define GET_ADC_CHANNEL_REG_CHAN_CNTRL_3_ADC_PN_SEL(x) GetField(ADC_CHANNEL_REG_CHAN_CNTRL_3,"ADC_PN_SEL",x) - `define DEFAULT_ADC_CHANNEL_REG_CHAN_CNTRL_3_ADC_PN_SEL GetResetValue(ADC_CHANNEL_REG_CHAN_CNTRL_3,"ADC_PN_SEL") - `define UPDATE_ADC_CHANNEL_REG_CHAN_CNTRL_3_ADC_PN_SEL(x,y) UpdateField(ADC_CHANNEL_REG_CHAN_CNTRL_3,"ADC_PN_SEL",x,y) - `define SET_ADC_CHANNEL_REG_CHAN_CNTRL_3_ADC_DATA_SEL(x) SetField(ADC_CHANNEL_REG_CHAN_CNTRL_3,"ADC_DATA_SEL",x) - `define GET_ADC_CHANNEL_REG_CHAN_CNTRL_3_ADC_DATA_SEL(x) GetField(ADC_CHANNEL_REG_CHAN_CNTRL_3,"ADC_DATA_SEL",x) - `define DEFAULT_ADC_CHANNEL_REG_CHAN_CNTRL_3_ADC_DATA_SEL GetResetValue(ADC_CHANNEL_REG_CHAN_CNTRL_3,"ADC_DATA_SEL") - `define UPDATE_ADC_CHANNEL_REG_CHAN_CNTRL_3_ADC_DATA_SEL(x,y) UpdateField(ADC_CHANNEL_REG_CHAN_CNTRL_3,"ADC_DATA_SEL",x,y) - - const reg_t ADC_CHANNEL_REG_CHAN_USR_CNTRL_1 = '{ 'h0420, "REG_CHAN_USR_CNTRL_1" , '{ - "USR_DATATYPE_BE": '{ 25, 25, RO, 'h0 }, - "USR_DATATYPE_SIGNED": '{ 24, 24, RO, 'h0 }, - "USR_DATATYPE_SHIFT": '{ 23, 16, RO, 'h00 }, - "USR_DATATYPE_TOTAL_BITS": '{ 15, 8, RO, 'h00 }, - "USR_DATATYPE_BITS": '{ 7, 0, RO, 'h00 }}}; - `define SET_ADC_CHANNEL_REG_CHAN_USR_CNTRL_1_USR_DATATYPE_BE(x) SetField(ADC_CHANNEL_REG_CHAN_USR_CNTRL_1,"USR_DATATYPE_BE",x) - `define GET_ADC_CHANNEL_REG_CHAN_USR_CNTRL_1_USR_DATATYPE_BE(x) GetField(ADC_CHANNEL_REG_CHAN_USR_CNTRL_1,"USR_DATATYPE_BE",x) - `define DEFAULT_ADC_CHANNEL_REG_CHAN_USR_CNTRL_1_USR_DATATYPE_BE GetResetValue(ADC_CHANNEL_REG_CHAN_USR_CNTRL_1,"USR_DATATYPE_BE") - `define UPDATE_ADC_CHANNEL_REG_CHAN_USR_CNTRL_1_USR_DATATYPE_BE(x,y) UpdateField(ADC_CHANNEL_REG_CHAN_USR_CNTRL_1,"USR_DATATYPE_BE",x,y) - `define SET_ADC_CHANNEL_REG_CHAN_USR_CNTRL_1_USR_DATATYPE_SIGNED(x) SetField(ADC_CHANNEL_REG_CHAN_USR_CNTRL_1,"USR_DATATYPE_SIGNED",x) - `define GET_ADC_CHANNEL_REG_CHAN_USR_CNTRL_1_USR_DATATYPE_SIGNED(x) GetField(ADC_CHANNEL_REG_CHAN_USR_CNTRL_1,"USR_DATATYPE_SIGNED",x) - `define DEFAULT_ADC_CHANNEL_REG_CHAN_USR_CNTRL_1_USR_DATATYPE_SIGNED GetResetValue(ADC_CHANNEL_REG_CHAN_USR_CNTRL_1,"USR_DATATYPE_SIGNED") - `define UPDATE_ADC_CHANNEL_REG_CHAN_USR_CNTRL_1_USR_DATATYPE_SIGNED(x,y) UpdateField(ADC_CHANNEL_REG_CHAN_USR_CNTRL_1,"USR_DATATYPE_SIGNED",x,y) - `define SET_ADC_CHANNEL_REG_CHAN_USR_CNTRL_1_USR_DATATYPE_SHIFT(x) SetField(ADC_CHANNEL_REG_CHAN_USR_CNTRL_1,"USR_DATATYPE_SHIFT",x) - `define GET_ADC_CHANNEL_REG_CHAN_USR_CNTRL_1_USR_DATATYPE_SHIFT(x) GetField(ADC_CHANNEL_REG_CHAN_USR_CNTRL_1,"USR_DATATYPE_SHIFT",x) - `define DEFAULT_ADC_CHANNEL_REG_CHAN_USR_CNTRL_1_USR_DATATYPE_SHIFT GetResetValue(ADC_CHANNEL_REG_CHAN_USR_CNTRL_1,"USR_DATATYPE_SHIFT") - `define UPDATE_ADC_CHANNEL_REG_CHAN_USR_CNTRL_1_USR_DATATYPE_SHIFT(x,y) UpdateField(ADC_CHANNEL_REG_CHAN_USR_CNTRL_1,"USR_DATATYPE_SHIFT",x,y) - `define SET_ADC_CHANNEL_REG_CHAN_USR_CNTRL_1_USR_DATATYPE_TOTAL_BITS(x) SetField(ADC_CHANNEL_REG_CHAN_USR_CNTRL_1,"USR_DATATYPE_TOTAL_BITS",x) - `define GET_ADC_CHANNEL_REG_CHAN_USR_CNTRL_1_USR_DATATYPE_TOTAL_BITS(x) GetField(ADC_CHANNEL_REG_CHAN_USR_CNTRL_1,"USR_DATATYPE_TOTAL_BITS",x) - `define DEFAULT_ADC_CHANNEL_REG_CHAN_USR_CNTRL_1_USR_DATATYPE_TOTAL_BITS GetResetValue(ADC_CHANNEL_REG_CHAN_USR_CNTRL_1,"USR_DATATYPE_TOTAL_BITS") - `define UPDATE_ADC_CHANNEL_REG_CHAN_USR_CNTRL_1_USR_DATATYPE_TOTAL_BITS(x,y) UpdateField(ADC_CHANNEL_REG_CHAN_USR_CNTRL_1,"USR_DATATYPE_TOTAL_BITS",x,y) - `define SET_ADC_CHANNEL_REG_CHAN_USR_CNTRL_1_USR_DATATYPE_BITS(x) SetField(ADC_CHANNEL_REG_CHAN_USR_CNTRL_1,"USR_DATATYPE_BITS",x) - `define GET_ADC_CHANNEL_REG_CHAN_USR_CNTRL_1_USR_DATATYPE_BITS(x) GetField(ADC_CHANNEL_REG_CHAN_USR_CNTRL_1,"USR_DATATYPE_BITS",x) - `define DEFAULT_ADC_CHANNEL_REG_CHAN_USR_CNTRL_1_USR_DATATYPE_BITS GetResetValue(ADC_CHANNEL_REG_CHAN_USR_CNTRL_1,"USR_DATATYPE_BITS") - `define UPDATE_ADC_CHANNEL_REG_CHAN_USR_CNTRL_1_USR_DATATYPE_BITS(x,y) UpdateField(ADC_CHANNEL_REG_CHAN_USR_CNTRL_1,"USR_DATATYPE_BITS",x,y) - - const reg_t ADC_CHANNEL_REG_CHAN_USR_CNTRL_2 = '{ 'h0424, "REG_CHAN_USR_CNTRL_2" , '{ - "USR_DECIMATION_M": '{ 31, 16, RW, 'h0000 }, - "USR_DECIMATION_N": '{ 15, 0, RW, 'h0000 }}}; - `define SET_ADC_CHANNEL_REG_CHAN_USR_CNTRL_2_USR_DECIMATION_M(x) SetField(ADC_CHANNEL_REG_CHAN_USR_CNTRL_2,"USR_DECIMATION_M",x) - `define GET_ADC_CHANNEL_REG_CHAN_USR_CNTRL_2_USR_DECIMATION_M(x) GetField(ADC_CHANNEL_REG_CHAN_USR_CNTRL_2,"USR_DECIMATION_M",x) - `define DEFAULT_ADC_CHANNEL_REG_CHAN_USR_CNTRL_2_USR_DECIMATION_M GetResetValue(ADC_CHANNEL_REG_CHAN_USR_CNTRL_2,"USR_DECIMATION_M") - `define UPDATE_ADC_CHANNEL_REG_CHAN_USR_CNTRL_2_USR_DECIMATION_M(x,y) UpdateField(ADC_CHANNEL_REG_CHAN_USR_CNTRL_2,"USR_DECIMATION_M",x,y) - `define SET_ADC_CHANNEL_REG_CHAN_USR_CNTRL_2_USR_DECIMATION_N(x) SetField(ADC_CHANNEL_REG_CHAN_USR_CNTRL_2,"USR_DECIMATION_N",x) - `define GET_ADC_CHANNEL_REG_CHAN_USR_CNTRL_2_USR_DECIMATION_N(x) GetField(ADC_CHANNEL_REG_CHAN_USR_CNTRL_2,"USR_DECIMATION_N",x) - `define DEFAULT_ADC_CHANNEL_REG_CHAN_USR_CNTRL_2_USR_DECIMATION_N GetResetValue(ADC_CHANNEL_REG_CHAN_USR_CNTRL_2,"USR_DECIMATION_N") - `define UPDATE_ADC_CHANNEL_REG_CHAN_USR_CNTRL_2_USR_DECIMATION_N(x,y) UpdateField(ADC_CHANNEL_REG_CHAN_USR_CNTRL_2,"USR_DECIMATION_N",x,y) - - const reg_t ADC_CHANNEL_REG_CHAN_CNTRL_4 = '{ 'h0428, "REG_CHAN_CNTRL_4" , '{ - "SOFTSPAN ": '{ 2, 0, RW, 'h7 }}}; - `define SET_ADC_CHANNEL_REG_CHAN_CNTRL_4_SOFTSPAN (x) SetField(ADC_CHANNEL_REG_CHAN_CNTRL_4,"SOFTSPAN ",x) - `define GET_ADC_CHANNEL_REG_CHAN_CNTRL_4_SOFTSPAN (x) GetField(ADC_CHANNEL_REG_CHAN_CNTRL_4,"SOFTSPAN ",x) - `define DEFAULT_ADC_CHANNEL_REG_CHAN_CNTRL_4_SOFTSPAN GetResetValue(ADC_CHANNEL_REG_CHAN_CNTRL_4,"SOFTSPAN ") - `define UPDATE_ADC_CHANNEL_REG_CHAN_CNTRL_4_SOFTSPAN (x,y) UpdateField(ADC_CHANNEL_REG_CHAN_CNTRL_4,"SOFTSPAN ",x,y) - - -endpackage + import logger_pkg::*; + import adi_api_pkg::*; + + class adi_regmap_adc extends adi_regmap; + + /* ADC Common (axi_ad*) */ + class RSTN_CLASS extends register_base; + field_base CE_N_F; + field_base MMCM_RSTN_F; + field_base RSTN_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.CE_N_F = new("CE_N", 2, 2, RW, 'h0, this); + this.MMCM_RSTN_F = new("MMCM_RSTN", 1, 1, RW, 'h0, this); + this.RSTN_F = new("RSTN", 0, 0, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: RSTN_CLASS + + class CNTRL_CLASS extends register_base; + field_base SDR_DDR_N_F; + field_base SYMB_OP_F; + field_base SYMB_8_16B_F; + field_base NUM_LANES_F; + field_base SYNC_F; + field_base R1_MODE_F; + field_base DDR_EDGESEL_F; + field_base PIN_MODE_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.SDR_DDR_N_F = new("SDR_DDR_N", 16, 16, RW, 'h0, this); + this.SYMB_OP_F = new("SYMB_OP", 15, 15, RW, 'h0, this); + this.SYMB_8_16B_F = new("SYMB_8_16B", 14, 14, RW, 'h0, this); + this.NUM_LANES_F = new("NUM_LANES", 12, 8, RW, 'h0, this); + this.SYNC_F = new("SYNC", 3, 3, RW, 'h0, this); + this.R1_MODE_F = new("R1_MODE", 2, 2, RW, 'h0, this); + this.DDR_EDGESEL_F = new("DDR_EDGESEL", 1, 1, RW, 'h0, this); + this.PIN_MODE_F = new("PIN_MODE", 0, 0, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: CNTRL_CLASS + + class CNTRL_2_CLASS extends register_base; + field_base EXT_SYNC_ARM_F; + field_base EXT_SYNC_DISARM_F; + field_base MANUAL_SYNC_REQUEST_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.EXT_SYNC_ARM_F = new("EXT_SYNC_ARM", 1, 1, RW, 'h0, this); + this.EXT_SYNC_DISARM_F = new("EXT_SYNC_DISARM", 2, 2, RW, 'h0, this); + this.MANUAL_SYNC_REQUEST_F = new("MANUAL_SYNC_REQUEST", 8, 8, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: CNTRL_2_CLASS + + class CNTRL_3_CLASS extends register_base; + field_base CRC_EN_F; + field_base CUSTOM_CONTROL_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.CRC_EN_F = new("CRC_EN", 8, 8, RW, 'h0, this); + this.CUSTOM_CONTROL_F = new("CUSTOM_CONTROL", 7, 0, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: CNTRL_3_CLASS + + class CLK_FREQ_CLASS extends register_base; + field_base CLK_FREQ_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.CLK_FREQ_F = new("CLK_FREQ", 31, 0, RO, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: CLK_FREQ_CLASS + + class CLK_RATIO_CLASS extends register_base; + field_base CLK_RATIO_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.CLK_RATIO_F = new("CLK_RATIO", 31, 0, RO, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: CLK_RATIO_CLASS + + class STATUS_CLASS extends register_base; + field_base ADC_CTRL_STATUS_F; + field_base PN_ERR_F; + field_base PN_OOS_F; + field_base OVER_RANGE_F; + field_base STATUS_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.ADC_CTRL_STATUS_F = new("ADC_CTRL_STATUS", 4, 4, RO, 'h0, this); + this.PN_ERR_F = new("PN_ERR", 3, 3, RO, 'h0, this); + this.PN_OOS_F = new("PN_OOS", 2, 2, RO, 'h0, this); + this.OVER_RANGE_F = new("OVER_RANGE", 1, 1, RO, 'h0, this); + this.STATUS_F = new("STATUS", 0, 0, RO, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: STATUS_CLASS + + class DELAY_CNTRL_CLASS extends register_base; + field_base DELAY_SEL_F; + field_base DELAY_RWN_F; + field_base DELAY_ADDRESS_F; + field_base DELAY_WDATA_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.DELAY_SEL_F = new("DELAY_SEL", 17, 17, RW, 'h0, this); + this.DELAY_RWN_F = new("DELAY_RWN", 16, 16, RW, 'h0, this); + this.DELAY_ADDRESS_F = new("DELAY_ADDRESS", 15, 8, RW, 'h0, this); + this.DELAY_WDATA_F = new("DELAY_WDATA", 4, 0, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: DELAY_CNTRL_CLASS + + class DELAY_STATUS_CLASS extends register_base; + field_base DELAY_LOCKED_F; + field_base DELAY_STATUS_F; + field_base DELAY_RDATA_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.DELAY_LOCKED_F = new("DELAY_LOCKED", 9, 9, RO, 'h0, this); + this.DELAY_STATUS_F = new("DELAY_STATUS", 8, 8, RO, 'h0, this); + this.DELAY_RDATA_F = new("DELAY_RDATA", 4, 0, RO, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: DELAY_STATUS_CLASS + + class SYNC_STATUS_CLASS extends register_base; + field_base ADC_SYNC_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.ADC_SYNC_F = new("ADC_SYNC", 0, 0, RO, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: SYNC_STATUS_CLASS + + class DRP_CNTRL_CLASS extends register_base; + field_base DRP_RWN_F; + field_base DRP_ADDRESS_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.DRP_RWN_F = new("DRP_RWN", 28, 28, RW, 'h0, this); + this.DRP_ADDRESS_F = new("DRP_ADDRESS", 27, 16, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: DRP_CNTRL_CLASS + + class DRP_STATUS_CLASS extends register_base; + field_base DRP_LOCKED_F; + field_base DRP_STATUS_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.DRP_LOCKED_F = new("DRP_LOCKED", 17, 17, RO, 'h0, this); + this.DRP_STATUS_F = new("DRP_STATUS", 16, 16, RO, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: DRP_STATUS_CLASS + + class DRP_WDATA_CLASS extends register_base; + field_base DRP_WDATA_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.DRP_WDATA_F = new("DRP_WDATA", 15, 0, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: DRP_WDATA_CLASS + + class DRP_RDATA_CLASS extends register_base; + field_base DRP_RDATA_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.DRP_RDATA_F = new("DRP_RDATA", 15, 0, RO, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: DRP_RDATA_CLASS + + class ADC_CONFIG_WR_CLASS extends register_base; + field_base ADC_CONFIG_WR_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.ADC_CONFIG_WR_F = new("ADC_CONFIG_WR", 31, 0, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: ADC_CONFIG_WR_CLASS + + class ADC_CONFIG_RD_CLASS extends register_base; + field_base ADC_CONFIG_RD_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.ADC_CONFIG_RD_F = new("ADC_CONFIG_RD", 31, 0, RO, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: ADC_CONFIG_RD_CLASS + + class UI_STATUS_CLASS extends register_base; + field_base UI_OVF_F; + field_base UI_UNF_F; + field_base UI_RESERVED_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.UI_OVF_F = new("UI_OVF", 2, 2, RW1C, 'h0, this); + this.UI_UNF_F = new("UI_UNF", 1, 1, RW1C, 'h0, this); + this.UI_RESERVED_F = new("UI_RESERVED", 0, 0, RW1C, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: UI_STATUS_CLASS + + class ADC_CONFIG_CTRL_CLASS extends register_base; + field_base ADC_CONFIG_CTRL_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.ADC_CONFIG_CTRL_F = new("ADC_CONFIG_CTRL", 31, 0, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: ADC_CONFIG_CTRL_CLASS + + class USR_CNTRL_1_CLASS extends register_base; + field_base USR_CHANMAX_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.USR_CHANMAX_F = new("USR_CHANMAX", 7, 0, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: USR_CNTRL_1_CLASS + + class ADC_START_CODE_CLASS extends register_base; + field_base ADC_START_CODE_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.ADC_START_CODE_F = new("ADC_START_CODE", 31, 0, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: ADC_START_CODE_CLASS + + class ADC_GPIO_IN_CLASS extends register_base; + field_base ADC_GPIO_IN_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.ADC_GPIO_IN_F = new("ADC_GPIO_IN", 31, 0, RO, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: ADC_GPIO_IN_CLASS + + class ADC_GPIO_OUT_CLASS extends register_base; + field_base ADC_GPIO_OUT_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.ADC_GPIO_OUT_F = new("ADC_GPIO_OUT", 31, 0, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: ADC_GPIO_OUT_CLASS + + class PPS_COUNTER_CLASS extends register_base; + field_base PPS_COUNTER_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.PPS_COUNTER_F = new("PPS_COUNTER", 31, 0, RO, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: PPS_COUNTER_CLASS + + class PPS_STATUS_CLASS extends register_base; + field_base PPS_STATUS_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.PPS_STATUS_F = new("PPS_STATUS", 0, 0, RO, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: PPS_STATUS_CLASS + + /* ADC Channel (axi_ad*) */ + class CHAN_CNTRLn_CLASS extends register_base; + field_base ADC_LB_OWR_F; + field_base ADC_PN_SEL_OWR_F; + field_base IQCOR_ENB_F; + field_base DCFILT_ENB_F; + field_base FORMAT_SIGNEXT_F; + field_base FORMAT_TYPE_F; + field_base FORMAT_ENABLE_F; + field_base ADC_PN_TYPE_OWR_F; + field_base ENABLE_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.ADC_LB_OWR_F = new("ADC_LB_OWR", 11, 11, RW, 'h0, this); + this.ADC_PN_SEL_OWR_F = new("ADC_PN_SEL_OWR", 10, 10, RW, 'h0, this); + this.IQCOR_ENB_F = new("IQCOR_ENB", 9, 9, RW, 'h0, this); + this.DCFILT_ENB_F = new("DCFILT_ENB", 8, 8, RW, 'h0, this); + this.FORMAT_SIGNEXT_F = new("FORMAT_SIGNEXT", 6, 6, RW, 'h0, this); + this.FORMAT_TYPE_F = new("FORMAT_TYPE", 5, 5, RW, 'h0, this); + this.FORMAT_ENABLE_F = new("FORMAT_ENABLE", 4, 4, RW, 'h0, this); + this.ADC_PN_TYPE_OWR_F = new("ADC_PN_TYPE_OWR", 1, 1, RW, 'h0, this); + this.ENABLE_F = new("ENABLE", 0, 0, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: CHAN_CNTRLn_CLASS + + class CHAN_STATUSn_CLASS extends register_base; + field_base CRC_ERR_F; + field_base STATUS_HEADER_F; + field_base PN_ERR_F; + field_base PN_OOS_F; + field_base OVER_RANGE_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.CRC_ERR_F = new("CRC_ERR", 12, 12, RW1C, 'h0, this); + this.STATUS_HEADER_F = new("STATUS_HEADER", 11, 4, RO, 'h0, this); + this.PN_ERR_F = new("PN_ERR", 2, 2, RW1C, 'h0, this); + this.PN_OOS_F = new("PN_OOS", 1, 1, RW1C, 'h0, this); + this.OVER_RANGE_F = new("OVER_RANGE", 0, 0, RW1C, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: CHAN_STATUSn_CLASS + + class CHAN_RAW_DATAn_CLASS extends register_base; + field_base ADC_READ_DATA_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.ADC_READ_DATA_F = new("ADC_READ_DATA", 31, 0, RO, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: CHAN_RAW_DATAn_CLASS + + class CHAN_CNTRLn_1_CLASS extends register_base; + field_base DCFILT_OFFSET_F; + field_base DCFILT_COEFF_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.DCFILT_OFFSET_F = new("DCFILT_OFFSET", 31, 16, RW, 'h0, this); + this.DCFILT_COEFF_F = new("DCFILT_COEFF", 15, 0, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: CHAN_CNTRLn_1_CLASS + + class CHAN_CNTRLn_2_CLASS extends register_base; + field_base IQCOR_COEFF_1_F; + field_base IQCOR_COEFF_2_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.IQCOR_COEFF_1_F = new("IQCOR_COEFF_1", 31, 16, RW, 'h0, this); + this.IQCOR_COEFF_2_F = new("IQCOR_COEFF_2", 15, 0, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: CHAN_CNTRLn_2_CLASS + + class CHAN_CNTRLn_3_CLASS extends register_base; + field_base ADC_PN_SEL_F; + field_base ADC_DATA_SEL_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.ADC_PN_SEL_F = new("ADC_PN_SEL", 19, 16, RW, 'h0, this); + this.ADC_DATA_SEL_F = new("ADC_DATA_SEL", 3, 0, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: CHAN_CNTRLn_3_CLASS + + class CHAN_USR_CNTRLn_1_CLASS extends register_base; + field_base USR_DATATYPE_BE_F; + field_base USR_DATATYPE_SIGNED_F; + field_base USR_DATATYPE_SHIFT_F; + field_base USR_DATATYPE_TOTAL_BITS_F; + field_base USR_DATATYPE_BITS_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.USR_DATATYPE_BE_F = new("USR_DATATYPE_BE", 25, 25, RO, 'h0, this); + this.USR_DATATYPE_SIGNED_F = new("USR_DATATYPE_SIGNED", 24, 24, RO, 'h0, this); + this.USR_DATATYPE_SHIFT_F = new("USR_DATATYPE_SHIFT", 23, 16, RO, 'h0, this); + this.USR_DATATYPE_TOTAL_BITS_F = new("USR_DATATYPE_TOTAL_BITS", 15, 8, RO, 'h0, this); + this.USR_DATATYPE_BITS_F = new("USR_DATATYPE_BITS", 7, 0, RO, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: CHAN_USR_CNTRLn_1_CLASS + + class CHAN_USR_CNTRLn_2_CLASS extends register_base; + field_base USR_DECIMATION_M_F; + field_base USR_DECIMATION_N_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.USR_DECIMATION_M_F = new("USR_DECIMATION_M", 31, 16, RW, 'h0, this); + this.USR_DECIMATION_N_F = new("USR_DECIMATION_N", 15, 0, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: CHAN_USR_CNTRLn_2_CLASS + + class CHAN_CNTRLn_4_CLASS extends register_base; + field_base SOFTSPAN_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.SOFTSPAN_F = new("SOFTSPAN", 2, 0, RW, 'h7, this); + + this.initialization_done = 1; + endfunction: new + endclass: CHAN_CNTRLn_4_CLASS + + RSTN_CLASS RSTN_R; + CNTRL_CLASS CNTRL_R; + CNTRL_2_CLASS CNTRL_2_R; + CNTRL_3_CLASS CNTRL_3_R; + CLK_FREQ_CLASS CLK_FREQ_R; + CLK_RATIO_CLASS CLK_RATIO_R; + STATUS_CLASS STATUS_R; + DELAY_CNTRL_CLASS DELAY_CNTRL_R; + DELAY_STATUS_CLASS DELAY_STATUS_R; + SYNC_STATUS_CLASS SYNC_STATUS_R; + DRP_CNTRL_CLASS DRP_CNTRL_R; + DRP_STATUS_CLASS DRP_STATUS_R; + DRP_WDATA_CLASS DRP_WDATA_R; + DRP_RDATA_CLASS DRP_RDATA_R; + ADC_CONFIG_WR_CLASS ADC_CONFIG_WR_R; + ADC_CONFIG_RD_CLASS ADC_CONFIG_RD_R; + UI_STATUS_CLASS UI_STATUS_R; + ADC_CONFIG_CTRL_CLASS ADC_CONFIG_CTRL_R; + USR_CNTRL_1_CLASS USR_CNTRL_1_R; + ADC_START_CODE_CLASS ADC_START_CODE_R; + ADC_GPIO_IN_CLASS ADC_GPIO_IN_R; + ADC_GPIO_OUT_CLASS ADC_GPIO_OUT_R; + PPS_COUNTER_CLASS PPS_COUNTER_R; + PPS_STATUS_CLASS PPS_STATUS_R; + CHAN_CNTRLn_CLASS CHAN_CNTRL0_R; + CHAN_CNTRLn_CLASS CHAN_CNTRL1_R; + CHAN_CNTRLn_CLASS CHAN_CNTRL2_R; + CHAN_CNTRLn_CLASS CHAN_CNTRL3_R; + CHAN_CNTRLn_CLASS CHAN_CNTRL4_R; + CHAN_CNTRLn_CLASS CHAN_CNTRL5_R; + CHAN_CNTRLn_CLASS CHAN_CNTRL6_R; + CHAN_CNTRLn_CLASS CHAN_CNTRL7_R; + CHAN_CNTRLn_CLASS CHAN_CNTRL8_R; + CHAN_CNTRLn_CLASS CHAN_CNTRL9_R; + CHAN_CNTRLn_CLASS CHAN_CNTRL10_R; + CHAN_CNTRLn_CLASS CHAN_CNTRL11_R; + CHAN_CNTRLn_CLASS CHAN_CNTRL12_R; + CHAN_CNTRLn_CLASS CHAN_CNTRL13_R; + CHAN_CNTRLn_CLASS CHAN_CNTRL14_R; + CHAN_CNTRLn_CLASS CHAN_CNTRL15_R; + CHAN_STATUSn_CLASS CHAN_STATUS0_R; + CHAN_STATUSn_CLASS CHAN_STATUS1_R; + CHAN_STATUSn_CLASS CHAN_STATUS2_R; + CHAN_STATUSn_CLASS CHAN_STATUS3_R; + CHAN_STATUSn_CLASS CHAN_STATUS4_R; + CHAN_STATUSn_CLASS CHAN_STATUS5_R; + CHAN_STATUSn_CLASS CHAN_STATUS6_R; + CHAN_STATUSn_CLASS CHAN_STATUS7_R; + CHAN_STATUSn_CLASS CHAN_STATUS8_R; + CHAN_STATUSn_CLASS CHAN_STATUS9_R; + CHAN_STATUSn_CLASS CHAN_STATUS10_R; + CHAN_STATUSn_CLASS CHAN_STATUS11_R; + CHAN_STATUSn_CLASS CHAN_STATUS12_R; + CHAN_STATUSn_CLASS CHAN_STATUS13_R; + CHAN_STATUSn_CLASS CHAN_STATUS14_R; + CHAN_STATUSn_CLASS CHAN_STATUS15_R; + CHAN_RAW_DATAn_CLASS CHAN_RAW_DATA0_R; + CHAN_RAW_DATAn_CLASS CHAN_RAW_DATA1_R; + CHAN_RAW_DATAn_CLASS CHAN_RAW_DATA2_R; + CHAN_RAW_DATAn_CLASS CHAN_RAW_DATA3_R; + CHAN_RAW_DATAn_CLASS CHAN_RAW_DATA4_R; + CHAN_RAW_DATAn_CLASS CHAN_RAW_DATA5_R; + CHAN_RAW_DATAn_CLASS CHAN_RAW_DATA6_R; + CHAN_RAW_DATAn_CLASS CHAN_RAW_DATA7_R; + CHAN_RAW_DATAn_CLASS CHAN_RAW_DATA8_R; + CHAN_RAW_DATAn_CLASS CHAN_RAW_DATA9_R; + CHAN_RAW_DATAn_CLASS CHAN_RAW_DATA10_R; + CHAN_RAW_DATAn_CLASS CHAN_RAW_DATA11_R; + CHAN_RAW_DATAn_CLASS CHAN_RAW_DATA12_R; + CHAN_RAW_DATAn_CLASS CHAN_RAW_DATA13_R; + CHAN_RAW_DATAn_CLASS CHAN_RAW_DATA14_R; + CHAN_RAW_DATAn_CLASS CHAN_RAW_DATA15_R; + CHAN_CNTRLn_1_CLASS CHAN_CNTRL0_1_R; + CHAN_CNTRLn_1_CLASS CHAN_CNTRL1_1_R; + CHAN_CNTRLn_1_CLASS CHAN_CNTRL2_1_R; + CHAN_CNTRLn_1_CLASS CHAN_CNTRL3_1_R; + CHAN_CNTRLn_1_CLASS CHAN_CNTRL4_1_R; + CHAN_CNTRLn_1_CLASS CHAN_CNTRL5_1_R; + CHAN_CNTRLn_1_CLASS CHAN_CNTRL6_1_R; + CHAN_CNTRLn_1_CLASS CHAN_CNTRL7_1_R; + CHAN_CNTRLn_1_CLASS CHAN_CNTRL8_1_R; + CHAN_CNTRLn_1_CLASS CHAN_CNTRL9_1_R; + CHAN_CNTRLn_1_CLASS CHAN_CNTRL10_1_R; + CHAN_CNTRLn_1_CLASS CHAN_CNTRL11_1_R; + CHAN_CNTRLn_1_CLASS CHAN_CNTRL12_1_R; + CHAN_CNTRLn_1_CLASS CHAN_CNTRL13_1_R; + CHAN_CNTRLn_1_CLASS CHAN_CNTRL14_1_R; + CHAN_CNTRLn_1_CLASS CHAN_CNTRL15_1_R; + CHAN_CNTRLn_2_CLASS CHAN_CNTRL0_2_R; + CHAN_CNTRLn_2_CLASS CHAN_CNTRL1_2_R; + CHAN_CNTRLn_2_CLASS CHAN_CNTRL2_2_R; + CHAN_CNTRLn_2_CLASS CHAN_CNTRL3_2_R; + CHAN_CNTRLn_2_CLASS CHAN_CNTRL4_2_R; + CHAN_CNTRLn_2_CLASS CHAN_CNTRL5_2_R; + CHAN_CNTRLn_2_CLASS CHAN_CNTRL6_2_R; + CHAN_CNTRLn_2_CLASS CHAN_CNTRL7_2_R; + CHAN_CNTRLn_2_CLASS CHAN_CNTRL8_2_R; + CHAN_CNTRLn_2_CLASS CHAN_CNTRL9_2_R; + CHAN_CNTRLn_2_CLASS CHAN_CNTRL10_2_R; + CHAN_CNTRLn_2_CLASS CHAN_CNTRL11_2_R; + CHAN_CNTRLn_2_CLASS CHAN_CNTRL12_2_R; + CHAN_CNTRLn_2_CLASS CHAN_CNTRL13_2_R; + CHAN_CNTRLn_2_CLASS CHAN_CNTRL14_2_R; + CHAN_CNTRLn_2_CLASS CHAN_CNTRL15_2_R; + CHAN_CNTRLn_3_CLASS CHAN_CNTRL0_3_R; + CHAN_CNTRLn_3_CLASS CHAN_CNTRL1_3_R; + CHAN_CNTRLn_3_CLASS CHAN_CNTRL2_3_R; + CHAN_CNTRLn_3_CLASS CHAN_CNTRL3_3_R; + CHAN_CNTRLn_3_CLASS CHAN_CNTRL4_3_R; + CHAN_CNTRLn_3_CLASS CHAN_CNTRL5_3_R; + CHAN_CNTRLn_3_CLASS CHAN_CNTRL6_3_R; + CHAN_CNTRLn_3_CLASS CHAN_CNTRL7_3_R; + CHAN_CNTRLn_3_CLASS CHAN_CNTRL8_3_R; + CHAN_CNTRLn_3_CLASS CHAN_CNTRL9_3_R; + CHAN_CNTRLn_3_CLASS CHAN_CNTRL10_3_R; + CHAN_CNTRLn_3_CLASS CHAN_CNTRL11_3_R; + CHAN_CNTRLn_3_CLASS CHAN_CNTRL12_3_R; + CHAN_CNTRLn_3_CLASS CHAN_CNTRL13_3_R; + CHAN_CNTRLn_3_CLASS CHAN_CNTRL14_3_R; + CHAN_CNTRLn_3_CLASS CHAN_CNTRL15_3_R; + CHAN_USR_CNTRLn_1_CLASS CHAN_USR_CNTRL0_1_R; + CHAN_USR_CNTRLn_1_CLASS CHAN_USR_CNTRL1_1_R; + CHAN_USR_CNTRLn_1_CLASS CHAN_USR_CNTRL2_1_R; + CHAN_USR_CNTRLn_1_CLASS CHAN_USR_CNTRL3_1_R; + CHAN_USR_CNTRLn_1_CLASS CHAN_USR_CNTRL4_1_R; + CHAN_USR_CNTRLn_1_CLASS CHAN_USR_CNTRL5_1_R; + CHAN_USR_CNTRLn_1_CLASS CHAN_USR_CNTRL6_1_R; + CHAN_USR_CNTRLn_1_CLASS CHAN_USR_CNTRL7_1_R; + CHAN_USR_CNTRLn_1_CLASS CHAN_USR_CNTRL8_1_R; + CHAN_USR_CNTRLn_1_CLASS CHAN_USR_CNTRL9_1_R; + CHAN_USR_CNTRLn_1_CLASS CHAN_USR_CNTRL10_1_R; + CHAN_USR_CNTRLn_1_CLASS CHAN_USR_CNTRL11_1_R; + CHAN_USR_CNTRLn_1_CLASS CHAN_USR_CNTRL12_1_R; + CHAN_USR_CNTRLn_1_CLASS CHAN_USR_CNTRL13_1_R; + CHAN_USR_CNTRLn_1_CLASS CHAN_USR_CNTRL14_1_R; + CHAN_USR_CNTRLn_1_CLASS CHAN_USR_CNTRL15_1_R; + CHAN_USR_CNTRLn_2_CLASS CHAN_USR_CNTRL0_2_R; + CHAN_USR_CNTRLn_2_CLASS CHAN_USR_CNTRL1_2_R; + CHAN_USR_CNTRLn_2_CLASS CHAN_USR_CNTRL2_2_R; + CHAN_USR_CNTRLn_2_CLASS CHAN_USR_CNTRL3_2_R; + CHAN_USR_CNTRLn_2_CLASS CHAN_USR_CNTRL4_2_R; + CHAN_USR_CNTRLn_2_CLASS CHAN_USR_CNTRL5_2_R; + CHAN_USR_CNTRLn_2_CLASS CHAN_USR_CNTRL6_2_R; + CHAN_USR_CNTRLn_2_CLASS CHAN_USR_CNTRL7_2_R; + CHAN_USR_CNTRLn_2_CLASS CHAN_USR_CNTRL8_2_R; + CHAN_USR_CNTRLn_2_CLASS CHAN_USR_CNTRL9_2_R; + CHAN_USR_CNTRLn_2_CLASS CHAN_USR_CNTRL10_2_R; + CHAN_USR_CNTRLn_2_CLASS CHAN_USR_CNTRL11_2_R; + CHAN_USR_CNTRLn_2_CLASS CHAN_USR_CNTRL12_2_R; + CHAN_USR_CNTRLn_2_CLASS CHAN_USR_CNTRL13_2_R; + CHAN_USR_CNTRLn_2_CLASS CHAN_USR_CNTRL14_2_R; + CHAN_USR_CNTRLn_2_CLASS CHAN_USR_CNTRL15_2_R; + CHAN_CNTRLn_4_CLASS CHAN_CNTRL0_4_R; + CHAN_CNTRLn_4_CLASS CHAN_CNTRL1_4_R; + CHAN_CNTRLn_4_CLASS CHAN_CNTRL2_4_R; + CHAN_CNTRLn_4_CLASS CHAN_CNTRL3_4_R; + CHAN_CNTRLn_4_CLASS CHAN_CNTRL4_4_R; + CHAN_CNTRLn_4_CLASS CHAN_CNTRL5_4_R; + CHAN_CNTRLn_4_CLASS CHAN_CNTRL6_4_R; + CHAN_CNTRLn_4_CLASS CHAN_CNTRL7_4_R; + CHAN_CNTRLn_4_CLASS CHAN_CNTRL8_4_R; + CHAN_CNTRLn_4_CLASS CHAN_CNTRL9_4_R; + CHAN_CNTRLn_4_CLASS CHAN_CNTRL10_4_R; + CHAN_CNTRLn_4_CLASS CHAN_CNTRL11_4_R; + CHAN_CNTRLn_4_CLASS CHAN_CNTRL12_4_R; + CHAN_CNTRLn_4_CLASS CHAN_CNTRL13_4_R; + CHAN_CNTRLn_4_CLASS CHAN_CNTRL14_4_R; + CHAN_CNTRLn_4_CLASS CHAN_CNTRL15_4_R; + + function new( + input string name, + input int address, + input adi_api parent = null); + + super.new(name, address, parent); + + this.RSTN_R = new("RSTN", 'h40, this); + this.CNTRL_R = new("CNTRL", 'h44, this); + this.CNTRL_2_R = new("CNTRL_2", 'h48, this); + this.CNTRL_3_R = new("CNTRL_3", 'h4c, this); + this.CLK_FREQ_R = new("CLK_FREQ", 'h54, this); + this.CLK_RATIO_R = new("CLK_RATIO", 'h58, this); + this.STATUS_R = new("STATUS", 'h5c, this); + this.DELAY_CNTRL_R = new("DELAY_CNTRL", 'h60, this); + this.DELAY_STATUS_R = new("DELAY_STATUS", 'h64, this); + this.SYNC_STATUS_R = new("SYNC_STATUS", 'h68, this); + this.DRP_CNTRL_R = new("DRP_CNTRL", 'h70, this); + this.DRP_STATUS_R = new("DRP_STATUS", 'h74, this); + this.DRP_WDATA_R = new("DRP_WDATA", 'h78, this); + this.DRP_RDATA_R = new("DRP_RDATA", 'h7c, this); + this.ADC_CONFIG_WR_R = new("ADC_CONFIG_WR", 'h80, this); + this.ADC_CONFIG_RD_R = new("ADC_CONFIG_RD", 'h84, this); + this.UI_STATUS_R = new("UI_STATUS", 'h88, this); + this.ADC_CONFIG_CTRL_R = new("ADC_CONFIG_CTRL", 'h8c, this); + this.USR_CNTRL_1_R = new("USR_CNTRL_1", 'ha0, this); + this.ADC_START_CODE_R = new("ADC_START_CODE", 'ha4, this); + this.ADC_GPIO_IN_R = new("ADC_GPIO_IN", 'hb8, this); + this.ADC_GPIO_OUT_R = new("ADC_GPIO_OUT", 'hbc, this); + this.PPS_COUNTER_R = new("PPS_COUNTER", 'hc0, this); + this.PPS_STATUS_R = new("PPS_STATUS", 'hc4, this); + this.CHAN_CNTRL0_R = new("CHAN_CNTRL0", 'h400, this); + this.CHAN_CNTRL1_R = new("CHAN_CNTRL1", 'h458, this); + this.CHAN_CNTRL2_R = new("CHAN_CNTRL2", 'h4b0, this); + this.CHAN_CNTRL3_R = new("CHAN_CNTRL3", 'h508, this); + this.CHAN_CNTRL4_R = new("CHAN_CNTRL4", 'h560, this); + this.CHAN_CNTRL5_R = new("CHAN_CNTRL5", 'h5b8, this); + this.CHAN_CNTRL6_R = new("CHAN_CNTRL6", 'h610, this); + this.CHAN_CNTRL7_R = new("CHAN_CNTRL7", 'h668, this); + this.CHAN_CNTRL8_R = new("CHAN_CNTRL8", 'h6c0, this); + this.CHAN_CNTRL9_R = new("CHAN_CNTRL9", 'h718, this); + this.CHAN_CNTRL10_R = new("CHAN_CNTRL10", 'h770, this); + this.CHAN_CNTRL11_R = new("CHAN_CNTRL11", 'h7c8, this); + this.CHAN_CNTRL12_R = new("CHAN_CNTRL12", 'h820, this); + this.CHAN_CNTRL13_R = new("CHAN_CNTRL13", 'h878, this); + this.CHAN_CNTRL14_R = new("CHAN_CNTRL14", 'h8d0, this); + this.CHAN_CNTRL15_R = new("CHAN_CNTRL15", 'h928, this); + this.CHAN_STATUS0_R = new("CHAN_STATUS0", 'h404, this); + this.CHAN_STATUS1_R = new("CHAN_STATUS1", 'h45c, this); + this.CHAN_STATUS2_R = new("CHAN_STATUS2", 'h4b4, this); + this.CHAN_STATUS3_R = new("CHAN_STATUS3", 'h50c, this); + this.CHAN_STATUS4_R = new("CHAN_STATUS4", 'h564, this); + this.CHAN_STATUS5_R = new("CHAN_STATUS5", 'h5bc, this); + this.CHAN_STATUS6_R = new("CHAN_STATUS6", 'h614, this); + this.CHAN_STATUS7_R = new("CHAN_STATUS7", 'h66c, this); + this.CHAN_STATUS8_R = new("CHAN_STATUS8", 'h6c4, this); + this.CHAN_STATUS9_R = new("CHAN_STATUS9", 'h71c, this); + this.CHAN_STATUS10_R = new("CHAN_STATUS10", 'h774, this); + this.CHAN_STATUS11_R = new("CHAN_STATUS11", 'h7cc, this); + this.CHAN_STATUS12_R = new("CHAN_STATUS12", 'h824, this); + this.CHAN_STATUS13_R = new("CHAN_STATUS13", 'h87c, this); + this.CHAN_STATUS14_R = new("CHAN_STATUS14", 'h8d4, this); + this.CHAN_STATUS15_R = new("CHAN_STATUS15", 'h92c, this); + this.CHAN_RAW_DATA0_R = new("CHAN_RAW_DATA0", 'h408, this); + this.CHAN_RAW_DATA1_R = new("CHAN_RAW_DATA1", 'h460, this); + this.CHAN_RAW_DATA2_R = new("CHAN_RAW_DATA2", 'h4b8, this); + this.CHAN_RAW_DATA3_R = new("CHAN_RAW_DATA3", 'h510, this); + this.CHAN_RAW_DATA4_R = new("CHAN_RAW_DATA4", 'h568, this); + this.CHAN_RAW_DATA5_R = new("CHAN_RAW_DATA5", 'h5c0, this); + this.CHAN_RAW_DATA6_R = new("CHAN_RAW_DATA6", 'h618, this); + this.CHAN_RAW_DATA7_R = new("CHAN_RAW_DATA7", 'h670, this); + this.CHAN_RAW_DATA8_R = new("CHAN_RAW_DATA8", 'h6c8, this); + this.CHAN_RAW_DATA9_R = new("CHAN_RAW_DATA9", 'h720, this); + this.CHAN_RAW_DATA10_R = new("CHAN_RAW_DATA10", 'h778, this); + this.CHAN_RAW_DATA11_R = new("CHAN_RAW_DATA11", 'h7d0, this); + this.CHAN_RAW_DATA12_R = new("CHAN_RAW_DATA12", 'h828, this); + this.CHAN_RAW_DATA13_R = new("CHAN_RAW_DATA13", 'h880, this); + this.CHAN_RAW_DATA14_R = new("CHAN_RAW_DATA14", 'h8d8, this); + this.CHAN_RAW_DATA15_R = new("CHAN_RAW_DATA15", 'h930, this); + this.CHAN_CNTRL0_1_R = new("CHAN_CNTRL0_1", 'h410, this); + this.CHAN_CNTRL1_1_R = new("CHAN_CNTRL1_1", 'h468, this); + this.CHAN_CNTRL2_1_R = new("CHAN_CNTRL2_1", 'h4c0, this); + this.CHAN_CNTRL3_1_R = new("CHAN_CNTRL3_1", 'h518, this); + this.CHAN_CNTRL4_1_R = new("CHAN_CNTRL4_1", 'h570, this); + this.CHAN_CNTRL5_1_R = new("CHAN_CNTRL5_1", 'h5c8, this); + this.CHAN_CNTRL6_1_R = new("CHAN_CNTRL6_1", 'h620, this); + this.CHAN_CNTRL7_1_R = new("CHAN_CNTRL7_1", 'h678, this); + this.CHAN_CNTRL8_1_R = new("CHAN_CNTRL8_1", 'h6d0, this); + this.CHAN_CNTRL9_1_R = new("CHAN_CNTRL9_1", 'h728, this); + this.CHAN_CNTRL10_1_R = new("CHAN_CNTRL10_1", 'h780, this); + this.CHAN_CNTRL11_1_R = new("CHAN_CNTRL11_1", 'h7d8, this); + this.CHAN_CNTRL12_1_R = new("CHAN_CNTRL12_1", 'h830, this); + this.CHAN_CNTRL13_1_R = new("CHAN_CNTRL13_1", 'h888, this); + this.CHAN_CNTRL14_1_R = new("CHAN_CNTRL14_1", 'h8e0, this); + this.CHAN_CNTRL15_1_R = new("CHAN_CNTRL15_1", 'h938, this); + this.CHAN_CNTRL0_2_R = new("CHAN_CNTRL0_2", 'h414, this); + this.CHAN_CNTRL1_2_R = new("CHAN_CNTRL1_2", 'h46c, this); + this.CHAN_CNTRL2_2_R = new("CHAN_CNTRL2_2", 'h4c4, this); + this.CHAN_CNTRL3_2_R = new("CHAN_CNTRL3_2", 'h51c, this); + this.CHAN_CNTRL4_2_R = new("CHAN_CNTRL4_2", 'h574, this); + this.CHAN_CNTRL5_2_R = new("CHAN_CNTRL5_2", 'h5cc, this); + this.CHAN_CNTRL6_2_R = new("CHAN_CNTRL6_2", 'h624, this); + this.CHAN_CNTRL7_2_R = new("CHAN_CNTRL7_2", 'h67c, this); + this.CHAN_CNTRL8_2_R = new("CHAN_CNTRL8_2", 'h6d4, this); + this.CHAN_CNTRL9_2_R = new("CHAN_CNTRL9_2", 'h72c, this); + this.CHAN_CNTRL10_2_R = new("CHAN_CNTRL10_2", 'h784, this); + this.CHAN_CNTRL11_2_R = new("CHAN_CNTRL11_2", 'h7dc, this); + this.CHAN_CNTRL12_2_R = new("CHAN_CNTRL12_2", 'h834, this); + this.CHAN_CNTRL13_2_R = new("CHAN_CNTRL13_2", 'h88c, this); + this.CHAN_CNTRL14_2_R = new("CHAN_CNTRL14_2", 'h8e4, this); + this.CHAN_CNTRL15_2_R = new("CHAN_CNTRL15_2", 'h93c, this); + this.CHAN_CNTRL0_3_R = new("CHAN_CNTRL0_3", 'h418, this); + this.CHAN_CNTRL1_3_R = new("CHAN_CNTRL1_3", 'h470, this); + this.CHAN_CNTRL2_3_R = new("CHAN_CNTRL2_3", 'h4c8, this); + this.CHAN_CNTRL3_3_R = new("CHAN_CNTRL3_3", 'h520, this); + this.CHAN_CNTRL4_3_R = new("CHAN_CNTRL4_3", 'h578, this); + this.CHAN_CNTRL5_3_R = new("CHAN_CNTRL5_3", 'h5d0, this); + this.CHAN_CNTRL6_3_R = new("CHAN_CNTRL6_3", 'h628, this); + this.CHAN_CNTRL7_3_R = new("CHAN_CNTRL7_3", 'h680, this); + this.CHAN_CNTRL8_3_R = new("CHAN_CNTRL8_3", 'h6d8, this); + this.CHAN_CNTRL9_3_R = new("CHAN_CNTRL9_3", 'h730, this); + this.CHAN_CNTRL10_3_R = new("CHAN_CNTRL10_3", 'h788, this); + this.CHAN_CNTRL11_3_R = new("CHAN_CNTRL11_3", 'h7e0, this); + this.CHAN_CNTRL12_3_R = new("CHAN_CNTRL12_3", 'h838, this); + this.CHAN_CNTRL13_3_R = new("CHAN_CNTRL13_3", 'h890, this); + this.CHAN_CNTRL14_3_R = new("CHAN_CNTRL14_3", 'h8e8, this); + this.CHAN_CNTRL15_3_R = new("CHAN_CNTRL15_3", 'h940, this); + this.CHAN_USR_CNTRL0_1_R = new("CHAN_USR_CNTRL0_1", 'h420, this); + this.CHAN_USR_CNTRL1_1_R = new("CHAN_USR_CNTRL1_1", 'h478, this); + this.CHAN_USR_CNTRL2_1_R = new("CHAN_USR_CNTRL2_1", 'h4d0, this); + this.CHAN_USR_CNTRL3_1_R = new("CHAN_USR_CNTRL3_1", 'h528, this); + this.CHAN_USR_CNTRL4_1_R = new("CHAN_USR_CNTRL4_1", 'h580, this); + this.CHAN_USR_CNTRL5_1_R = new("CHAN_USR_CNTRL5_1", 'h5d8, this); + this.CHAN_USR_CNTRL6_1_R = new("CHAN_USR_CNTRL6_1", 'h630, this); + this.CHAN_USR_CNTRL7_1_R = new("CHAN_USR_CNTRL7_1", 'h688, this); + this.CHAN_USR_CNTRL8_1_R = new("CHAN_USR_CNTRL8_1", 'h6e0, this); + this.CHAN_USR_CNTRL9_1_R = new("CHAN_USR_CNTRL9_1", 'h738, this); + this.CHAN_USR_CNTRL10_1_R = new("CHAN_USR_CNTRL10_1", 'h790, this); + this.CHAN_USR_CNTRL11_1_R = new("CHAN_USR_CNTRL11_1", 'h7e8, this); + this.CHAN_USR_CNTRL12_1_R = new("CHAN_USR_CNTRL12_1", 'h840, this); + this.CHAN_USR_CNTRL13_1_R = new("CHAN_USR_CNTRL13_1", 'h898, this); + this.CHAN_USR_CNTRL14_1_R = new("CHAN_USR_CNTRL14_1", 'h8f0, this); + this.CHAN_USR_CNTRL15_1_R = new("CHAN_USR_CNTRL15_1", 'h948, this); + this.CHAN_USR_CNTRL0_2_R = new("CHAN_USR_CNTRL0_2", 'h424, this); + this.CHAN_USR_CNTRL1_2_R = new("CHAN_USR_CNTRL1_2", 'h47c, this); + this.CHAN_USR_CNTRL2_2_R = new("CHAN_USR_CNTRL2_2", 'h4d4, this); + this.CHAN_USR_CNTRL3_2_R = new("CHAN_USR_CNTRL3_2", 'h52c, this); + this.CHAN_USR_CNTRL4_2_R = new("CHAN_USR_CNTRL4_2", 'h584, this); + this.CHAN_USR_CNTRL5_2_R = new("CHAN_USR_CNTRL5_2", 'h5dc, this); + this.CHAN_USR_CNTRL6_2_R = new("CHAN_USR_CNTRL6_2", 'h634, this); + this.CHAN_USR_CNTRL7_2_R = new("CHAN_USR_CNTRL7_2", 'h68c, this); + this.CHAN_USR_CNTRL8_2_R = new("CHAN_USR_CNTRL8_2", 'h6e4, this); + this.CHAN_USR_CNTRL9_2_R = new("CHAN_USR_CNTRL9_2", 'h73c, this); + this.CHAN_USR_CNTRL10_2_R = new("CHAN_USR_CNTRL10_2", 'h794, this); + this.CHAN_USR_CNTRL11_2_R = new("CHAN_USR_CNTRL11_2", 'h7ec, this); + this.CHAN_USR_CNTRL12_2_R = new("CHAN_USR_CNTRL12_2", 'h844, this); + this.CHAN_USR_CNTRL13_2_R = new("CHAN_USR_CNTRL13_2", 'h89c, this); + this.CHAN_USR_CNTRL14_2_R = new("CHAN_USR_CNTRL14_2", 'h8f4, this); + this.CHAN_USR_CNTRL15_2_R = new("CHAN_USR_CNTRL15_2", 'h94c, this); + this.CHAN_CNTRL0_4_R = new("CHAN_CNTRL0_4", 'h428, this); + this.CHAN_CNTRL1_4_R = new("CHAN_CNTRL1_4", 'h480, this); + this.CHAN_CNTRL2_4_R = new("CHAN_CNTRL2_4", 'h4d8, this); + this.CHAN_CNTRL3_4_R = new("CHAN_CNTRL3_4", 'h530, this); + this.CHAN_CNTRL4_4_R = new("CHAN_CNTRL4_4", 'h588, this); + this.CHAN_CNTRL5_4_R = new("CHAN_CNTRL5_4", 'h5e0, this); + this.CHAN_CNTRL6_4_R = new("CHAN_CNTRL6_4", 'h638, this); + this.CHAN_CNTRL7_4_R = new("CHAN_CNTRL7_4", 'h690, this); + this.CHAN_CNTRL8_4_R = new("CHAN_CNTRL8_4", 'h6e8, this); + this.CHAN_CNTRL9_4_R = new("CHAN_CNTRL9_4", 'h740, this); + this.CHAN_CNTRL10_4_R = new("CHAN_CNTRL10_4", 'h798, this); + this.CHAN_CNTRL11_4_R = new("CHAN_CNTRL11_4", 'h7f0, this); + this.CHAN_CNTRL12_4_R = new("CHAN_CNTRL12_4", 'h848, this); + this.CHAN_CNTRL13_4_R = new("CHAN_CNTRL13_4", 'h8a0, this); + this.CHAN_CNTRL14_4_R = new("CHAN_CNTRL14_4", 'h8f8, this); + this.CHAN_CNTRL15_4_R = new("CHAN_CNTRL15_4", 'h950, this); + + this.info($sformatf("Initialized"), ADI_VERBOSITY_HIGH); + endfunction: new + + endclass: adi_regmap_adc + +endpackage: adi_regmap_adc_pkg diff --git a/library/regmaps/adi_regmap_axi_ad3552r_pkg.sv b/library/regmaps/adi_regmap_axi_ad3552r_pkg.sv new file mode 100644 index 00000000..ff177ce3 --- /dev/null +++ b/library/regmaps/adi_regmap_axi_ad3552r_pkg.sv @@ -0,0 +1,192 @@ +// *************************************************************************** +// *************************************************************************** +// Copyright (C) 2014 - 2025 Analog Devices, Inc. All rights reserved. +// +// In this HDL repository, there are many different and unique modules, consisting +// of various HDL (Verilog or VHDL) components. The individual modules are +// developed independently, and may be accompanied by separate and unique license +// terms. +// +// The user should read each of these license terms, and understand the +// freedoms and responsabilities that he or she has by using this source/core. +// +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. +// +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: +// +// 1. The GNU General Public License version 2 as published by the +// Free Software Foundation, which can be found in the top level directory +// of this repository (LICENSE_GPL2), and also online at: +// +// +// OR +// +// 2. An ADI specific BSD license, which can be found in the top level directory +// of this repository (LICENSE_ADIBSD), and also on-line at: +// https://github.com/analogdevicesinc/hdl/blob/main/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. +// +// *************************************************************************** +// *************************************************************************** +/* Auto generated Register Map */ +/* Jan 28 13:30:17 2025 v0.3.55 */ + +package adi_regmap_axi_ad3552r_pkg; + import logger_pkg::*; + import adi_api_pkg::*; + + class adi_regmap_axi_ad3552r extends adi_regmap; + + /* AXI AD3552R DAC Common (axi_ad3552r_dac_common) */ + class CNTRL_1_CLASS extends register_base; + field_base EXT_SYNC_ARM_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.EXT_SYNC_ARM_F = new("EXT_SYNC_ARM", 1, 1, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: CNTRL_1_CLASS + + class CNTRL_2_CLASS extends register_base; + field_base SDR_DDR_N_F; + field_base SYMB_8_16B_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.SDR_DDR_N_F = new("SDR_DDR_N", 16, 16, RW, 'h0, this); + this.SYMB_8_16B_F = new("SYMB_8_16B", 14, 14, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: CNTRL_2_CLASS + + class DAC_CUSTOM_WR_CLASS extends register_base; + field_base DATA_WRITE_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.DATA_WRITE_F = new("DATA_WRITE", 23, 0, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: DAC_CUSTOM_WR_CLASS + + class UI_STATUS_CLASS extends register_base; + field_base IF_BUSY_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.IF_BUSY_F = new("IF_BUSY", 4, 4, RO, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: UI_STATUS_CLASS + + class DAC_CUSTOM_CTRL_CLASS extends register_base; + field_base ADDRESS_F; + field_base STREAM_F; + field_base TRANSFER_DATA_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.ADDRESS_F = new("ADDRESS", 31, 24, RW, 'h0, this); + this.STREAM_F = new("STREAM", 1, 1, RW, 'h0, this); + this.TRANSFER_DATA_F = new("TRANSFER_DATA", 0, 0, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: DAC_CUSTOM_CTRL_CLASS + + /* AXI AD3552R DAC Channel (axi_ad3552r_dac_channel) */ + class CHAN_CNTRL0_7_CLASS extends register_base; + field_base DAC_DDS_SEL_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.DAC_DDS_SEL_F = new("DAC_DDS_SEL", 3, 0, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: CHAN_CNTRL0_7_CLASS + + class CHAN_CNTRL1_7_CLASS extends register_base; + field_base DAC_DDS_SEL_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.DAC_DDS_SEL_F = new("DAC_DDS_SEL", 3, 0, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: CHAN_CNTRL1_7_CLASS + + CNTRL_1_CLASS CNTRL_1_R; + CNTRL_2_CLASS CNTRL_2_R; + DAC_CUSTOM_WR_CLASS DAC_CUSTOM_WR_R; + UI_STATUS_CLASS UI_STATUS_R; + DAC_CUSTOM_CTRL_CLASS DAC_CUSTOM_CTRL_R; + CHAN_CNTRL0_7_CLASS CHAN_CNTRL0_7_R; + CHAN_CNTRL1_7_CLASS CHAN_CNTRL1_7_R; + + function new( + input string name, + input int address, + input adi_api parent = null); + + super.new(name, address, parent); + + this.CNTRL_1_R = new("CNTRL_1", 'h44, this); + this.CNTRL_2_R = new("CNTRL_2", 'h48, this); + this.DAC_CUSTOM_WR_R = new("DAC_CUSTOM_WR", 'h84, this); + this.UI_STATUS_R = new("UI_STATUS", 'h88, this); + this.DAC_CUSTOM_CTRL_R = new("DAC_CUSTOM_CTRL", 'h8c, this); + this.CHAN_CNTRL0_7_R = new("CHAN_CNTRL0_7", 'h400, this); + this.CHAN_CNTRL1_7_R = new("CHAN_CNTRL1_7", 'h458, this); + + this.info($sformatf("Initialized"), ADI_VERBOSITY_HIGH); + endfunction: new + + endclass: adi_regmap_axi_ad3552r + +endpackage: adi_regmap_axi_ad3552r_pkg diff --git a/library/regmaps/adi_regmap_axi_ad7616_pkg.sv b/library/regmaps/adi_regmap_axi_ad7616_pkg.sv index 4ceaf753..aec32896 100644 --- a/library/regmaps/adi_regmap_axi_ad7616_pkg.sv +++ b/library/regmaps/adi_regmap_axi_ad7616_pkg.sv @@ -1,6 +1,6 @@ // *************************************************************************** // *************************************************************************** -// Copyright (C) 2014 - 2023 Analog Devices, Inc. All rights reserved. +// Copyright (C) 2014 - 2025 Analog Devices, Inc. All rights reserved. // // In this HDL repository, there are many different and unique modules, consisting // of various HDL (Verilog or VHDL) components. The individual modules are @@ -33,56 +33,173 @@ // *************************************************************************** // *************************************************************************** /* Auto generated Register Map */ -/* Thu Mar 28 13:19:02 2024 */ +/* Jan 28 13:30:17 2025 v0.3.55 */ package adi_regmap_axi_ad7616_pkg; - import adi_regmap_pkg::*; + import logger_pkg::*; + import adi_api_pkg::*; + class adi_regmap_axi_ad7616 extends adi_regmap; -/* AXI AD7616 (axi_ad7616) */ + /* AXI AD7616 (axi_ad7616) */ + class VERSION_CLASS extends register_base; + field_base VERSION_F; - const reg_t AXI_AD7616_REG_VERSION = '{ 'h0000, "REG_VERSION" , '{ - "VERSION": '{ 31, 0, RO, 'h00001002 }}}; - `define SET_AXI_AD7616_REG_VERSION_VERSION(x) SetField(AXI_AD7616_REG_VERSION,"VERSION",x) - `define GET_AXI_AD7616_REG_VERSION_VERSION(x) GetField(AXI_AD7616_REG_VERSION,"VERSION",x) + function new( + input string name, + input int address, + input adi_regmap parent = null); - const reg_t AXI_AD7616_REG_ID = '{ 'h0004, "REG_ID" , '{ - "ID": '{ 31, 0, RO, 'h00000000 }}}; - `define SET_AXI_AD7616_REG_ID_ID(x) SetField(AXI_AD7616_REG_ID,"ID",x) - `define GET_AXI_AD7616_REG_ID_ID(x) GetField(AXI_AD7616_REG_ID,"ID",x) + super.new(name, address, parent); - const reg_t AXI_AD7616_REG_SCRATCH = '{ 'h0008, "REG_SCRATCH" , '{ - "SCRATCH": '{ 31, 0, RW, 'h00000000 }}}; - `define SET_AXI_AD7616_REG_SCRATCH_SCRATCH(x) SetField(AXI_AD7616_REG_SCRATCH,"SCRATCH",x) - `define GET_AXI_AD7616_REG_SCRATCH_SCRATCH(x) GetField(AXI_AD7616_REG_SCRATCH,"SCRATCH",x) + this.VERSION_F = new("VERSION", 31, 0, RO, 'h1002, this); - const reg_t AXI_AD7616_REG_UP_CNTRL = '{ 'h0040, "REG_UP_CNTRL" , '{ - "CNVST_EN": '{ 1, 1, RW, 'h0 }, - "RESETN": '{ 0, 0, RW, 'h0 }}}; - `define SET_AXI_AD7616_REG_UP_CNTRL_CNVST_EN(x) SetField(AXI_AD7616_REG_UP_CNTRL,"CNVST_EN",x) - `define GET_AXI_AD7616_REG_UP_CNTRL_CNVST_EN(x) GetField(AXI_AD7616_REG_UP_CNTRL,"CNVST_EN",x) - `define SET_AXI_AD7616_REG_UP_CNTRL_RESETN(x) SetField(AXI_AD7616_REG_UP_CNTRL,"RESETN",x) - `define GET_AXI_AD7616_REG_UP_CNTRL_RESETN(x) GetField(AXI_AD7616_REG_UP_CNTRL,"RESETN",x) + this.initialization_done = 1; + endfunction: new + endclass: VERSION_CLASS - const reg_t AXI_AD7616_REG_UP_CONV_RATE = '{ 'h0044, "REG_UP_CONV_RATE" , '{ - "UP_CONV_RATE": '{ 31, 0, RW, 'h00000000 }}}; - `define SET_AXI_AD7616_REG_UP_CONV_RATE_UP_CONV_RATE(x) SetField(AXI_AD7616_REG_UP_CONV_RATE,"UP_CONV_RATE",x) - `define GET_AXI_AD7616_REG_UP_CONV_RATE_UP_CONV_RATE(x) GetField(AXI_AD7616_REG_UP_CONV_RATE,"UP_CONV_RATE",x) + class ID_CLASS extends register_base; + field_base ID_F; - const reg_t AXI_AD7616_REG_UP_BURST_LENGTH = '{ 'h0048, "REG_UP_BURST_LENGTH" , '{ - "UP_BURST_LENGTH": '{ 4, 0, RW, 'h000 }}}; - `define SET_AXI_AD7616_REG_UP_BURST_LENGTH_UP_BURST_LENGTH(x) SetField(AXI_AD7616_REG_UP_BURST_LENGTH,"UP_BURST_LENGTH",x) - `define GET_AXI_AD7616_REG_UP_BURST_LENGTH_UP_BURST_LENGTH(x) GetField(AXI_AD7616_REG_UP_BURST_LENGTH,"UP_BURST_LENGTH",x) + function new( + input string name, + input int address, + input adi_regmap parent = null); - const reg_t AXI_AD7616_REG_UP_READ_DATA = '{ 'h004c, "REG_UP_READ_DATA" , '{ - "UP_READ_DATA": '{ 31, 0, RO, 'h00000000 }}}; - `define SET_AXI_AD7616_REG_UP_READ_DATA_UP_READ_DATA(x) SetField(AXI_AD7616_REG_UP_READ_DATA,"UP_READ_DATA",x) - `define GET_AXI_AD7616_REG_UP_READ_DATA_UP_READ_DATA(x) GetField(AXI_AD7616_REG_UP_READ_DATA,"UP_READ_DATA",x) + super.new(name, address, parent); - const reg_t AXI_AD7616_REG_UP_WRITE_DATA = '{ 'h0050, "REG_UP_WRITE_DATA" , '{ - "UP_WRITE_DATA": '{ 31, 0, WO, 'h00000000 }}}; - `define SET_AXI_AD7616_REG_UP_WRITE_DATA_UP_WRITE_DATA(x) SetField(AXI_AD7616_REG_UP_WRITE_DATA,"UP_WRITE_DATA",x) - `define GET_AXI_AD7616_REG_UP_WRITE_DATA_UP_WRITE_DATA(x) GetField(AXI_AD7616_REG_UP_WRITE_DATA,"UP_WRITE_DATA",x) + this.ID_F = new("ID", 31, 0, RO, 'h0, this); + this.initialization_done = 1; + endfunction: new + endclass: ID_CLASS -endpackage + class SCRATCH_CLASS extends register_base; + field_base SCRATCH_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.SCRATCH_F = new("SCRATCH", 31, 0, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: SCRATCH_CLASS + + class UP_CNTRL_CLASS extends register_base; + field_base CNVST_EN_F; + field_base RESETN_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.CNVST_EN_F = new("CNVST_EN", 1, 1, RW, 'h0, this); + this.RESETN_F = new("RESETN", 0, 0, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: UP_CNTRL_CLASS + + class UP_CONV_RATE_CLASS extends register_base; + field_base UP_CONV_RATE_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.UP_CONV_RATE_F = new("UP_CONV_RATE", 31, 0, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: UP_CONV_RATE_CLASS + + class UP_BURST_LENGTH_CLASS extends register_base; + field_base UP_BURST_LENGTH_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.UP_BURST_LENGTH_F = new("UP_BURST_LENGTH", 4, 0, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: UP_BURST_LENGTH_CLASS + + class UP_READ_DATA_CLASS extends register_base; + field_base UP_READ_DATA_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.UP_READ_DATA_F = new("UP_READ_DATA", 31, 0, RO, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: UP_READ_DATA_CLASS + + class UP_WRITE_DATA_CLASS extends register_base; + field_base UP_WRITE_DATA_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.UP_WRITE_DATA_F = new("UP_WRITE_DATA", 31, 0, WO, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: UP_WRITE_DATA_CLASS + + VERSION_CLASS VERSION_R; + ID_CLASS ID_R; + SCRATCH_CLASS SCRATCH_R; + UP_CNTRL_CLASS UP_CNTRL_R; + UP_CONV_RATE_CLASS UP_CONV_RATE_R; + UP_BURST_LENGTH_CLASS UP_BURST_LENGTH_R; + UP_READ_DATA_CLASS UP_READ_DATA_R; + UP_WRITE_DATA_CLASS UP_WRITE_DATA_R; + + function new( + input string name, + input int address, + input adi_api parent = null); + + super.new(name, address, parent); + + this.VERSION_R = new("VERSION", 'h400, this); + this.ID_R = new("ID", 'h404, this); + this.SCRATCH_R = new("SCRATCH", 'h408, this); + this.UP_CNTRL_R = new("UP_CNTRL", 'h440, this); + this.UP_CONV_RATE_R = new("UP_CONV_RATE", 'h444, this); + this.UP_BURST_LENGTH_R = new("UP_BURST_LENGTH", 'h448, this); + this.UP_READ_DATA_R = new("UP_READ_DATA", 'h44c, this); + this.UP_WRITE_DATA_R = new("UP_WRITE_DATA", 'h450, this); + + this.info($sformatf("Initialized"), ADI_VERBOSITY_HIGH); + endfunction: new + + endclass: adi_regmap_axi_ad7616 + +endpackage: adi_regmap_axi_ad7616_pkg diff --git a/library/regmaps/adi_regmap_axi_adc_decimate_pkg.sv b/library/regmaps/adi_regmap_axi_adc_decimate_pkg.sv new file mode 100644 index 00000000..8ca176e6 --- /dev/null +++ b/library/regmaps/adi_regmap_axi_adc_decimate_pkg.sv @@ -0,0 +1,191 @@ +// *************************************************************************** +// *************************************************************************** +// Copyright (C) 2014 - 2025 Analog Devices, Inc. All rights reserved. +// +// In this HDL repository, there are many different and unique modules, consisting +// of various HDL (Verilog or VHDL) components. The individual modules are +// developed independently, and may be accompanied by separate and unique license +// terms. +// +// The user should read each of these license terms, and understand the +// freedoms and responsabilities that he or she has by using this source/core. +// +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. +// +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: +// +// 1. The GNU General Public License version 2 as published by the +// Free Software Foundation, which can be found in the top level directory +// of this repository (LICENSE_GPL2), and also online at: +// +// +// OR +// +// 2. An ADI specific BSD license, which can be found in the top level directory +// of this repository (LICENSE_ADIBSD), and also on-line at: +// https://github.com/analogdevicesinc/hdl/blob/main/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. +// +// *************************************************************************** +// *************************************************************************** +/* Auto generated Register Map */ +/* Jan 28 13:30:17 2025 v0.3.55 */ + +package adi_regmap_axi_adc_decimate_pkg; + import logger_pkg::*; + import adi_api_pkg::*; + + class adi_regmap_axi_adc_decimate extends adi_regmap; + + /* Analog Decimation (axi_adc_decimate) */ + class VERSION_CLASS extends register_base; + field_base VERSION_MAJOR_F; + field_base VERSION_MINOR_F; + field_base VERSION_PATCH_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.VERSION_MAJOR_F = new("VERSION_MAJOR", 31, 16, RO, 'h1, this); + this.VERSION_MINOR_F = new("VERSION_MINOR", 15, 8, RO, 'h0, this); + this.VERSION_PATCH_F = new("VERSION_PATCH", 7, 0, RO, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: VERSION_CLASS + + class SCRATCH_CLASS extends register_base; + field_base SCRATCH_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.SCRATCH_F = new("SCRATCH", 31, 0, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: SCRATCH_CLASS + + class DECIMATION_RATIO_CLASS extends register_base; + field_base DECIMATION_RATIO_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.DECIMATION_RATIO_F = new("DECIMATION_RATIO", 31, 0, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: DECIMATION_RATIO_CLASS + + class DECIMATION_STAGE_ENABLE_CLASS extends register_base; + field_base FILTERED_DECIMATION_RATIO_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.FILTERED_DECIMATION_RATIO_F = new("FILTERED_DECIMATION_RATIO", 2, 0, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: DECIMATION_STAGE_ENABLE_CLASS + + class CONFIG_CLASS extends register_base; + field_base CORRECTION_ENABLE_B_F; + field_base CORRECTION_ENABLE_A_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.CORRECTION_ENABLE_B_F = new("CORRECTION_ENABLE_B", 1, 1, RW, 'h0, this); + this.CORRECTION_ENABLE_A_F = new("CORRECTION_ENABLE_A", 0, 0, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: CONFIG_CLASS + + class CORRECTION_COEFFICIENT_A_CLASS extends register_base; + field_base CORRECTION_COEFFICIENT_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.CORRECTION_COEFFICIENT_F = new("CORRECTION_COEFFICIENT", 15, 0, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: CORRECTION_COEFFICIENT_A_CLASS + + class CORRECTION_COEFFICIENT_B_CLASS extends register_base; + field_base CORRECTION_COEFFICIENT_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.CORRECTION_COEFFICIENT_F = new("CORRECTION_COEFFICIENT", 15, 0, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: CORRECTION_COEFFICIENT_B_CLASS + + VERSION_CLASS VERSION_R; + SCRATCH_CLASS SCRATCH_R; + DECIMATION_RATIO_CLASS DECIMATION_RATIO_R; + DECIMATION_STAGE_ENABLE_CLASS DECIMATION_STAGE_ENABLE_R; + CONFIG_CLASS CONFIG_R; + CORRECTION_COEFFICIENT_A_CLASS CORRECTION_COEFFICIENT_A_R; + CORRECTION_COEFFICIENT_B_CLASS CORRECTION_COEFFICIENT_B_R; + + function new( + input string name, + input int address, + input adi_api parent = null); + + super.new(name, address, parent); + + this.VERSION_R = new("VERSION", 'h0, this); + this.SCRATCH_R = new("SCRATCH", 'h4, this); + this.DECIMATION_RATIO_R = new("DECIMATION_RATIO", 'h40, this); + this.DECIMATION_STAGE_ENABLE_R = new("DECIMATION_STAGE_ENABLE", 'h44, this); + this.CONFIG_R = new("CONFIG", 'h48, this); + this.CORRECTION_COEFFICIENT_A_R = new("CORRECTION_COEFFICIENT_A", 'h4c, this); + this.CORRECTION_COEFFICIENT_B_R = new("CORRECTION_COEFFICIENT_B", 'h50, this); + + this.info($sformatf("Initialized"), ADI_VERBOSITY_HIGH); + endfunction: new + + endclass: adi_regmap_axi_adc_decimate + +endpackage: adi_regmap_axi_adc_decimate_pkg diff --git a/library/regmaps/adi_regmap_axi_adc_template_pkg.sv b/library/regmaps/adi_regmap_axi_adc_template_pkg.sv new file mode 100644 index 00000000..64617d8d --- /dev/null +++ b/library/regmaps/adi_regmap_axi_adc_template_pkg.sv @@ -0,0 +1,968 @@ +// *************************************************************************** +// *************************************************************************** +// Copyright (C) 2014 - 2025 Analog Devices, Inc. All rights reserved. +// +// In this HDL repository, there are many different and unique modules, consisting +// of various HDL (Verilog or VHDL) components. The individual modules are +// developed independently, and may be accompanied by separate and unique license +// terms. +// +// The user should read each of these license terms, and understand the +// freedoms and responsabilities that he or she has by using this source/core. +// +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. +// +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: +// +// 1. The GNU General Public License version 2 as published by the +// Free Software Foundation, which can be found in the top level directory +// of this repository (LICENSE_GPL2), and also online at: +// +// +// OR +// +// 2. An ADI specific BSD license, which can be found in the top level directory +// of this repository (LICENSE_ADIBSD), and also on-line at: +// https://github.com/analogdevicesinc/hdl/blob/main/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. +// +// *************************************************************************** +// *************************************************************************** +/* Auto generated Register Map */ +/* Jan 28 13:30:17 2025 v0.3.55 */ + +package adi_regmap_axi_adc_template_pkg; + import logger_pkg::*; + import adi_api_pkg::*; + + class adi_regmap_axi_adc_template extends adi_regmap; + + /* AXI TEMPLATE ADC Common (axi_template) */ + class RSTN_CLASS extends register_base; + field_base CE_N_F; + field_base MMCM_RSTN_F; + field_base RSTN_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.CE_N_F = new("CE_N", 2, 2, RW, 'h0, this); + this.MMCM_RSTN_F = new("MMCM_RSTN", 1, 1, RW, 'h0, this); + this.RSTN_F = new("RSTN", 0, 0, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: RSTN_CLASS + + class CNTRL_CLASS extends register_base; + field_base SDR_DDR_N_F; + field_base SYMB_OP_F; + field_base SYMB_8_16B_F; + field_base NUM_LANES_F; + field_base SYNC_F; + field_base R1_MODE_F; + field_base DDR_EDGESEL_F; + field_base PIN_MODE_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.SDR_DDR_N_F = new("SDR_DDR_N", 16, 16, RW, 'h0, this); + this.SYMB_OP_F = new("SYMB_OP", 15, 15, RW, 'h0, this); + this.SYMB_8_16B_F = new("SYMB_8_16B", 14, 14, RW, 'h0, this); + this.NUM_LANES_F = new("NUM_LANES", 12, 8, RW, 'h0, this); + this.SYNC_F = new("SYNC", 3, 3, RW, 'h0, this); + this.R1_MODE_F = new("R1_MODE", 2, 2, RW, 'h0, this); + this.DDR_EDGESEL_F = new("DDR_EDGESEL", 1, 1, RW, 'h0, this); + this.PIN_MODE_F = new("PIN_MODE", 0, 0, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: CNTRL_CLASS + + class CNTRL_2_CLASS extends register_base; + field_base EXT_SYNC_ARM_F; + field_base EXT_SYNC_DISARM_F; + field_base MANUAL_SYNC_REQUEST_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.EXT_SYNC_ARM_F = new("EXT_SYNC_ARM", 1, 1, RW, 'h0, this); + this.EXT_SYNC_DISARM_F = new("EXT_SYNC_DISARM", 2, 2, RW, 'h0, this); + this.MANUAL_SYNC_REQUEST_F = new("MANUAL_SYNC_REQUEST", 8, 8, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: CNTRL_2_CLASS + + class CNTRL_3_CLASS extends register_base; + field_base CRC_EN_F; + field_base CUSTOM_CONTROL_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.CRC_EN_F = new("CRC_EN", 8, 8, RW, 'h0, this); + this.CUSTOM_CONTROL_F = new("CUSTOM_CONTROL", 7, 0, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: CNTRL_3_CLASS + + class CLK_FREQ_CLASS extends register_base; + field_base CLK_FREQ_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.CLK_FREQ_F = new("CLK_FREQ", 31, 0, RO, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: CLK_FREQ_CLASS + + class CLK_RATIO_CLASS extends register_base; + field_base CLK_RATIO_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.CLK_RATIO_F = new("CLK_RATIO", 31, 0, RO, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: CLK_RATIO_CLASS + + class STATUS_CLASS extends register_base; + field_base ADC_CTRL_STATUS_F; + field_base PN_ERR_F; + field_base PN_OOS_F; + field_base OVER_RANGE_F; + field_base STATUS_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.ADC_CTRL_STATUS_F = new("ADC_CTRL_STATUS", 4, 4, RO, 'h0, this); + this.PN_ERR_F = new("PN_ERR", 3, 3, RO, 'h0, this); + this.PN_OOS_F = new("PN_OOS", 2, 2, RO, 'h0, this); + this.OVER_RANGE_F = new("OVER_RANGE", 1, 1, RO, 'h0, this); + this.STATUS_F = new("STATUS", 0, 0, RO, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: STATUS_CLASS + + class SYNC_STATUS_CLASS extends register_base; + field_base ADC_SYNC_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.ADC_SYNC_F = new("ADC_SYNC", 0, 0, RO, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: SYNC_STATUS_CLASS + + class DRP_CNTRL_CLASS extends register_base; + field_base DRP_RWN_F; + field_base DRP_ADDRESS_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.DRP_RWN_F = new("DRP_RWN", 28, 28, RW, 'h0, this); + this.DRP_ADDRESS_F = new("DRP_ADDRESS", 27, 16, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: DRP_CNTRL_CLASS + + class DRP_STATUS_CLASS extends register_base; + field_base DRP_LOCKED_F; + field_base DRP_STATUS_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.DRP_LOCKED_F = new("DRP_LOCKED", 17, 17, RO, 'h0, this); + this.DRP_STATUS_F = new("DRP_STATUS", 16, 16, RO, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: DRP_STATUS_CLASS + + class DRP_WDATA_CLASS extends register_base; + field_base DRP_WDATA_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.DRP_WDATA_F = new("DRP_WDATA", 15, 0, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: DRP_WDATA_CLASS + + class DRP_RDATA_CLASS extends register_base; + field_base DRP_RDATA_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.DRP_RDATA_F = new("DRP_RDATA", 15, 0, RO, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: DRP_RDATA_CLASS + + class ADC_CONFIG_WR_CLASS extends register_base; + field_base ADC_CONFIG_WR_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.ADC_CONFIG_WR_F = new("ADC_CONFIG_WR", 31, 0, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: ADC_CONFIG_WR_CLASS + + class ADC_CONFIG_RD_CLASS extends register_base; + field_base ADC_CONFIG_RD_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.ADC_CONFIG_RD_F = new("ADC_CONFIG_RD", 31, 0, RO, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: ADC_CONFIG_RD_CLASS + + class UI_STATUS_CLASS extends register_base; + field_base UI_OVF_F; + field_base UI_UNF_F; + field_base UI_RESERVED_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.UI_OVF_F = new("UI_OVF", 2, 2, RW1C, 'h0, this); + this.UI_UNF_F = new("UI_UNF", 1, 1, RW1C, 'h0, this); + this.UI_RESERVED_F = new("UI_RESERVED", 0, 0, RW1C, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: UI_STATUS_CLASS + + class ADC_CONFIG_CTRL_CLASS extends register_base; + field_base ADC_CONFIG_CTRL_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.ADC_CONFIG_CTRL_F = new("ADC_CONFIG_CTRL", 31, 0, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: ADC_CONFIG_CTRL_CLASS + + class USR_CNTRL_1_CLASS extends register_base; + field_base USR_CHANMAX_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.USR_CHANMAX_F = new("USR_CHANMAX", 7, 0, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: USR_CNTRL_1_CLASS + + class ADC_START_CODE_CLASS extends register_base; + field_base ADC_START_CODE_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.ADC_START_CODE_F = new("ADC_START_CODE", 31, 0, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: ADC_START_CODE_CLASS + + class ADC_GPIO_IN_CLASS extends register_base; + field_base ADC_GPIO_IN_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.ADC_GPIO_IN_F = new("ADC_GPIO_IN", 31, 0, RO, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: ADC_GPIO_IN_CLASS + + class ADC_GPIO_OUT_CLASS extends register_base; + field_base ADC_GPIO_OUT_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.ADC_GPIO_OUT_F = new("ADC_GPIO_OUT", 31, 0, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: ADC_GPIO_OUT_CLASS + + class PPS_COUNTER_CLASS extends register_base; + field_base PPS_COUNTER_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.PPS_COUNTER_F = new("PPS_COUNTER", 31, 0, RO, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: PPS_COUNTER_CLASS + + class PPS_STATUS_CLASS extends register_base; + field_base PPS_STATUS_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.PPS_STATUS_F = new("PPS_STATUS", 0, 0, RO, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: PPS_STATUS_CLASS + + /* AXI TEMPLATE ADC Channel (axi_template_adc_channel) */ + class CHAN_CNTRLn_CLASS extends register_base; + field_base ADC_LB_OWR_F; + field_base ADC_PN_SEL_OWR_F; + field_base IQCOR_ENB_F; + field_base DCFILT_ENB_F; + field_base FORMAT_SIGNEXT_F; + field_base FORMAT_TYPE_F; + field_base FORMAT_ENABLE_F; + field_base ADC_PN_TYPE_OWR_F; + field_base ENABLE_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.ADC_LB_OWR_F = new("ADC_LB_OWR", 11, 11, RW, 'h0, this); + this.ADC_PN_SEL_OWR_F = new("ADC_PN_SEL_OWR", 10, 10, RW, 'h0, this); + this.IQCOR_ENB_F = new("IQCOR_ENB", 9, 9, RW, 'h0, this); + this.DCFILT_ENB_F = new("DCFILT_ENB", 8, 8, RW, 'h0, this); + this.FORMAT_SIGNEXT_F = new("FORMAT_SIGNEXT", 6, 6, RW, 'h0, this); + this.FORMAT_TYPE_F = new("FORMAT_TYPE", 5, 5, RW, 'h0, this); + this.FORMAT_ENABLE_F = new("FORMAT_ENABLE", 4, 4, RW, 'h0, this); + this.ADC_PN_TYPE_OWR_F = new("ADC_PN_TYPE_OWR", 1, 1, RW, 'h0, this); + this.ENABLE_F = new("ENABLE", 0, 0, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: CHAN_CNTRLn_CLASS + + class CHAN_STATUSn_CLASS extends register_base; + field_base CRC_ERR_F; + field_base STATUS_HEADER_F; + field_base PN_ERR_F; + field_base PN_OOS_F; + field_base OVER_RANGE_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.CRC_ERR_F = new("CRC_ERR", 12, 12, RW1C, 'h0, this); + this.STATUS_HEADER_F = new("STATUS_HEADER", 11, 4, RO, 'h0, this); + this.PN_ERR_F = new("PN_ERR", 2, 2, RW1C, 'h0, this); + this.PN_OOS_F = new("PN_OOS", 1, 1, RW1C, 'h0, this); + this.OVER_RANGE_F = new("OVER_RANGE", 0, 0, RW1C, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: CHAN_STATUSn_CLASS + + class CHAN_RAW_DATAn_CLASS extends register_base; + field_base ADC_READ_DATA_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.ADC_READ_DATA_F = new("ADC_READ_DATA", 31, 0, RO, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: CHAN_RAW_DATAn_CLASS + + class CHAN_CNTRLn_1_CLASS extends register_base; + field_base DCFILT_OFFSET_F; + field_base DCFILT_COEFF_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.DCFILT_OFFSET_F = new("DCFILT_OFFSET", 31, 16, RW, 'h0, this); + this.DCFILT_COEFF_F = new("DCFILT_COEFF", 15, 0, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: CHAN_CNTRLn_1_CLASS + + class CHAN_CNTRLn_2_CLASS extends register_base; + field_base IQCOR_COEFF_1_F; + field_base IQCOR_COEFF_2_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.IQCOR_COEFF_1_F = new("IQCOR_COEFF_1", 31, 16, RW, 'h0, this); + this.IQCOR_COEFF_2_F = new("IQCOR_COEFF_2", 15, 0, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: CHAN_CNTRLn_2_CLASS + + class CHAN_CNTRLn_3_CLASS extends register_base; + field_base ADC_PN_SEL_F; + field_base ADC_DATA_SEL_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.ADC_PN_SEL_F = new("ADC_PN_SEL", 19, 16, RW, 'h0, this); + this.ADC_DATA_SEL_F = new("ADC_DATA_SEL", 3, 0, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: CHAN_CNTRLn_3_CLASS + + class CHAN_USR_CNTRLn_1_CLASS extends register_base; + field_base USR_DATATYPE_BE_F; + field_base USR_DATATYPE_SIGNED_F; + field_base USR_DATATYPE_SHIFT_F; + field_base USR_DATATYPE_TOTAL_BITS_F; + field_base USR_DATATYPE_BITS_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.USR_DATATYPE_BE_F = new("USR_DATATYPE_BE", 25, 25, RO, 'h0, this); + this.USR_DATATYPE_SIGNED_F = new("USR_DATATYPE_SIGNED", 24, 24, RO, 'h0, this); + this.USR_DATATYPE_SHIFT_F = new("USR_DATATYPE_SHIFT", 23, 16, RO, 'h0, this); + this.USR_DATATYPE_TOTAL_BITS_F = new("USR_DATATYPE_TOTAL_BITS", 15, 8, RO, 'h0, this); + this.USR_DATATYPE_BITS_F = new("USR_DATATYPE_BITS", 7, 0, RO, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: CHAN_USR_CNTRLn_1_CLASS + + class CHAN_USR_CNTRLn_2_CLASS extends register_base; + field_base USR_DECIMATION_M_F; + field_base USR_DECIMATION_N_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.USR_DECIMATION_M_F = new("USR_DECIMATION_M", 31, 16, RW, 'h0, this); + this.USR_DECIMATION_N_F = new("USR_DECIMATION_N", 15, 0, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: CHAN_USR_CNTRLn_2_CLASS + + class CHAN_CNTRLn_4_CLASS extends register_base; + field_base SOFTSPAN_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.SOFTSPAN_F = new("SOFTSPAN", 2, 0, RW, 'h7, this); + + this.initialization_done = 1; + endfunction: new + endclass: CHAN_CNTRLn_4_CLASS + + RSTN_CLASS RSTN_R; + CNTRL_CLASS CNTRL_R; + CNTRL_2_CLASS CNTRL_2_R; + CNTRL_3_CLASS CNTRL_3_R; + CLK_FREQ_CLASS CLK_FREQ_R; + CLK_RATIO_CLASS CLK_RATIO_R; + STATUS_CLASS STATUS_R; + SYNC_STATUS_CLASS SYNC_STATUS_R; + DRP_CNTRL_CLASS DRP_CNTRL_R; + DRP_STATUS_CLASS DRP_STATUS_R; + DRP_WDATA_CLASS DRP_WDATA_R; + DRP_RDATA_CLASS DRP_RDATA_R; + ADC_CONFIG_WR_CLASS ADC_CONFIG_WR_R; + ADC_CONFIG_RD_CLASS ADC_CONFIG_RD_R; + UI_STATUS_CLASS UI_STATUS_R; + ADC_CONFIG_CTRL_CLASS ADC_CONFIG_CTRL_R; + USR_CNTRL_1_CLASS USR_CNTRL_1_R; + ADC_START_CODE_CLASS ADC_START_CODE_R; + ADC_GPIO_IN_CLASS ADC_GPIO_IN_R; + ADC_GPIO_OUT_CLASS ADC_GPIO_OUT_R; + PPS_COUNTER_CLASS PPS_COUNTER_R; + PPS_STATUS_CLASS PPS_STATUS_R; + CHAN_CNTRLn_CLASS CHAN_CNTRL0_R; + CHAN_CNTRLn_CLASS CHAN_CNTRL1_R; + CHAN_CNTRLn_CLASS CHAN_CNTRL2_R; + CHAN_CNTRLn_CLASS CHAN_CNTRL3_R; + CHAN_CNTRLn_CLASS CHAN_CNTRL4_R; + CHAN_CNTRLn_CLASS CHAN_CNTRL5_R; + CHAN_CNTRLn_CLASS CHAN_CNTRL6_R; + CHAN_CNTRLn_CLASS CHAN_CNTRL7_R; + CHAN_CNTRLn_CLASS CHAN_CNTRL8_R; + CHAN_CNTRLn_CLASS CHAN_CNTRL9_R; + CHAN_CNTRLn_CLASS CHAN_CNTRL10_R; + CHAN_CNTRLn_CLASS CHAN_CNTRL11_R; + CHAN_CNTRLn_CLASS CHAN_CNTRL12_R; + CHAN_CNTRLn_CLASS CHAN_CNTRL13_R; + CHAN_CNTRLn_CLASS CHAN_CNTRL14_R; + CHAN_CNTRLn_CLASS CHAN_CNTRL15_R; + CHAN_STATUSn_CLASS CHAN_STATUS0_R; + CHAN_STATUSn_CLASS CHAN_STATUS1_R; + CHAN_STATUSn_CLASS CHAN_STATUS2_R; + CHAN_STATUSn_CLASS CHAN_STATUS3_R; + CHAN_STATUSn_CLASS CHAN_STATUS4_R; + CHAN_STATUSn_CLASS CHAN_STATUS5_R; + CHAN_STATUSn_CLASS CHAN_STATUS6_R; + CHAN_STATUSn_CLASS CHAN_STATUS7_R; + CHAN_STATUSn_CLASS CHAN_STATUS8_R; + CHAN_STATUSn_CLASS CHAN_STATUS9_R; + CHAN_STATUSn_CLASS CHAN_STATUS10_R; + CHAN_STATUSn_CLASS CHAN_STATUS11_R; + CHAN_STATUSn_CLASS CHAN_STATUS12_R; + CHAN_STATUSn_CLASS CHAN_STATUS13_R; + CHAN_STATUSn_CLASS CHAN_STATUS14_R; + CHAN_STATUSn_CLASS CHAN_STATUS15_R; + CHAN_RAW_DATAn_CLASS CHAN_RAW_DATA0_R; + CHAN_RAW_DATAn_CLASS CHAN_RAW_DATA1_R; + CHAN_RAW_DATAn_CLASS CHAN_RAW_DATA2_R; + CHAN_RAW_DATAn_CLASS CHAN_RAW_DATA3_R; + CHAN_RAW_DATAn_CLASS CHAN_RAW_DATA4_R; + CHAN_RAW_DATAn_CLASS CHAN_RAW_DATA5_R; + CHAN_RAW_DATAn_CLASS CHAN_RAW_DATA6_R; + CHAN_RAW_DATAn_CLASS CHAN_RAW_DATA7_R; + CHAN_RAW_DATAn_CLASS CHAN_RAW_DATA8_R; + CHAN_RAW_DATAn_CLASS CHAN_RAW_DATA9_R; + CHAN_RAW_DATAn_CLASS CHAN_RAW_DATA10_R; + CHAN_RAW_DATAn_CLASS CHAN_RAW_DATA11_R; + CHAN_RAW_DATAn_CLASS CHAN_RAW_DATA12_R; + CHAN_RAW_DATAn_CLASS CHAN_RAW_DATA13_R; + CHAN_RAW_DATAn_CLASS CHAN_RAW_DATA14_R; + CHAN_RAW_DATAn_CLASS CHAN_RAW_DATA15_R; + CHAN_CNTRLn_1_CLASS CHAN_CNTRL0_1_R; + CHAN_CNTRLn_1_CLASS CHAN_CNTRL1_1_R; + CHAN_CNTRLn_1_CLASS CHAN_CNTRL2_1_R; + CHAN_CNTRLn_1_CLASS CHAN_CNTRL3_1_R; + CHAN_CNTRLn_1_CLASS CHAN_CNTRL4_1_R; + CHAN_CNTRLn_1_CLASS CHAN_CNTRL5_1_R; + CHAN_CNTRLn_1_CLASS CHAN_CNTRL6_1_R; + CHAN_CNTRLn_1_CLASS CHAN_CNTRL7_1_R; + CHAN_CNTRLn_1_CLASS CHAN_CNTRL8_1_R; + CHAN_CNTRLn_1_CLASS CHAN_CNTRL9_1_R; + CHAN_CNTRLn_1_CLASS CHAN_CNTRL10_1_R; + CHAN_CNTRLn_1_CLASS CHAN_CNTRL11_1_R; + CHAN_CNTRLn_1_CLASS CHAN_CNTRL12_1_R; + CHAN_CNTRLn_1_CLASS CHAN_CNTRL13_1_R; + CHAN_CNTRLn_1_CLASS CHAN_CNTRL14_1_R; + CHAN_CNTRLn_1_CLASS CHAN_CNTRL15_1_R; + CHAN_CNTRLn_2_CLASS CHAN_CNTRL0_2_R; + CHAN_CNTRLn_2_CLASS CHAN_CNTRL1_2_R; + CHAN_CNTRLn_2_CLASS CHAN_CNTRL2_2_R; + CHAN_CNTRLn_2_CLASS CHAN_CNTRL3_2_R; + CHAN_CNTRLn_2_CLASS CHAN_CNTRL4_2_R; + CHAN_CNTRLn_2_CLASS CHAN_CNTRL5_2_R; + CHAN_CNTRLn_2_CLASS CHAN_CNTRL6_2_R; + CHAN_CNTRLn_2_CLASS CHAN_CNTRL7_2_R; + CHAN_CNTRLn_2_CLASS CHAN_CNTRL8_2_R; + CHAN_CNTRLn_2_CLASS CHAN_CNTRL9_2_R; + CHAN_CNTRLn_2_CLASS CHAN_CNTRL10_2_R; + CHAN_CNTRLn_2_CLASS CHAN_CNTRL11_2_R; + CHAN_CNTRLn_2_CLASS CHAN_CNTRL12_2_R; + CHAN_CNTRLn_2_CLASS CHAN_CNTRL13_2_R; + CHAN_CNTRLn_2_CLASS CHAN_CNTRL14_2_R; + CHAN_CNTRLn_2_CLASS CHAN_CNTRL15_2_R; + CHAN_CNTRLn_3_CLASS CHAN_CNTRL0_3_R; + CHAN_CNTRLn_3_CLASS CHAN_CNTRL1_3_R; + CHAN_CNTRLn_3_CLASS CHAN_CNTRL2_3_R; + CHAN_CNTRLn_3_CLASS CHAN_CNTRL3_3_R; + CHAN_CNTRLn_3_CLASS CHAN_CNTRL4_3_R; + CHAN_CNTRLn_3_CLASS CHAN_CNTRL5_3_R; + CHAN_CNTRLn_3_CLASS CHAN_CNTRL6_3_R; + CHAN_CNTRLn_3_CLASS CHAN_CNTRL7_3_R; + CHAN_CNTRLn_3_CLASS CHAN_CNTRL8_3_R; + CHAN_CNTRLn_3_CLASS CHAN_CNTRL9_3_R; + CHAN_CNTRLn_3_CLASS CHAN_CNTRL10_3_R; + CHAN_CNTRLn_3_CLASS CHAN_CNTRL11_3_R; + CHAN_CNTRLn_3_CLASS CHAN_CNTRL12_3_R; + CHAN_CNTRLn_3_CLASS CHAN_CNTRL13_3_R; + CHAN_CNTRLn_3_CLASS CHAN_CNTRL14_3_R; + CHAN_CNTRLn_3_CLASS CHAN_CNTRL15_3_R; + CHAN_USR_CNTRLn_1_CLASS CHAN_USR_CNTRL0_1_R; + CHAN_USR_CNTRLn_1_CLASS CHAN_USR_CNTRL1_1_R; + CHAN_USR_CNTRLn_1_CLASS CHAN_USR_CNTRL2_1_R; + CHAN_USR_CNTRLn_1_CLASS CHAN_USR_CNTRL3_1_R; + CHAN_USR_CNTRLn_1_CLASS CHAN_USR_CNTRL4_1_R; + CHAN_USR_CNTRLn_1_CLASS CHAN_USR_CNTRL5_1_R; + CHAN_USR_CNTRLn_1_CLASS CHAN_USR_CNTRL6_1_R; + CHAN_USR_CNTRLn_1_CLASS CHAN_USR_CNTRL7_1_R; + CHAN_USR_CNTRLn_1_CLASS CHAN_USR_CNTRL8_1_R; + CHAN_USR_CNTRLn_1_CLASS CHAN_USR_CNTRL9_1_R; + CHAN_USR_CNTRLn_1_CLASS CHAN_USR_CNTRL10_1_R; + CHAN_USR_CNTRLn_1_CLASS CHAN_USR_CNTRL11_1_R; + CHAN_USR_CNTRLn_1_CLASS CHAN_USR_CNTRL12_1_R; + CHAN_USR_CNTRLn_1_CLASS CHAN_USR_CNTRL13_1_R; + CHAN_USR_CNTRLn_1_CLASS CHAN_USR_CNTRL14_1_R; + CHAN_USR_CNTRLn_1_CLASS CHAN_USR_CNTRL15_1_R; + CHAN_USR_CNTRLn_2_CLASS CHAN_USR_CNTRL0_2_R; + CHAN_USR_CNTRLn_2_CLASS CHAN_USR_CNTRL1_2_R; + CHAN_USR_CNTRLn_2_CLASS CHAN_USR_CNTRL2_2_R; + CHAN_USR_CNTRLn_2_CLASS CHAN_USR_CNTRL3_2_R; + CHAN_USR_CNTRLn_2_CLASS CHAN_USR_CNTRL4_2_R; + CHAN_USR_CNTRLn_2_CLASS CHAN_USR_CNTRL5_2_R; + CHAN_USR_CNTRLn_2_CLASS CHAN_USR_CNTRL6_2_R; + CHAN_USR_CNTRLn_2_CLASS CHAN_USR_CNTRL7_2_R; + CHAN_USR_CNTRLn_2_CLASS CHAN_USR_CNTRL8_2_R; + CHAN_USR_CNTRLn_2_CLASS CHAN_USR_CNTRL9_2_R; + CHAN_USR_CNTRLn_2_CLASS CHAN_USR_CNTRL10_2_R; + CHAN_USR_CNTRLn_2_CLASS CHAN_USR_CNTRL11_2_R; + CHAN_USR_CNTRLn_2_CLASS CHAN_USR_CNTRL12_2_R; + CHAN_USR_CNTRLn_2_CLASS CHAN_USR_CNTRL13_2_R; + CHAN_USR_CNTRLn_2_CLASS CHAN_USR_CNTRL14_2_R; + CHAN_USR_CNTRLn_2_CLASS CHAN_USR_CNTRL15_2_R; + CHAN_CNTRLn_4_CLASS CHAN_CNTRL0_4_R; + CHAN_CNTRLn_4_CLASS CHAN_CNTRL1_4_R; + CHAN_CNTRLn_4_CLASS CHAN_CNTRL2_4_R; + CHAN_CNTRLn_4_CLASS CHAN_CNTRL3_4_R; + CHAN_CNTRLn_4_CLASS CHAN_CNTRL4_4_R; + CHAN_CNTRLn_4_CLASS CHAN_CNTRL5_4_R; + CHAN_CNTRLn_4_CLASS CHAN_CNTRL6_4_R; + CHAN_CNTRLn_4_CLASS CHAN_CNTRL7_4_R; + CHAN_CNTRLn_4_CLASS CHAN_CNTRL8_4_R; + CHAN_CNTRLn_4_CLASS CHAN_CNTRL9_4_R; + CHAN_CNTRLn_4_CLASS CHAN_CNTRL10_4_R; + CHAN_CNTRLn_4_CLASS CHAN_CNTRL11_4_R; + CHAN_CNTRLn_4_CLASS CHAN_CNTRL12_4_R; + CHAN_CNTRLn_4_CLASS CHAN_CNTRL13_4_R; + CHAN_CNTRLn_4_CLASS CHAN_CNTRL14_4_R; + CHAN_CNTRLn_4_CLASS CHAN_CNTRL15_4_R; + + function new( + input string name, + input int address, + input adi_api parent = null); + + super.new(name, address, parent); + + this.RSTN_R = new("RSTN", 'h40, this); + this.CNTRL_R = new("CNTRL", 'h44, this); + this.CNTRL_2_R = new("CNTRL_2", 'h48, this); + this.CNTRL_3_R = new("CNTRL_3", 'h4c, this); + this.CLK_FREQ_R = new("CLK_FREQ", 'h54, this); + this.CLK_RATIO_R = new("CLK_RATIO", 'h58, this); + this.STATUS_R = new("STATUS", 'h5c, this); + this.SYNC_STATUS_R = new("SYNC_STATUS", 'h68, this); + this.DRP_CNTRL_R = new("DRP_CNTRL", 'h70, this); + this.DRP_STATUS_R = new("DRP_STATUS", 'h74, this); + this.DRP_WDATA_R = new("DRP_WDATA", 'h78, this); + this.DRP_RDATA_R = new("DRP_RDATA", 'h7c, this); + this.ADC_CONFIG_WR_R = new("ADC_CONFIG_WR", 'h80, this); + this.ADC_CONFIG_RD_R = new("ADC_CONFIG_RD", 'h84, this); + this.UI_STATUS_R = new("UI_STATUS", 'h88, this); + this.ADC_CONFIG_CTRL_R = new("ADC_CONFIG_CTRL", 'h8c, this); + this.USR_CNTRL_1_R = new("USR_CNTRL_1", 'ha0, this); + this.ADC_START_CODE_R = new("ADC_START_CODE", 'ha4, this); + this.ADC_GPIO_IN_R = new("ADC_GPIO_IN", 'hb8, this); + this.ADC_GPIO_OUT_R = new("ADC_GPIO_OUT", 'hbc, this); + this.PPS_COUNTER_R = new("PPS_COUNTER", 'hc0, this); + this.PPS_STATUS_R = new("PPS_STATUS", 'hc4, this); + this.CHAN_CNTRL0_R = new("CHAN_CNTRL0", 'h400, this); + this.CHAN_CNTRL1_R = new("CHAN_CNTRL1", 'h458, this); + this.CHAN_CNTRL2_R = new("CHAN_CNTRL2", 'h4b0, this); + this.CHAN_CNTRL3_R = new("CHAN_CNTRL3", 'h508, this); + this.CHAN_CNTRL4_R = new("CHAN_CNTRL4", 'h560, this); + this.CHAN_CNTRL5_R = new("CHAN_CNTRL5", 'h5b8, this); + this.CHAN_CNTRL6_R = new("CHAN_CNTRL6", 'h610, this); + this.CHAN_CNTRL7_R = new("CHAN_CNTRL7", 'h668, this); + this.CHAN_CNTRL8_R = new("CHAN_CNTRL8", 'h6c0, this); + this.CHAN_CNTRL9_R = new("CHAN_CNTRL9", 'h718, this); + this.CHAN_CNTRL10_R = new("CHAN_CNTRL10", 'h770, this); + this.CHAN_CNTRL11_R = new("CHAN_CNTRL11", 'h7c8, this); + this.CHAN_CNTRL12_R = new("CHAN_CNTRL12", 'h820, this); + this.CHAN_CNTRL13_R = new("CHAN_CNTRL13", 'h878, this); + this.CHAN_CNTRL14_R = new("CHAN_CNTRL14", 'h8d0, this); + this.CHAN_CNTRL15_R = new("CHAN_CNTRL15", 'h928, this); + this.CHAN_STATUS0_R = new("CHAN_STATUS0", 'h404, this); + this.CHAN_STATUS1_R = new("CHAN_STATUS1", 'h45c, this); + this.CHAN_STATUS2_R = new("CHAN_STATUS2", 'h4b4, this); + this.CHAN_STATUS3_R = new("CHAN_STATUS3", 'h50c, this); + this.CHAN_STATUS4_R = new("CHAN_STATUS4", 'h564, this); + this.CHAN_STATUS5_R = new("CHAN_STATUS5", 'h5bc, this); + this.CHAN_STATUS6_R = new("CHAN_STATUS6", 'h614, this); + this.CHAN_STATUS7_R = new("CHAN_STATUS7", 'h66c, this); + this.CHAN_STATUS8_R = new("CHAN_STATUS8", 'h6c4, this); + this.CHAN_STATUS9_R = new("CHAN_STATUS9", 'h71c, this); + this.CHAN_STATUS10_R = new("CHAN_STATUS10", 'h774, this); + this.CHAN_STATUS11_R = new("CHAN_STATUS11", 'h7cc, this); + this.CHAN_STATUS12_R = new("CHAN_STATUS12", 'h824, this); + this.CHAN_STATUS13_R = new("CHAN_STATUS13", 'h87c, this); + this.CHAN_STATUS14_R = new("CHAN_STATUS14", 'h8d4, this); + this.CHAN_STATUS15_R = new("CHAN_STATUS15", 'h92c, this); + this.CHAN_RAW_DATA0_R = new("CHAN_RAW_DATA0", 'h408, this); + this.CHAN_RAW_DATA1_R = new("CHAN_RAW_DATA1", 'h460, this); + this.CHAN_RAW_DATA2_R = new("CHAN_RAW_DATA2", 'h4b8, this); + this.CHAN_RAW_DATA3_R = new("CHAN_RAW_DATA3", 'h510, this); + this.CHAN_RAW_DATA4_R = new("CHAN_RAW_DATA4", 'h568, this); + this.CHAN_RAW_DATA5_R = new("CHAN_RAW_DATA5", 'h5c0, this); + this.CHAN_RAW_DATA6_R = new("CHAN_RAW_DATA6", 'h618, this); + this.CHAN_RAW_DATA7_R = new("CHAN_RAW_DATA7", 'h670, this); + this.CHAN_RAW_DATA8_R = new("CHAN_RAW_DATA8", 'h6c8, this); + this.CHAN_RAW_DATA9_R = new("CHAN_RAW_DATA9", 'h720, this); + this.CHAN_RAW_DATA10_R = new("CHAN_RAW_DATA10", 'h778, this); + this.CHAN_RAW_DATA11_R = new("CHAN_RAW_DATA11", 'h7d0, this); + this.CHAN_RAW_DATA12_R = new("CHAN_RAW_DATA12", 'h828, this); + this.CHAN_RAW_DATA13_R = new("CHAN_RAW_DATA13", 'h880, this); + this.CHAN_RAW_DATA14_R = new("CHAN_RAW_DATA14", 'h8d8, this); + this.CHAN_RAW_DATA15_R = new("CHAN_RAW_DATA15", 'h930, this); + this.CHAN_CNTRL0_1_R = new("CHAN_CNTRL0_1", 'h410, this); + this.CHAN_CNTRL1_1_R = new("CHAN_CNTRL1_1", 'h468, this); + this.CHAN_CNTRL2_1_R = new("CHAN_CNTRL2_1", 'h4c0, this); + this.CHAN_CNTRL3_1_R = new("CHAN_CNTRL3_1", 'h518, this); + this.CHAN_CNTRL4_1_R = new("CHAN_CNTRL4_1", 'h570, this); + this.CHAN_CNTRL5_1_R = new("CHAN_CNTRL5_1", 'h5c8, this); + this.CHAN_CNTRL6_1_R = new("CHAN_CNTRL6_1", 'h620, this); + this.CHAN_CNTRL7_1_R = new("CHAN_CNTRL7_1", 'h678, this); + this.CHAN_CNTRL8_1_R = new("CHAN_CNTRL8_1", 'h6d0, this); + this.CHAN_CNTRL9_1_R = new("CHAN_CNTRL9_1", 'h728, this); + this.CHAN_CNTRL10_1_R = new("CHAN_CNTRL10_1", 'h780, this); + this.CHAN_CNTRL11_1_R = new("CHAN_CNTRL11_1", 'h7d8, this); + this.CHAN_CNTRL12_1_R = new("CHAN_CNTRL12_1", 'h830, this); + this.CHAN_CNTRL13_1_R = new("CHAN_CNTRL13_1", 'h888, this); + this.CHAN_CNTRL14_1_R = new("CHAN_CNTRL14_1", 'h8e0, this); + this.CHAN_CNTRL15_1_R = new("CHAN_CNTRL15_1", 'h938, this); + this.CHAN_CNTRL0_2_R = new("CHAN_CNTRL0_2", 'h414, this); + this.CHAN_CNTRL1_2_R = new("CHAN_CNTRL1_2", 'h46c, this); + this.CHAN_CNTRL2_2_R = new("CHAN_CNTRL2_2", 'h4c4, this); + this.CHAN_CNTRL3_2_R = new("CHAN_CNTRL3_2", 'h51c, this); + this.CHAN_CNTRL4_2_R = new("CHAN_CNTRL4_2", 'h574, this); + this.CHAN_CNTRL5_2_R = new("CHAN_CNTRL5_2", 'h5cc, this); + this.CHAN_CNTRL6_2_R = new("CHAN_CNTRL6_2", 'h624, this); + this.CHAN_CNTRL7_2_R = new("CHAN_CNTRL7_2", 'h67c, this); + this.CHAN_CNTRL8_2_R = new("CHAN_CNTRL8_2", 'h6d4, this); + this.CHAN_CNTRL9_2_R = new("CHAN_CNTRL9_2", 'h72c, this); + this.CHAN_CNTRL10_2_R = new("CHAN_CNTRL10_2", 'h784, this); + this.CHAN_CNTRL11_2_R = new("CHAN_CNTRL11_2", 'h7dc, this); + this.CHAN_CNTRL12_2_R = new("CHAN_CNTRL12_2", 'h834, this); + this.CHAN_CNTRL13_2_R = new("CHAN_CNTRL13_2", 'h88c, this); + this.CHAN_CNTRL14_2_R = new("CHAN_CNTRL14_2", 'h8e4, this); + this.CHAN_CNTRL15_2_R = new("CHAN_CNTRL15_2", 'h93c, this); + this.CHAN_CNTRL0_3_R = new("CHAN_CNTRL0_3", 'h418, this); + this.CHAN_CNTRL1_3_R = new("CHAN_CNTRL1_3", 'h470, this); + this.CHAN_CNTRL2_3_R = new("CHAN_CNTRL2_3", 'h4c8, this); + this.CHAN_CNTRL3_3_R = new("CHAN_CNTRL3_3", 'h520, this); + this.CHAN_CNTRL4_3_R = new("CHAN_CNTRL4_3", 'h578, this); + this.CHAN_CNTRL5_3_R = new("CHAN_CNTRL5_3", 'h5d0, this); + this.CHAN_CNTRL6_3_R = new("CHAN_CNTRL6_3", 'h628, this); + this.CHAN_CNTRL7_3_R = new("CHAN_CNTRL7_3", 'h680, this); + this.CHAN_CNTRL8_3_R = new("CHAN_CNTRL8_3", 'h6d8, this); + this.CHAN_CNTRL9_3_R = new("CHAN_CNTRL9_3", 'h730, this); + this.CHAN_CNTRL10_3_R = new("CHAN_CNTRL10_3", 'h788, this); + this.CHAN_CNTRL11_3_R = new("CHAN_CNTRL11_3", 'h7e0, this); + this.CHAN_CNTRL12_3_R = new("CHAN_CNTRL12_3", 'h838, this); + this.CHAN_CNTRL13_3_R = new("CHAN_CNTRL13_3", 'h890, this); + this.CHAN_CNTRL14_3_R = new("CHAN_CNTRL14_3", 'h8e8, this); + this.CHAN_CNTRL15_3_R = new("CHAN_CNTRL15_3", 'h940, this); + this.CHAN_USR_CNTRL0_1_R = new("CHAN_USR_CNTRL0_1", 'h420, this); + this.CHAN_USR_CNTRL1_1_R = new("CHAN_USR_CNTRL1_1", 'h478, this); + this.CHAN_USR_CNTRL2_1_R = new("CHAN_USR_CNTRL2_1", 'h4d0, this); + this.CHAN_USR_CNTRL3_1_R = new("CHAN_USR_CNTRL3_1", 'h528, this); + this.CHAN_USR_CNTRL4_1_R = new("CHAN_USR_CNTRL4_1", 'h580, this); + this.CHAN_USR_CNTRL5_1_R = new("CHAN_USR_CNTRL5_1", 'h5d8, this); + this.CHAN_USR_CNTRL6_1_R = new("CHAN_USR_CNTRL6_1", 'h630, this); + this.CHAN_USR_CNTRL7_1_R = new("CHAN_USR_CNTRL7_1", 'h688, this); + this.CHAN_USR_CNTRL8_1_R = new("CHAN_USR_CNTRL8_1", 'h6e0, this); + this.CHAN_USR_CNTRL9_1_R = new("CHAN_USR_CNTRL9_1", 'h738, this); + this.CHAN_USR_CNTRL10_1_R = new("CHAN_USR_CNTRL10_1", 'h790, this); + this.CHAN_USR_CNTRL11_1_R = new("CHAN_USR_CNTRL11_1", 'h7e8, this); + this.CHAN_USR_CNTRL12_1_R = new("CHAN_USR_CNTRL12_1", 'h840, this); + this.CHAN_USR_CNTRL13_1_R = new("CHAN_USR_CNTRL13_1", 'h898, this); + this.CHAN_USR_CNTRL14_1_R = new("CHAN_USR_CNTRL14_1", 'h8f0, this); + this.CHAN_USR_CNTRL15_1_R = new("CHAN_USR_CNTRL15_1", 'h948, this); + this.CHAN_USR_CNTRL0_2_R = new("CHAN_USR_CNTRL0_2", 'h424, this); + this.CHAN_USR_CNTRL1_2_R = new("CHAN_USR_CNTRL1_2", 'h47c, this); + this.CHAN_USR_CNTRL2_2_R = new("CHAN_USR_CNTRL2_2", 'h4d4, this); + this.CHAN_USR_CNTRL3_2_R = new("CHAN_USR_CNTRL3_2", 'h52c, this); + this.CHAN_USR_CNTRL4_2_R = new("CHAN_USR_CNTRL4_2", 'h584, this); + this.CHAN_USR_CNTRL5_2_R = new("CHAN_USR_CNTRL5_2", 'h5dc, this); + this.CHAN_USR_CNTRL6_2_R = new("CHAN_USR_CNTRL6_2", 'h634, this); + this.CHAN_USR_CNTRL7_2_R = new("CHAN_USR_CNTRL7_2", 'h68c, this); + this.CHAN_USR_CNTRL8_2_R = new("CHAN_USR_CNTRL8_2", 'h6e4, this); + this.CHAN_USR_CNTRL9_2_R = new("CHAN_USR_CNTRL9_2", 'h73c, this); + this.CHAN_USR_CNTRL10_2_R = new("CHAN_USR_CNTRL10_2", 'h794, this); + this.CHAN_USR_CNTRL11_2_R = new("CHAN_USR_CNTRL11_2", 'h7ec, this); + this.CHAN_USR_CNTRL12_2_R = new("CHAN_USR_CNTRL12_2", 'h844, this); + this.CHAN_USR_CNTRL13_2_R = new("CHAN_USR_CNTRL13_2", 'h89c, this); + this.CHAN_USR_CNTRL14_2_R = new("CHAN_USR_CNTRL14_2", 'h8f4, this); + this.CHAN_USR_CNTRL15_2_R = new("CHAN_USR_CNTRL15_2", 'h94c, this); + this.CHAN_CNTRL0_4_R = new("CHAN_CNTRL0_4", 'h428, this); + this.CHAN_CNTRL1_4_R = new("CHAN_CNTRL1_4", 'h480, this); + this.CHAN_CNTRL2_4_R = new("CHAN_CNTRL2_4", 'h4d8, this); + this.CHAN_CNTRL3_4_R = new("CHAN_CNTRL3_4", 'h530, this); + this.CHAN_CNTRL4_4_R = new("CHAN_CNTRL4_4", 'h588, this); + this.CHAN_CNTRL5_4_R = new("CHAN_CNTRL5_4", 'h5e0, this); + this.CHAN_CNTRL6_4_R = new("CHAN_CNTRL6_4", 'h638, this); + this.CHAN_CNTRL7_4_R = new("CHAN_CNTRL7_4", 'h690, this); + this.CHAN_CNTRL8_4_R = new("CHAN_CNTRL8_4", 'h6e8, this); + this.CHAN_CNTRL9_4_R = new("CHAN_CNTRL9_4", 'h740, this); + this.CHAN_CNTRL10_4_R = new("CHAN_CNTRL10_4", 'h798, this); + this.CHAN_CNTRL11_4_R = new("CHAN_CNTRL11_4", 'h7f0, this); + this.CHAN_CNTRL12_4_R = new("CHAN_CNTRL12_4", 'h848, this); + this.CHAN_CNTRL13_4_R = new("CHAN_CNTRL13_4", 'h8a0, this); + this.CHAN_CNTRL14_4_R = new("CHAN_CNTRL14_4", 'h8f8, this); + this.CHAN_CNTRL15_4_R = new("CHAN_CNTRL15_4", 'h950, this); + + this.info($sformatf("Initialized"), ADI_VERBOSITY_HIGH); + endfunction: new + + endclass: adi_regmap_axi_adc_template + +endpackage: adi_regmap_axi_adc_template_pkg diff --git a/library/regmaps/adi_regmap_axi_adc_trigger_pkg.sv b/library/regmaps/adi_regmap_axi_adc_trigger_pkg.sv new file mode 100644 index 00000000..cf4f250a --- /dev/null +++ b/library/regmaps/adi_regmap_axi_adc_trigger_pkg.sv @@ -0,0 +1,437 @@ +// *************************************************************************** +// *************************************************************************** +// Copyright (C) 2014 - 2025 Analog Devices, Inc. All rights reserved. +// +// In this HDL repository, there are many different and unique modules, consisting +// of various HDL (Verilog or VHDL) components. The individual modules are +// developed independently, and may be accompanied by separate and unique license +// terms. +// +// The user should read each of these license terms, and understand the +// freedoms and responsabilities that he or she has by using this source/core. +// +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. +// +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: +// +// 1. The GNU General Public License version 2 as published by the +// Free Software Foundation, which can be found in the top level directory +// of this repository (LICENSE_GPL2), and also online at: +// +// +// OR +// +// 2. An ADI specific BSD license, which can be found in the top level directory +// of this repository (LICENSE_ADIBSD), and also on-line at: +// https://github.com/analogdevicesinc/hdl/blob/main/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. +// +// *************************************************************************** +// *************************************************************************** +/* Auto generated Register Map */ +/* Jan 28 13:30:17 2025 v0.3.55 */ + +package adi_regmap_axi_adc_trigger_pkg; + import logger_pkg::*; + import adi_api_pkg::*; + + class adi_regmap_axi_adc_trigger extends adi_regmap; + + /* AXI ADC Trigger (axi_adc_trigger) */ + class VERSION_CLASS extends register_base; + field_base VERSION_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.VERSION_F = new("VERSION", 31, 0, RO, 'h30000, this); + + this.initialization_done = 1; + endfunction: new + endclass: VERSION_CLASS + + class SCRATCH_CLASS extends register_base; + field_base SCRATCH_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.SCRATCH_F = new("SCRATCH", 31, 0, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: SCRATCH_CLASS + + class TRIGGER_O_CLASS extends register_base; + field_base TRIGGER_O_1_F; + field_base TRIGGER_O_0_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.TRIGGER_O_1_F = new("TRIGGER_O_1", 1, 1, RW, 'h0, this); + this.TRIGGER_O_0_F = new("TRIGGER_O_0", 0, 0, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: TRIGGER_O_CLASS + + class IO_SELECTION_CLASS extends register_base; + field_base TRIGGER_O_1_F; + field_base TRIGGER_O_0_F; + field_base IO_SELECTION_1_F; + field_base IO_SELECTION_0_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.TRIGGER_O_1_F = new("TRIGGER_O_1", 7, 5, RW, 'h0, this); + this.TRIGGER_O_0_F = new("TRIGGER_O_0", 4, 2, RW, 'h0, this); + this.IO_SELECTION_1_F = new("IO_SELECTION_1", 1, 1, RW, 'h0, this); + this.IO_SELECTION_0_F = new("IO_SELECTION_0", 0, 0, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: IO_SELECTION_CLASS + + class CONFIG_TRIGGER_I_CLASS extends register_base; + field_base FALL_EDGE_F; + field_base RISE_EDGE_F; + field_base ANY_EDGE_F; + field_base HIGH_LEVEL_F; + field_base LOW_LEVEL_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.FALL_EDGE_F = new("FALL_EDGE", 9, 8, RW, 'h0, this); + this.RISE_EDGE_F = new("RISE_EDGE", 7, 6, RW, 'h0, this); + this.ANY_EDGE_F = new("ANY_EDGE", 5, 4, RW, 'h0, this); + this.HIGH_LEVEL_F = new("HIGH_LEVEL", 3, 2, RW, 'h0, this); + this.LOW_LEVEL_F = new("LOW_LEVEL", 1, 0, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: CONFIG_TRIGGER_I_CLASS + + class LIMIT_A_CLASS extends register_base; + field_base LIMIT_A_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.LIMIT_A_F = new("LIMIT_A", 15, 0, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: LIMIT_A_CLASS + + class FUNCTION_A_CLASS extends register_base; + field_base TRIGGER_FUNCTION_A_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.TRIGGER_FUNCTION_A_F = new("TRIGGER_FUNCTION_A", 1, 0, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: FUNCTION_A_CLASS + + class HYSTERESIS_A_CLASS extends register_base; + field_base HYSTERESIS_A_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.HYSTERESIS_A_F = new("HYSTERESIS_A", 31, 0, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: HYSTERESIS_A_CLASS + + class TRIGGER_MUX_A_CLASS extends register_base; + field_base TRIGGER_MUX_A_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.TRIGGER_MUX_A_F = new("TRIGGER_MUX_A", 3, 0, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: TRIGGER_MUX_A_CLASS + + class LIMIT_B_CLASS extends register_base; + field_base LIMIT_B_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.LIMIT_B_F = new("LIMIT_B", 15, 0, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: LIMIT_B_CLASS + + class FUNCTION_B_CLASS extends register_base; + field_base TRIGGER_FUNCTION_B_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.TRIGGER_FUNCTION_B_F = new("TRIGGER_FUNCTION_B", 1, 0, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: FUNCTION_B_CLASS + + class HYSTERESIS_B_CLASS extends register_base; + field_base HYSTERESIS_B_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.HYSTERESIS_B_F = new("HYSTERESIS_B", 31, 0, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: HYSTERESIS_B_CLASS + + class TRIGGER_MUX_B_CLASS extends register_base; + field_base TRIGGER_MUX_B_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.TRIGGER_MUX_B_F = new("TRIGGER_MUX_B", 3, 0, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: TRIGGER_MUX_B_CLASS + + class TRIGGER_OUT_CONTROL_CLASS extends register_base; + field_base EMBEDDED_TRIGGER_F; + field_base TRIGGER_MUX_OUT_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.EMBEDDED_TRIGGER_F = new("EMBEDDED_TRIGGER", 16, 16, RW, 'h0, this); + this.TRIGGER_MUX_OUT_F = new("TRIGGER_MUX_OUT", 3, 0, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: TRIGGER_OUT_CONTROL_CLASS + + class FIFO_DEPTH_CLASS extends register_base; + field_base FIFO_DEPTH_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.FIFO_DEPTH_F = new("FIFO_DEPTH", 31, 0, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: FIFO_DEPTH_CLASS + + class TRIGGERED_CLASS extends register_base; + field_base TRIGGERED_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.TRIGGERED_F = new("TRIGGERED", 1, 1, RW1C, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: TRIGGERED_CLASS + + class TRIGGER_DELAY_CLASS extends register_base; + field_base TRIGGER_DELAY_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.TRIGGER_DELAY_F = new("TRIGGER_DELAY", 31, 0, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: TRIGGER_DELAY_CLASS + + class STREAMING_CLASS extends register_base; + field_base STREAMING_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.STREAMING_F = new("STREAMING", 0, 0, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: STREAMING_CLASS + + class TRIGGER_HOLDOFF_CLASS extends register_base; + field_base TRIGGER_HOLDOFF_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.TRIGGER_HOLDOFF_F = new("TRIGGER_HOLDOFF", 31, 0, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: TRIGGER_HOLDOFF_CLASS + + class TRIGGER_OUT_HOLD_PINS_CLASS extends register_base; + field_base TRIGGER_OUT_HOLD_PINS_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.TRIGGER_OUT_HOLD_PINS_F = new("TRIGGER_OUT_HOLD_PINS", 1, 1, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: TRIGGER_OUT_HOLD_PINS_CLASS + + VERSION_CLASS VERSION_R; + SCRATCH_CLASS SCRATCH_R; + TRIGGER_O_CLASS TRIGGER_O_R; + IO_SELECTION_CLASS IO_SELECTION_R; + CONFIG_TRIGGER_I_CLASS CONFIG_TRIGGER_I_R; + LIMIT_A_CLASS LIMIT_A_R; + FUNCTION_A_CLASS FUNCTION_A_R; + HYSTERESIS_A_CLASS HYSTERESIS_A_R; + TRIGGER_MUX_A_CLASS TRIGGER_MUX_A_R; + LIMIT_B_CLASS LIMIT_B_R; + FUNCTION_B_CLASS FUNCTION_B_R; + HYSTERESIS_B_CLASS HYSTERESIS_B_R; + TRIGGER_MUX_B_CLASS TRIGGER_MUX_B_R; + TRIGGER_OUT_CONTROL_CLASS TRIGGER_OUT_CONTROL_R; + FIFO_DEPTH_CLASS FIFO_DEPTH_R; + TRIGGERED_CLASS TRIGGERED_R; + TRIGGER_DELAY_CLASS TRIGGER_DELAY_R; + STREAMING_CLASS STREAMING_R; + TRIGGER_HOLDOFF_CLASS TRIGGER_HOLDOFF_R; + TRIGGER_OUT_HOLD_PINS_CLASS TRIGGER_OUT_HOLD_PINS_R; + + function new( + input string name, + input int address, + input adi_api parent = null); + + super.new(name, address, parent); + + this.VERSION_R = new("VERSION", 'h0, this); + this.SCRATCH_R = new("SCRATCH", 'h4, this); + this.TRIGGER_O_R = new("TRIGGER_O", 'h8, this); + this.IO_SELECTION_R = new("IO_SELECTION", 'hc, this); + this.CONFIG_TRIGGER_I_R = new("CONFIG_TRIGGER_I", 'h10, this); + this.LIMIT_A_R = new("LIMIT_A", 'h14, this); + this.FUNCTION_A_R = new("FUNCTION_A", 'h18, this); + this.HYSTERESIS_A_R = new("HYSTERESIS_A", 'h1c, this); + this.TRIGGER_MUX_A_R = new("TRIGGER_MUX_A", 'h20, this); + this.LIMIT_B_R = new("LIMIT_B", 'h24, this); + this.FUNCTION_B_R = new("FUNCTION_B", 'h28, this); + this.HYSTERESIS_B_R = new("HYSTERESIS_B", 'h2c, this); + this.TRIGGER_MUX_B_R = new("TRIGGER_MUX_B", 'h30, this); + this.TRIGGER_OUT_CONTROL_R = new("TRIGGER_OUT_CONTROL", 'h34, this); + this.FIFO_DEPTH_R = new("FIFO_DEPTH", 'h38, this); + this.TRIGGERED_R = new("TRIGGERED", 'h3c, this); + this.TRIGGER_DELAY_R = new("TRIGGER_DELAY", 'h40, this); + this.STREAMING_R = new("STREAMING", 'h44, this); + this.TRIGGER_HOLDOFF_R = new("TRIGGER_HOLDOFF", 'h48, this); + this.TRIGGER_OUT_HOLD_PINS_R = new("TRIGGER_OUT_HOLD_PINS", 'h4c, this); + + this.info($sformatf("Initialized"), ADI_VERBOSITY_HIGH); + endfunction: new + + endclass: adi_regmap_axi_adc_trigger + +endpackage: adi_regmap_axi_adc_trigger_pkg diff --git a/library/regmaps/adi_regmap_axi_dac_template_pkg.sv b/library/regmaps/adi_regmap_axi_dac_template_pkg.sv new file mode 100644 index 00000000..1d933030 --- /dev/null +++ b/library/regmaps/adi_regmap_axi_dac_template_pkg.sv @@ -0,0 +1,1124 @@ +// *************************************************************************** +// *************************************************************************** +// Copyright (C) 2014 - 2025 Analog Devices, Inc. All rights reserved. +// +// In this HDL repository, there are many different and unique modules, consisting +// of various HDL (Verilog or VHDL) components. The individual modules are +// developed independently, and may be accompanied by separate and unique license +// terms. +// +// The user should read each of these license terms, and understand the +// freedoms and responsabilities that he or she has by using this source/core. +// +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. +// +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: +// +// 1. The GNU General Public License version 2 as published by the +// Free Software Foundation, which can be found in the top level directory +// of this repository (LICENSE_GPL2), and also online at: +// +// +// OR +// +// 2. An ADI specific BSD license, which can be found in the top level directory +// of this repository (LICENSE_ADIBSD), and also on-line at: +// https://github.com/analogdevicesinc/hdl/blob/main/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. +// +// *************************************************************************** +// *************************************************************************** +/* Auto generated Register Map */ +/* Jan 28 13:30:17 2025 v0.3.55 */ + +package adi_regmap_axi_dac_template_pkg; + import logger_pkg::*; + import adi_api_pkg::*; + + class adi_regmap_axi_dac_template extends adi_regmap; + + /* AXI TEMPLATE DAC Common (axi_template) */ + class RSTN_CLASS extends register_base; + field_base CE_N_F; + field_base MMCM_RSTN_F; + field_base RSTN_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.CE_N_F = new("CE_N", 2, 2, RW, 'h0, this); + this.MMCM_RSTN_F = new("MMCM_RSTN", 1, 1, RW, 'h0, this); + this.RSTN_F = new("RSTN", 0, 0, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: RSTN_CLASS + + class CNTRL_1_CLASS extends register_base; + field_base SYNC_F; + field_base EXT_SYNC_ARM_F; + field_base EXT_SYNC_DISARM_F; + field_base MANUAL_SYNC_REQUEST_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.SYNC_F = new("SYNC", 0, 0, RW, 'h0, this); + this.EXT_SYNC_ARM_F = new("EXT_SYNC_ARM", 1, 1, RW, 'h0, this); + this.EXT_SYNC_DISARM_F = new("EXT_SYNC_DISARM", 2, 2, RW, 'h0, this); + this.MANUAL_SYNC_REQUEST_F = new("MANUAL_SYNC_REQUEST", 8, 8, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: CNTRL_1_CLASS + + class CNTRL_2_CLASS extends register_base; + field_base SDR_DDR_N_F; + field_base SYMB_OP_F; + field_base SYMB_8_16B_F; + field_base NUM_LANES_F; + field_base PAR_TYPE_F; + field_base PAR_ENB_F; + field_base R1_MODE_F; + field_base DATA_FORMAT_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.SDR_DDR_N_F = new("SDR_DDR_N", 16, 16, RW, 'h0, this); + this.SYMB_OP_F = new("SYMB_OP", 15, 15, RW, 'h0, this); + this.SYMB_8_16B_F = new("SYMB_8_16B", 14, 14, RW, 'h0, this); + this.NUM_LANES_F = new("NUM_LANES", 12, 8, RW, 'h0, this); + this.PAR_TYPE_F = new("PAR_TYPE", 7, 7, RW, 'h0, this); + this.PAR_ENB_F = new("PAR_ENB", 6, 6, RW, 'h0, this); + this.R1_MODE_F = new("R1_MODE", 5, 5, RW, 'h0, this); + this.DATA_FORMAT_F = new("DATA_FORMAT", 4, 4, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: CNTRL_2_CLASS + + class RATECNTRL_CLASS extends register_base; + field_base RATE_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.RATE_F = new("RATE", 7, 0, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: RATECNTRL_CLASS + + class FRAME_CLASS extends register_base; + field_base FRAME_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.FRAME_F = new("FRAME", 0, 0, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: FRAME_CLASS + + class STATUS1_CLASS extends register_base; + field_base CLK_FREQ_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.CLK_FREQ_F = new("CLK_FREQ", 31, 0, RO, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: STATUS1_CLASS + + class STATUS2_CLASS extends register_base; + field_base CLK_RATIO_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.CLK_RATIO_F = new("CLK_RATIO", 31, 0, RO, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: STATUS2_CLASS + + class STATUS3_CLASS extends register_base; + field_base STATUS_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.STATUS_F = new("STATUS", 0, 0, RO, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: STATUS3_CLASS + + class DAC_CLKSEL_CLASS extends register_base; + field_base DAC_CLKSEL_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.DAC_CLKSEL_F = new("DAC_CLKSEL", 0, 0, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: DAC_CLKSEL_CLASS + + class SYNC_STATUS_CLASS extends register_base; + field_base DAC_SYNC_STATUS_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.DAC_SYNC_STATUS_F = new("DAC_SYNC_STATUS", 0, 0, RO, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: SYNC_STATUS_CLASS + + class DRP_CNTRL_CLASS extends register_base; + field_base DRP_RWN_F; + field_base DRP_ADDRESS_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.DRP_RWN_F = new("DRP_RWN", 28, 28, RW, 'h0, this); + this.DRP_ADDRESS_F = new("DRP_ADDRESS", 27, 16, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: DRP_CNTRL_CLASS + + class DRP_STATUS_CLASS extends register_base; + field_base DRP_LOCKED_F; + field_base DRP_STATUS_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.DRP_LOCKED_F = new("DRP_LOCKED", 17, 17, RO, 'h0, this); + this.DRP_STATUS_F = new("DRP_STATUS", 16, 16, RO, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: DRP_STATUS_CLASS + + class DRP_WDATA_CLASS extends register_base; + field_base DRP_WDATA_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.DRP_WDATA_F = new("DRP_WDATA", 15, 0, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: DRP_WDATA_CLASS + + class DRP_RDATA_CLASS extends register_base; + field_base DRP_RDATA_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.DRP_RDATA_F = new("DRP_RDATA", 15, 0, RO, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: DRP_RDATA_CLASS + + class DAC_CUSTOM_RD_CLASS extends register_base; + field_base DAC_CUSTOM_RD_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.DAC_CUSTOM_RD_F = new("DAC_CUSTOM_RD", 31, 0, RO, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: DAC_CUSTOM_RD_CLASS + + class DAC_CUSTOM_WR_CLASS extends register_base; + field_base DAC_CUSTOM_WR_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.DAC_CUSTOM_WR_F = new("DAC_CUSTOM_WR", 31, 0, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: DAC_CUSTOM_WR_CLASS + + class UI_STATUS_CLASS extends register_base; + field_base IF_BUSY_F; + field_base UI_OVF_F; + field_base UI_UNF_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.IF_BUSY_F = new("IF_BUSY", 4, 4, RO, 'h0, this); + this.UI_OVF_F = new("UI_OVF", 1, 1, RW1C, 'h0, this); + this.UI_UNF_F = new("UI_UNF", 0, 0, RW1C, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: UI_STATUS_CLASS + + class DAC_CUSTOM_CTRL_CLASS extends register_base; + field_base DAC_CUSTOM_CTRL_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.DAC_CUSTOM_CTRL_F = new("DAC_CUSTOM_CTRL", 31, 0, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: DAC_CUSTOM_CTRL_CLASS + + class USR_CNTRL_1_CLASS extends register_base; + field_base USR_CHANMAX_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.USR_CHANMAX_F = new("USR_CHANMAX", 7, 0, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: USR_CNTRL_1_CLASS + + class DAC_GPIO_IN_CLASS extends register_base; + field_base DAC_GPIO_IN_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.DAC_GPIO_IN_F = new("DAC_GPIO_IN", 31, 0, RO, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: DAC_GPIO_IN_CLASS + + class DAC_GPIO_OUT_CLASS extends register_base; + field_base DAC_GPIO_OUT_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.DAC_GPIO_OUT_F = new("DAC_GPIO_OUT", 31, 0, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: DAC_GPIO_OUT_CLASS + + /* AXI TEMPLATE DAC Channel (axi_template_dac_channel) */ + class CHAN_CNTRLn_1_CLASS extends register_base; + field_base DDS_PHASE_DW_F; + field_base DDS_SCALE_1_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.DDS_PHASE_DW_F = new("DDS_PHASE_DW", 21, 16, RO, 'h0, this); + this.DDS_SCALE_1_F = new("DDS_SCALE_1", 15, 0, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: CHAN_CNTRLn_1_CLASS + + class CHAN_CNTRLn_2_CLASS extends register_base; + field_base DDS_INIT_1_F; + field_base DDS_INCR_1_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.DDS_INIT_1_F = new("DDS_INIT_1", 31, 16, RW, 'h0, this); + this.DDS_INCR_1_F = new("DDS_INCR_1", 15, 0, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: CHAN_CNTRLn_2_CLASS + + class CHAN_CNTRLn_3_CLASS extends register_base; + field_base DDS_SCALE_2_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.DDS_SCALE_2_F = new("DDS_SCALE_2", 15, 0, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: CHAN_CNTRLn_3_CLASS + + class CHAN_CNTRLn_4_CLASS extends register_base; + field_base DDS_INIT_2_F; + field_base DDS_INCR_2_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.DDS_INIT_2_F = new("DDS_INIT_2", 31, 16, RW, 'h0, this); + this.DDS_INCR_2_F = new("DDS_INCR_2", 15, 0, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: CHAN_CNTRLn_4_CLASS + + class CHAN_CNTRLn_5_CLASS extends register_base; + field_base DDS_PATT_2_F; + field_base DDS_PATT_1_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.DDS_PATT_2_F = new("DDS_PATT_2", 31, 16, RW, 'h0, this); + this.DDS_PATT_1_F = new("DDS_PATT_1", 15, 0, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: CHAN_CNTRLn_5_CLASS + + class CHAN_CNTRLn_6_CLASS extends register_base; + field_base IQCOR_ENB_F; + field_base DAC_LB_OWR_F; + field_base DAC_PN_OWR_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.IQCOR_ENB_F = new("IQCOR_ENB", 2, 2, RW, 'h0, this); + this.DAC_LB_OWR_F = new("DAC_LB_OWR", 1, 1, RW, 'h0, this); + this.DAC_PN_OWR_F = new("DAC_PN_OWR", 0, 0, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: CHAN_CNTRLn_6_CLASS + + class CHAN_CNTRLn_7_CLASS extends register_base; + field_base DAC_DDS_SEL_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.DAC_DDS_SEL_F = new("DAC_DDS_SEL", 3, 0, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: CHAN_CNTRLn_7_CLASS + + class CHAN_CNTRLn_8_CLASS extends register_base; + field_base IQCOR_COEFF_1_F; + field_base IQCOR_COEFF_2_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.IQCOR_COEFF_1_F = new("IQCOR_COEFF_1", 31, 16, RW, 'h0, this); + this.IQCOR_COEFF_2_F = new("IQCOR_COEFF_2", 15, 0, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: CHAN_CNTRLn_8_CLASS + + class USR_CNTRLn_3_CLASS extends register_base; + field_base USR_DATATYPE_BE_F; + field_base USR_DATATYPE_SIGNED_F; + field_base USR_DATATYPE_SHIFT_F; + field_base USR_DATATYPE_TOTAL_BITS_F; + field_base USR_DATATYPE_BITS_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.USR_DATATYPE_BE_F = new("USR_DATATYPE_BE", 25, 25, RW, 'h0, this); + this.USR_DATATYPE_SIGNED_F = new("USR_DATATYPE_SIGNED", 24, 24, RW, 'h0, this); + this.USR_DATATYPE_SHIFT_F = new("USR_DATATYPE_SHIFT", 23, 16, RW, 'h0, this); + this.USR_DATATYPE_TOTAL_BITS_F = new("USR_DATATYPE_TOTAL_BITS", 15, 8, RW, 'h0, this); + this.USR_DATATYPE_BITS_F = new("USR_DATATYPE_BITS", 7, 0, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: USR_CNTRLn_3_CLASS + + class USR_CNTRLn_4_CLASS extends register_base; + field_base USR_INTERPOLATION_M_F; + field_base USR_INTERPOLATION_N_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.USR_INTERPOLATION_M_F = new("USR_INTERPOLATION_M", 31, 16, RW, 'h0, this); + this.USR_INTERPOLATION_N_F = new("USR_INTERPOLATION_N", 15, 0, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: USR_CNTRLn_4_CLASS + + class USR_CNTRLn_5_CLASS extends register_base; + field_base DAC_IQ_MODE_F; + field_base DAC_IQ_SWAP_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.DAC_IQ_MODE_F = new("DAC_IQ_MODE", 0, 0, RW, 'h0, this); + this.DAC_IQ_SWAP_F = new("DAC_IQ_SWAP", 1, 1, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: USR_CNTRLn_5_CLASS + + class CHAN_CNTRLn_9_CLASS extends register_base; + field_base DDS_INIT_1_EXTENDED_F; + field_base DDS_INCR_1_EXTENDED_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.DDS_INIT_1_EXTENDED_F = new("DDS_INIT_1_EXTENDED", 31, 16, RW, 'h0, this); + this.DDS_INCR_1_EXTENDED_F = new("DDS_INCR_1_EXTENDED", 15, 0, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: CHAN_CNTRLn_9_CLASS + + class CHAN_CNTRLn_10_CLASS extends register_base; + field_base DDS_INIT_2_EXTENDED_F; + field_base DDS_INCR_2_EXTENDED_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.DDS_INIT_2_EXTENDED_F = new("DDS_INIT_2_EXTENDED", 31, 16, RW, 'h0, this); + this.DDS_INCR_2_EXTENDED_F = new("DDS_INCR_2_EXTENDED", 15, 0, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: CHAN_CNTRLn_10_CLASS + + RSTN_CLASS RSTN_R; + CNTRL_1_CLASS CNTRL_1_R; + CNTRL_2_CLASS CNTRL_2_R; + RATECNTRL_CLASS RATECNTRL_R; + FRAME_CLASS FRAME_R; + STATUS1_CLASS STATUS1_R; + STATUS2_CLASS STATUS2_R; + STATUS3_CLASS STATUS3_R; + DAC_CLKSEL_CLASS DAC_CLKSEL_R; + SYNC_STATUS_CLASS SYNC_STATUS_R; + DRP_CNTRL_CLASS DRP_CNTRL_R; + DRP_STATUS_CLASS DRP_STATUS_R; + DRP_WDATA_CLASS DRP_WDATA_R; + DRP_RDATA_CLASS DRP_RDATA_R; + DAC_CUSTOM_RD_CLASS DAC_CUSTOM_RD_R; + DAC_CUSTOM_WR_CLASS DAC_CUSTOM_WR_R; + UI_STATUS_CLASS UI_STATUS_R; + DAC_CUSTOM_CTRL_CLASS DAC_CUSTOM_CTRL_R; + USR_CNTRL_1_CLASS USR_CNTRL_1_R; + DAC_GPIO_IN_CLASS DAC_GPIO_IN_R; + DAC_GPIO_OUT_CLASS DAC_GPIO_OUT_R; + CHAN_CNTRLn_1_CLASS CHAN_CNTRL0_1_R; + CHAN_CNTRLn_1_CLASS CHAN_CNTRL1_1_R; + CHAN_CNTRLn_1_CLASS CHAN_CNTRL2_1_R; + CHAN_CNTRLn_1_CLASS CHAN_CNTRL3_1_R; + CHAN_CNTRLn_1_CLASS CHAN_CNTRL4_1_R; + CHAN_CNTRLn_1_CLASS CHAN_CNTRL5_1_R; + CHAN_CNTRLn_1_CLASS CHAN_CNTRL6_1_R; + CHAN_CNTRLn_1_CLASS CHAN_CNTRL7_1_R; + CHAN_CNTRLn_1_CLASS CHAN_CNTRL8_1_R; + CHAN_CNTRLn_1_CLASS CHAN_CNTRL9_1_R; + CHAN_CNTRLn_1_CLASS CHAN_CNTRL10_1_R; + CHAN_CNTRLn_1_CLASS CHAN_CNTRL11_1_R; + CHAN_CNTRLn_1_CLASS CHAN_CNTRL12_1_R; + CHAN_CNTRLn_1_CLASS CHAN_CNTRL13_1_R; + CHAN_CNTRLn_1_CLASS CHAN_CNTRL14_1_R; + CHAN_CNTRLn_1_CLASS CHAN_CNTRL15_1_R; + CHAN_CNTRLn_2_CLASS CHAN_CNTRL0_2_R; + CHAN_CNTRLn_2_CLASS CHAN_CNTRL1_2_R; + CHAN_CNTRLn_2_CLASS CHAN_CNTRL2_2_R; + CHAN_CNTRLn_2_CLASS CHAN_CNTRL3_2_R; + CHAN_CNTRLn_2_CLASS CHAN_CNTRL4_2_R; + CHAN_CNTRLn_2_CLASS CHAN_CNTRL5_2_R; + CHAN_CNTRLn_2_CLASS CHAN_CNTRL6_2_R; + CHAN_CNTRLn_2_CLASS CHAN_CNTRL7_2_R; + CHAN_CNTRLn_2_CLASS CHAN_CNTRL8_2_R; + CHAN_CNTRLn_2_CLASS CHAN_CNTRL9_2_R; + CHAN_CNTRLn_2_CLASS CHAN_CNTRL10_2_R; + CHAN_CNTRLn_2_CLASS CHAN_CNTRL11_2_R; + CHAN_CNTRLn_2_CLASS CHAN_CNTRL12_2_R; + CHAN_CNTRLn_2_CLASS CHAN_CNTRL13_2_R; + CHAN_CNTRLn_2_CLASS CHAN_CNTRL14_2_R; + CHAN_CNTRLn_2_CLASS CHAN_CNTRL15_2_R; + CHAN_CNTRLn_3_CLASS CHAN_CNTRL0_3_R; + CHAN_CNTRLn_3_CLASS CHAN_CNTRL1_3_R; + CHAN_CNTRLn_3_CLASS CHAN_CNTRL2_3_R; + CHAN_CNTRLn_3_CLASS CHAN_CNTRL3_3_R; + CHAN_CNTRLn_3_CLASS CHAN_CNTRL4_3_R; + CHAN_CNTRLn_3_CLASS CHAN_CNTRL5_3_R; + CHAN_CNTRLn_3_CLASS CHAN_CNTRL6_3_R; + CHAN_CNTRLn_3_CLASS CHAN_CNTRL7_3_R; + CHAN_CNTRLn_3_CLASS CHAN_CNTRL8_3_R; + CHAN_CNTRLn_3_CLASS CHAN_CNTRL9_3_R; + CHAN_CNTRLn_3_CLASS CHAN_CNTRL10_3_R; + CHAN_CNTRLn_3_CLASS CHAN_CNTRL11_3_R; + CHAN_CNTRLn_3_CLASS CHAN_CNTRL12_3_R; + CHAN_CNTRLn_3_CLASS CHAN_CNTRL13_3_R; + CHAN_CNTRLn_3_CLASS CHAN_CNTRL14_3_R; + CHAN_CNTRLn_3_CLASS CHAN_CNTRL15_3_R; + CHAN_CNTRLn_4_CLASS CHAN_CNTRL0_4_R; + CHAN_CNTRLn_4_CLASS CHAN_CNTRL1_4_R; + CHAN_CNTRLn_4_CLASS CHAN_CNTRL2_4_R; + CHAN_CNTRLn_4_CLASS CHAN_CNTRL3_4_R; + CHAN_CNTRLn_4_CLASS CHAN_CNTRL4_4_R; + CHAN_CNTRLn_4_CLASS CHAN_CNTRL5_4_R; + CHAN_CNTRLn_4_CLASS CHAN_CNTRL6_4_R; + CHAN_CNTRLn_4_CLASS CHAN_CNTRL7_4_R; + CHAN_CNTRLn_4_CLASS CHAN_CNTRL8_4_R; + CHAN_CNTRLn_4_CLASS CHAN_CNTRL9_4_R; + CHAN_CNTRLn_4_CLASS CHAN_CNTRL10_4_R; + CHAN_CNTRLn_4_CLASS CHAN_CNTRL11_4_R; + CHAN_CNTRLn_4_CLASS CHAN_CNTRL12_4_R; + CHAN_CNTRLn_4_CLASS CHAN_CNTRL13_4_R; + CHAN_CNTRLn_4_CLASS CHAN_CNTRL14_4_R; + CHAN_CNTRLn_4_CLASS CHAN_CNTRL15_4_R; + CHAN_CNTRLn_5_CLASS CHAN_CNTRL0_5_R; + CHAN_CNTRLn_5_CLASS CHAN_CNTRL1_5_R; + CHAN_CNTRLn_5_CLASS CHAN_CNTRL2_5_R; + CHAN_CNTRLn_5_CLASS CHAN_CNTRL3_5_R; + CHAN_CNTRLn_5_CLASS CHAN_CNTRL4_5_R; + CHAN_CNTRLn_5_CLASS CHAN_CNTRL5_5_R; + CHAN_CNTRLn_5_CLASS CHAN_CNTRL6_5_R; + CHAN_CNTRLn_5_CLASS CHAN_CNTRL7_5_R; + CHAN_CNTRLn_5_CLASS CHAN_CNTRL8_5_R; + CHAN_CNTRLn_5_CLASS CHAN_CNTRL9_5_R; + CHAN_CNTRLn_5_CLASS CHAN_CNTRL10_5_R; + CHAN_CNTRLn_5_CLASS CHAN_CNTRL11_5_R; + CHAN_CNTRLn_5_CLASS CHAN_CNTRL12_5_R; + CHAN_CNTRLn_5_CLASS CHAN_CNTRL13_5_R; + CHAN_CNTRLn_5_CLASS CHAN_CNTRL14_5_R; + CHAN_CNTRLn_5_CLASS CHAN_CNTRL15_5_R; + CHAN_CNTRLn_6_CLASS CHAN_CNTRL0_6_R; + CHAN_CNTRLn_6_CLASS CHAN_CNTRL1_6_R; + CHAN_CNTRLn_6_CLASS CHAN_CNTRL2_6_R; + CHAN_CNTRLn_6_CLASS CHAN_CNTRL3_6_R; + CHAN_CNTRLn_6_CLASS CHAN_CNTRL4_6_R; + CHAN_CNTRLn_6_CLASS CHAN_CNTRL5_6_R; + CHAN_CNTRLn_6_CLASS CHAN_CNTRL6_6_R; + CHAN_CNTRLn_6_CLASS CHAN_CNTRL7_6_R; + CHAN_CNTRLn_6_CLASS CHAN_CNTRL8_6_R; + CHAN_CNTRLn_6_CLASS CHAN_CNTRL9_6_R; + CHAN_CNTRLn_6_CLASS CHAN_CNTRL10_6_R; + CHAN_CNTRLn_6_CLASS CHAN_CNTRL11_6_R; + CHAN_CNTRLn_6_CLASS CHAN_CNTRL12_6_R; + CHAN_CNTRLn_6_CLASS CHAN_CNTRL13_6_R; + CHAN_CNTRLn_6_CLASS CHAN_CNTRL14_6_R; + CHAN_CNTRLn_6_CLASS CHAN_CNTRL15_6_R; + CHAN_CNTRLn_7_CLASS CHAN_CNTRL0_7_R; + CHAN_CNTRLn_7_CLASS CHAN_CNTRL1_7_R; + CHAN_CNTRLn_7_CLASS CHAN_CNTRL2_7_R; + CHAN_CNTRLn_7_CLASS CHAN_CNTRL3_7_R; + CHAN_CNTRLn_7_CLASS CHAN_CNTRL4_7_R; + CHAN_CNTRLn_7_CLASS CHAN_CNTRL5_7_R; + CHAN_CNTRLn_7_CLASS CHAN_CNTRL6_7_R; + CHAN_CNTRLn_7_CLASS CHAN_CNTRL7_7_R; + CHAN_CNTRLn_7_CLASS CHAN_CNTRL8_7_R; + CHAN_CNTRLn_7_CLASS CHAN_CNTRL9_7_R; + CHAN_CNTRLn_7_CLASS CHAN_CNTRL10_7_R; + CHAN_CNTRLn_7_CLASS CHAN_CNTRL11_7_R; + CHAN_CNTRLn_7_CLASS CHAN_CNTRL12_7_R; + CHAN_CNTRLn_7_CLASS CHAN_CNTRL13_7_R; + CHAN_CNTRLn_7_CLASS CHAN_CNTRL14_7_R; + CHAN_CNTRLn_7_CLASS CHAN_CNTRL15_7_R; + CHAN_CNTRLn_8_CLASS CHAN_CNTRL0_8_R; + CHAN_CNTRLn_8_CLASS CHAN_CNTRL1_8_R; + CHAN_CNTRLn_8_CLASS CHAN_CNTRL2_8_R; + CHAN_CNTRLn_8_CLASS CHAN_CNTRL3_8_R; + CHAN_CNTRLn_8_CLASS CHAN_CNTRL4_8_R; + CHAN_CNTRLn_8_CLASS CHAN_CNTRL5_8_R; + CHAN_CNTRLn_8_CLASS CHAN_CNTRL6_8_R; + CHAN_CNTRLn_8_CLASS CHAN_CNTRL7_8_R; + CHAN_CNTRLn_8_CLASS CHAN_CNTRL8_8_R; + CHAN_CNTRLn_8_CLASS CHAN_CNTRL9_8_R; + CHAN_CNTRLn_8_CLASS CHAN_CNTRL10_8_R; + CHAN_CNTRLn_8_CLASS CHAN_CNTRL11_8_R; + CHAN_CNTRLn_8_CLASS CHAN_CNTRL12_8_R; + CHAN_CNTRLn_8_CLASS CHAN_CNTRL13_8_R; + CHAN_CNTRLn_8_CLASS CHAN_CNTRL14_8_R; + CHAN_CNTRLn_8_CLASS CHAN_CNTRL15_8_R; + USR_CNTRLn_3_CLASS USR_CNTRL0_3_R; + USR_CNTRLn_3_CLASS USR_CNTRL1_3_R; + USR_CNTRLn_3_CLASS USR_CNTRL2_3_R; + USR_CNTRLn_3_CLASS USR_CNTRL3_3_R; + USR_CNTRLn_3_CLASS USR_CNTRL4_3_R; + USR_CNTRLn_3_CLASS USR_CNTRL5_3_R; + USR_CNTRLn_3_CLASS USR_CNTRL6_3_R; + USR_CNTRLn_3_CLASS USR_CNTRL7_3_R; + USR_CNTRLn_3_CLASS USR_CNTRL8_3_R; + USR_CNTRLn_3_CLASS USR_CNTRL9_3_R; + USR_CNTRLn_3_CLASS USR_CNTRL10_3_R; + USR_CNTRLn_3_CLASS USR_CNTRL11_3_R; + USR_CNTRLn_3_CLASS USR_CNTRL12_3_R; + USR_CNTRLn_3_CLASS USR_CNTRL13_3_R; + USR_CNTRLn_3_CLASS USR_CNTRL14_3_R; + USR_CNTRLn_3_CLASS USR_CNTRL15_3_R; + USR_CNTRLn_4_CLASS USR_CNTRL0_4_R; + USR_CNTRLn_4_CLASS USR_CNTRL1_4_R; + USR_CNTRLn_4_CLASS USR_CNTRL2_4_R; + USR_CNTRLn_4_CLASS USR_CNTRL3_4_R; + USR_CNTRLn_4_CLASS USR_CNTRL4_4_R; + USR_CNTRLn_4_CLASS USR_CNTRL5_4_R; + USR_CNTRLn_4_CLASS USR_CNTRL6_4_R; + USR_CNTRLn_4_CLASS USR_CNTRL7_4_R; + USR_CNTRLn_4_CLASS USR_CNTRL8_4_R; + USR_CNTRLn_4_CLASS USR_CNTRL9_4_R; + USR_CNTRLn_4_CLASS USR_CNTRL10_4_R; + USR_CNTRLn_4_CLASS USR_CNTRL11_4_R; + USR_CNTRLn_4_CLASS USR_CNTRL12_4_R; + USR_CNTRLn_4_CLASS USR_CNTRL13_4_R; + USR_CNTRLn_4_CLASS USR_CNTRL14_4_R; + USR_CNTRLn_4_CLASS USR_CNTRL15_4_R; + USR_CNTRLn_5_CLASS USR_CNTRL0_5_R; + USR_CNTRLn_5_CLASS USR_CNTRL1_5_R; + USR_CNTRLn_5_CLASS USR_CNTRL2_5_R; + USR_CNTRLn_5_CLASS USR_CNTRL3_5_R; + USR_CNTRLn_5_CLASS USR_CNTRL4_5_R; + USR_CNTRLn_5_CLASS USR_CNTRL5_5_R; + USR_CNTRLn_5_CLASS USR_CNTRL6_5_R; + USR_CNTRLn_5_CLASS USR_CNTRL7_5_R; + USR_CNTRLn_5_CLASS USR_CNTRL8_5_R; + USR_CNTRLn_5_CLASS USR_CNTRL9_5_R; + USR_CNTRLn_5_CLASS USR_CNTRL10_5_R; + USR_CNTRLn_5_CLASS USR_CNTRL11_5_R; + USR_CNTRLn_5_CLASS USR_CNTRL12_5_R; + USR_CNTRLn_5_CLASS USR_CNTRL13_5_R; + USR_CNTRLn_5_CLASS USR_CNTRL14_5_R; + USR_CNTRLn_5_CLASS USR_CNTRL15_5_R; + CHAN_CNTRLn_9_CLASS CHAN_CNTRL0_9_R; + CHAN_CNTRLn_9_CLASS CHAN_CNTRL1_9_R; + CHAN_CNTRLn_9_CLASS CHAN_CNTRL2_9_R; + CHAN_CNTRLn_9_CLASS CHAN_CNTRL3_9_R; + CHAN_CNTRLn_9_CLASS CHAN_CNTRL4_9_R; + CHAN_CNTRLn_9_CLASS CHAN_CNTRL5_9_R; + CHAN_CNTRLn_9_CLASS CHAN_CNTRL6_9_R; + CHAN_CNTRLn_9_CLASS CHAN_CNTRL7_9_R; + CHAN_CNTRLn_9_CLASS CHAN_CNTRL8_9_R; + CHAN_CNTRLn_9_CLASS CHAN_CNTRL9_9_R; + CHAN_CNTRLn_9_CLASS CHAN_CNTRL10_9_R; + CHAN_CNTRLn_9_CLASS CHAN_CNTRL11_9_R; + CHAN_CNTRLn_9_CLASS CHAN_CNTRL12_9_R; + CHAN_CNTRLn_9_CLASS CHAN_CNTRL13_9_R; + CHAN_CNTRLn_9_CLASS CHAN_CNTRL14_9_R; + CHAN_CNTRLn_9_CLASS CHAN_CNTRL15_9_R; + CHAN_CNTRLn_10_CLASS CHAN_CNTRL0_10_R; + CHAN_CNTRLn_10_CLASS CHAN_CNTRL1_10_R; + CHAN_CNTRLn_10_CLASS CHAN_CNTRL2_10_R; + CHAN_CNTRLn_10_CLASS CHAN_CNTRL3_10_R; + CHAN_CNTRLn_10_CLASS CHAN_CNTRL4_10_R; + CHAN_CNTRLn_10_CLASS CHAN_CNTRL5_10_R; + CHAN_CNTRLn_10_CLASS CHAN_CNTRL6_10_R; + CHAN_CNTRLn_10_CLASS CHAN_CNTRL7_10_R; + CHAN_CNTRLn_10_CLASS CHAN_CNTRL8_10_R; + CHAN_CNTRLn_10_CLASS CHAN_CNTRL9_10_R; + CHAN_CNTRLn_10_CLASS CHAN_CNTRL10_10_R; + CHAN_CNTRLn_10_CLASS CHAN_CNTRL11_10_R; + CHAN_CNTRLn_10_CLASS CHAN_CNTRL12_10_R; + CHAN_CNTRLn_10_CLASS CHAN_CNTRL13_10_R; + CHAN_CNTRLn_10_CLASS CHAN_CNTRL14_10_R; + CHAN_CNTRLn_10_CLASS CHAN_CNTRL15_10_R; + + function new( + input string name, + input int address, + input adi_api parent = null); + + super.new(name, address, parent); + + this.RSTN_R = new("RSTN", 'h40, this); + this.CNTRL_1_R = new("CNTRL_1", 'h44, this); + this.CNTRL_2_R = new("CNTRL_2", 'h48, this); + this.RATECNTRL_R = new("RATECNTRL", 'h4c, this); + this.FRAME_R = new("FRAME", 'h50, this); + this.STATUS1_R = new("STATUS1", 'h54, this); + this.STATUS2_R = new("STATUS2", 'h58, this); + this.STATUS3_R = new("STATUS3", 'h5c, this); + this.DAC_CLKSEL_R = new("DAC_CLKSEL", 'h60, this); + this.SYNC_STATUS_R = new("SYNC_STATUS", 'h68, this); + this.DRP_CNTRL_R = new("DRP_CNTRL", 'h70, this); + this.DRP_STATUS_R = new("DRP_STATUS", 'h74, this); + this.DRP_WDATA_R = new("DRP_WDATA", 'h78, this); + this.DRP_RDATA_R = new("DRP_RDATA", 'h7c, this); + this.DAC_CUSTOM_RD_R = new("DAC_CUSTOM_RD", 'h80, this); + this.DAC_CUSTOM_WR_R = new("DAC_CUSTOM_WR", 'h84, this); + this.UI_STATUS_R = new("UI_STATUS", 'h88, this); + this.DAC_CUSTOM_CTRL_R = new("DAC_CUSTOM_CTRL", 'h8c, this); + this.USR_CNTRL_1_R = new("USR_CNTRL_1", 'ha0, this); + this.DAC_GPIO_IN_R = new("DAC_GPIO_IN", 'hb8, this); + this.DAC_GPIO_OUT_R = new("DAC_GPIO_OUT", 'hbc, this); + this.CHAN_CNTRL0_1_R = new("CHAN_CNTRL0_1", 'h400, this); + this.CHAN_CNTRL1_1_R = new("CHAN_CNTRL1_1", 'h458, this); + this.CHAN_CNTRL2_1_R = new("CHAN_CNTRL2_1", 'h4b0, this); + this.CHAN_CNTRL3_1_R = new("CHAN_CNTRL3_1", 'h508, this); + this.CHAN_CNTRL4_1_R = new("CHAN_CNTRL4_1", 'h560, this); + this.CHAN_CNTRL5_1_R = new("CHAN_CNTRL5_1", 'h5b8, this); + this.CHAN_CNTRL6_1_R = new("CHAN_CNTRL6_1", 'h610, this); + this.CHAN_CNTRL7_1_R = new("CHAN_CNTRL7_1", 'h668, this); + this.CHAN_CNTRL8_1_R = new("CHAN_CNTRL8_1", 'h6c0, this); + this.CHAN_CNTRL9_1_R = new("CHAN_CNTRL9_1", 'h718, this); + this.CHAN_CNTRL10_1_R = new("CHAN_CNTRL10_1", 'h770, this); + this.CHAN_CNTRL11_1_R = new("CHAN_CNTRL11_1", 'h7c8, this); + this.CHAN_CNTRL12_1_R = new("CHAN_CNTRL12_1", 'h820, this); + this.CHAN_CNTRL13_1_R = new("CHAN_CNTRL13_1", 'h878, this); + this.CHAN_CNTRL14_1_R = new("CHAN_CNTRL14_1", 'h8d0, this); + this.CHAN_CNTRL15_1_R = new("CHAN_CNTRL15_1", 'h928, this); + this.CHAN_CNTRL0_2_R = new("CHAN_CNTRL0_2", 'h404, this); + this.CHAN_CNTRL1_2_R = new("CHAN_CNTRL1_2", 'h45c, this); + this.CHAN_CNTRL2_2_R = new("CHAN_CNTRL2_2", 'h4b4, this); + this.CHAN_CNTRL3_2_R = new("CHAN_CNTRL3_2", 'h50c, this); + this.CHAN_CNTRL4_2_R = new("CHAN_CNTRL4_2", 'h564, this); + this.CHAN_CNTRL5_2_R = new("CHAN_CNTRL5_2", 'h5bc, this); + this.CHAN_CNTRL6_2_R = new("CHAN_CNTRL6_2", 'h614, this); + this.CHAN_CNTRL7_2_R = new("CHAN_CNTRL7_2", 'h66c, this); + this.CHAN_CNTRL8_2_R = new("CHAN_CNTRL8_2", 'h6c4, this); + this.CHAN_CNTRL9_2_R = new("CHAN_CNTRL9_2", 'h71c, this); + this.CHAN_CNTRL10_2_R = new("CHAN_CNTRL10_2", 'h774, this); + this.CHAN_CNTRL11_2_R = new("CHAN_CNTRL11_2", 'h7cc, this); + this.CHAN_CNTRL12_2_R = new("CHAN_CNTRL12_2", 'h824, this); + this.CHAN_CNTRL13_2_R = new("CHAN_CNTRL13_2", 'h87c, this); + this.CHAN_CNTRL14_2_R = new("CHAN_CNTRL14_2", 'h8d4, this); + this.CHAN_CNTRL15_2_R = new("CHAN_CNTRL15_2", 'h92c, this); + this.CHAN_CNTRL0_3_R = new("CHAN_CNTRL0_3", 'h408, this); + this.CHAN_CNTRL1_3_R = new("CHAN_CNTRL1_3", 'h460, this); + this.CHAN_CNTRL2_3_R = new("CHAN_CNTRL2_3", 'h4b8, this); + this.CHAN_CNTRL3_3_R = new("CHAN_CNTRL3_3", 'h510, this); + this.CHAN_CNTRL4_3_R = new("CHAN_CNTRL4_3", 'h568, this); + this.CHAN_CNTRL5_3_R = new("CHAN_CNTRL5_3", 'h5c0, this); + this.CHAN_CNTRL6_3_R = new("CHAN_CNTRL6_3", 'h618, this); + this.CHAN_CNTRL7_3_R = new("CHAN_CNTRL7_3", 'h670, this); + this.CHAN_CNTRL8_3_R = new("CHAN_CNTRL8_3", 'h6c8, this); + this.CHAN_CNTRL9_3_R = new("CHAN_CNTRL9_3", 'h720, this); + this.CHAN_CNTRL10_3_R = new("CHAN_CNTRL10_3", 'h778, this); + this.CHAN_CNTRL11_3_R = new("CHAN_CNTRL11_3", 'h7d0, this); + this.CHAN_CNTRL12_3_R = new("CHAN_CNTRL12_3", 'h828, this); + this.CHAN_CNTRL13_3_R = new("CHAN_CNTRL13_3", 'h880, this); + this.CHAN_CNTRL14_3_R = new("CHAN_CNTRL14_3", 'h8d8, this); + this.CHAN_CNTRL15_3_R = new("CHAN_CNTRL15_3", 'h930, this); + this.CHAN_CNTRL0_4_R = new("CHAN_CNTRL0_4", 'h40c, this); + this.CHAN_CNTRL1_4_R = new("CHAN_CNTRL1_4", 'h464, this); + this.CHAN_CNTRL2_4_R = new("CHAN_CNTRL2_4", 'h4bc, this); + this.CHAN_CNTRL3_4_R = new("CHAN_CNTRL3_4", 'h514, this); + this.CHAN_CNTRL4_4_R = new("CHAN_CNTRL4_4", 'h56c, this); + this.CHAN_CNTRL5_4_R = new("CHAN_CNTRL5_4", 'h5c4, this); + this.CHAN_CNTRL6_4_R = new("CHAN_CNTRL6_4", 'h61c, this); + this.CHAN_CNTRL7_4_R = new("CHAN_CNTRL7_4", 'h674, this); + this.CHAN_CNTRL8_4_R = new("CHAN_CNTRL8_4", 'h6cc, this); + this.CHAN_CNTRL9_4_R = new("CHAN_CNTRL9_4", 'h724, this); + this.CHAN_CNTRL10_4_R = new("CHAN_CNTRL10_4", 'h77c, this); + this.CHAN_CNTRL11_4_R = new("CHAN_CNTRL11_4", 'h7d4, this); + this.CHAN_CNTRL12_4_R = new("CHAN_CNTRL12_4", 'h82c, this); + this.CHAN_CNTRL13_4_R = new("CHAN_CNTRL13_4", 'h884, this); + this.CHAN_CNTRL14_4_R = new("CHAN_CNTRL14_4", 'h8dc, this); + this.CHAN_CNTRL15_4_R = new("CHAN_CNTRL15_4", 'h934, this); + this.CHAN_CNTRL0_5_R = new("CHAN_CNTRL0_5", 'h410, this); + this.CHAN_CNTRL1_5_R = new("CHAN_CNTRL1_5", 'h468, this); + this.CHAN_CNTRL2_5_R = new("CHAN_CNTRL2_5", 'h4c0, this); + this.CHAN_CNTRL3_5_R = new("CHAN_CNTRL3_5", 'h518, this); + this.CHAN_CNTRL4_5_R = new("CHAN_CNTRL4_5", 'h570, this); + this.CHAN_CNTRL5_5_R = new("CHAN_CNTRL5_5", 'h5c8, this); + this.CHAN_CNTRL6_5_R = new("CHAN_CNTRL6_5", 'h620, this); + this.CHAN_CNTRL7_5_R = new("CHAN_CNTRL7_5", 'h678, this); + this.CHAN_CNTRL8_5_R = new("CHAN_CNTRL8_5", 'h6d0, this); + this.CHAN_CNTRL9_5_R = new("CHAN_CNTRL9_5", 'h728, this); + this.CHAN_CNTRL10_5_R = new("CHAN_CNTRL10_5", 'h780, this); + this.CHAN_CNTRL11_5_R = new("CHAN_CNTRL11_5", 'h7d8, this); + this.CHAN_CNTRL12_5_R = new("CHAN_CNTRL12_5", 'h830, this); + this.CHAN_CNTRL13_5_R = new("CHAN_CNTRL13_5", 'h888, this); + this.CHAN_CNTRL14_5_R = new("CHAN_CNTRL14_5", 'h8e0, this); + this.CHAN_CNTRL15_5_R = new("CHAN_CNTRL15_5", 'h938, this); + this.CHAN_CNTRL0_6_R = new("CHAN_CNTRL0_6", 'h414, this); + this.CHAN_CNTRL1_6_R = new("CHAN_CNTRL1_6", 'h46c, this); + this.CHAN_CNTRL2_6_R = new("CHAN_CNTRL2_6", 'h4c4, this); + this.CHAN_CNTRL3_6_R = new("CHAN_CNTRL3_6", 'h51c, this); + this.CHAN_CNTRL4_6_R = new("CHAN_CNTRL4_6", 'h574, this); + this.CHAN_CNTRL5_6_R = new("CHAN_CNTRL5_6", 'h5cc, this); + this.CHAN_CNTRL6_6_R = new("CHAN_CNTRL6_6", 'h624, this); + this.CHAN_CNTRL7_6_R = new("CHAN_CNTRL7_6", 'h67c, this); + this.CHAN_CNTRL8_6_R = new("CHAN_CNTRL8_6", 'h6d4, this); + this.CHAN_CNTRL9_6_R = new("CHAN_CNTRL9_6", 'h72c, this); + this.CHAN_CNTRL10_6_R = new("CHAN_CNTRL10_6", 'h784, this); + this.CHAN_CNTRL11_6_R = new("CHAN_CNTRL11_6", 'h7dc, this); + this.CHAN_CNTRL12_6_R = new("CHAN_CNTRL12_6", 'h834, this); + this.CHAN_CNTRL13_6_R = new("CHAN_CNTRL13_6", 'h88c, this); + this.CHAN_CNTRL14_6_R = new("CHAN_CNTRL14_6", 'h8e4, this); + this.CHAN_CNTRL15_6_R = new("CHAN_CNTRL15_6", 'h93c, this); + this.CHAN_CNTRL0_7_R = new("CHAN_CNTRL0_7", 'h418, this); + this.CHAN_CNTRL1_7_R = new("CHAN_CNTRL1_7", 'h470, this); + this.CHAN_CNTRL2_7_R = new("CHAN_CNTRL2_7", 'h4c8, this); + this.CHAN_CNTRL3_7_R = new("CHAN_CNTRL3_7", 'h520, this); + this.CHAN_CNTRL4_7_R = new("CHAN_CNTRL4_7", 'h578, this); + this.CHAN_CNTRL5_7_R = new("CHAN_CNTRL5_7", 'h5d0, this); + this.CHAN_CNTRL6_7_R = new("CHAN_CNTRL6_7", 'h628, this); + this.CHAN_CNTRL7_7_R = new("CHAN_CNTRL7_7", 'h680, this); + this.CHAN_CNTRL8_7_R = new("CHAN_CNTRL8_7", 'h6d8, this); + this.CHAN_CNTRL9_7_R = new("CHAN_CNTRL9_7", 'h730, this); + this.CHAN_CNTRL10_7_R = new("CHAN_CNTRL10_7", 'h788, this); + this.CHAN_CNTRL11_7_R = new("CHAN_CNTRL11_7", 'h7e0, this); + this.CHAN_CNTRL12_7_R = new("CHAN_CNTRL12_7", 'h838, this); + this.CHAN_CNTRL13_7_R = new("CHAN_CNTRL13_7", 'h890, this); + this.CHAN_CNTRL14_7_R = new("CHAN_CNTRL14_7", 'h8e8, this); + this.CHAN_CNTRL15_7_R = new("CHAN_CNTRL15_7", 'h940, this); + this.CHAN_CNTRL0_8_R = new("CHAN_CNTRL0_8", 'h41c, this); + this.CHAN_CNTRL1_8_R = new("CHAN_CNTRL1_8", 'h474, this); + this.CHAN_CNTRL2_8_R = new("CHAN_CNTRL2_8", 'h4cc, this); + this.CHAN_CNTRL3_8_R = new("CHAN_CNTRL3_8", 'h524, this); + this.CHAN_CNTRL4_8_R = new("CHAN_CNTRL4_8", 'h57c, this); + this.CHAN_CNTRL5_8_R = new("CHAN_CNTRL5_8", 'h5d4, this); + this.CHAN_CNTRL6_8_R = new("CHAN_CNTRL6_8", 'h62c, this); + this.CHAN_CNTRL7_8_R = new("CHAN_CNTRL7_8", 'h684, this); + this.CHAN_CNTRL8_8_R = new("CHAN_CNTRL8_8", 'h6dc, this); + this.CHAN_CNTRL9_8_R = new("CHAN_CNTRL9_8", 'h734, this); + this.CHAN_CNTRL10_8_R = new("CHAN_CNTRL10_8", 'h78c, this); + this.CHAN_CNTRL11_8_R = new("CHAN_CNTRL11_8", 'h7e4, this); + this.CHAN_CNTRL12_8_R = new("CHAN_CNTRL12_8", 'h83c, this); + this.CHAN_CNTRL13_8_R = new("CHAN_CNTRL13_8", 'h894, this); + this.CHAN_CNTRL14_8_R = new("CHAN_CNTRL14_8", 'h8ec, this); + this.CHAN_CNTRL15_8_R = new("CHAN_CNTRL15_8", 'h944, this); + this.USR_CNTRL0_3_R = new("USR_CNTRL0_3", 'h420, this); + this.USR_CNTRL1_3_R = new("USR_CNTRL1_3", 'h478, this); + this.USR_CNTRL2_3_R = new("USR_CNTRL2_3", 'h4d0, this); + this.USR_CNTRL3_3_R = new("USR_CNTRL3_3", 'h528, this); + this.USR_CNTRL4_3_R = new("USR_CNTRL4_3", 'h580, this); + this.USR_CNTRL5_3_R = new("USR_CNTRL5_3", 'h5d8, this); + this.USR_CNTRL6_3_R = new("USR_CNTRL6_3", 'h630, this); + this.USR_CNTRL7_3_R = new("USR_CNTRL7_3", 'h688, this); + this.USR_CNTRL8_3_R = new("USR_CNTRL8_3", 'h6e0, this); + this.USR_CNTRL9_3_R = new("USR_CNTRL9_3", 'h738, this); + this.USR_CNTRL10_3_R = new("USR_CNTRL10_3", 'h790, this); + this.USR_CNTRL11_3_R = new("USR_CNTRL11_3", 'h7e8, this); + this.USR_CNTRL12_3_R = new("USR_CNTRL12_3", 'h840, this); + this.USR_CNTRL13_3_R = new("USR_CNTRL13_3", 'h898, this); + this.USR_CNTRL14_3_R = new("USR_CNTRL14_3", 'h8f0, this); + this.USR_CNTRL15_3_R = new("USR_CNTRL15_3", 'h948, this); + this.USR_CNTRL0_4_R = new("USR_CNTRL0_4", 'h424, this); + this.USR_CNTRL1_4_R = new("USR_CNTRL1_4", 'h47c, this); + this.USR_CNTRL2_4_R = new("USR_CNTRL2_4", 'h4d4, this); + this.USR_CNTRL3_4_R = new("USR_CNTRL3_4", 'h52c, this); + this.USR_CNTRL4_4_R = new("USR_CNTRL4_4", 'h584, this); + this.USR_CNTRL5_4_R = new("USR_CNTRL5_4", 'h5dc, this); + this.USR_CNTRL6_4_R = new("USR_CNTRL6_4", 'h634, this); + this.USR_CNTRL7_4_R = new("USR_CNTRL7_4", 'h68c, this); + this.USR_CNTRL8_4_R = new("USR_CNTRL8_4", 'h6e4, this); + this.USR_CNTRL9_4_R = new("USR_CNTRL9_4", 'h73c, this); + this.USR_CNTRL10_4_R = new("USR_CNTRL10_4", 'h794, this); + this.USR_CNTRL11_4_R = new("USR_CNTRL11_4", 'h7ec, this); + this.USR_CNTRL12_4_R = new("USR_CNTRL12_4", 'h844, this); + this.USR_CNTRL13_4_R = new("USR_CNTRL13_4", 'h89c, this); + this.USR_CNTRL14_4_R = new("USR_CNTRL14_4", 'h8f4, this); + this.USR_CNTRL15_4_R = new("USR_CNTRL15_4", 'h94c, this); + this.USR_CNTRL0_5_R = new("USR_CNTRL0_5", 'h428, this); + this.USR_CNTRL1_5_R = new("USR_CNTRL1_5", 'h480, this); + this.USR_CNTRL2_5_R = new("USR_CNTRL2_5", 'h4d8, this); + this.USR_CNTRL3_5_R = new("USR_CNTRL3_5", 'h530, this); + this.USR_CNTRL4_5_R = new("USR_CNTRL4_5", 'h588, this); + this.USR_CNTRL5_5_R = new("USR_CNTRL5_5", 'h5e0, this); + this.USR_CNTRL6_5_R = new("USR_CNTRL6_5", 'h638, this); + this.USR_CNTRL7_5_R = new("USR_CNTRL7_5", 'h690, this); + this.USR_CNTRL8_5_R = new("USR_CNTRL8_5", 'h6e8, this); + this.USR_CNTRL9_5_R = new("USR_CNTRL9_5", 'h740, this); + this.USR_CNTRL10_5_R = new("USR_CNTRL10_5", 'h798, this); + this.USR_CNTRL11_5_R = new("USR_CNTRL11_5", 'h7f0, this); + this.USR_CNTRL12_5_R = new("USR_CNTRL12_5", 'h848, this); + this.USR_CNTRL13_5_R = new("USR_CNTRL13_5", 'h8a0, this); + this.USR_CNTRL14_5_R = new("USR_CNTRL14_5", 'h8f8, this); + this.USR_CNTRL15_5_R = new("USR_CNTRL15_5", 'h950, this); + this.CHAN_CNTRL0_9_R = new("CHAN_CNTRL0_9", 'h42c, this); + this.CHAN_CNTRL1_9_R = new("CHAN_CNTRL1_9", 'h484, this); + this.CHAN_CNTRL2_9_R = new("CHAN_CNTRL2_9", 'h4dc, this); + this.CHAN_CNTRL3_9_R = new("CHAN_CNTRL3_9", 'h534, this); + this.CHAN_CNTRL4_9_R = new("CHAN_CNTRL4_9", 'h58c, this); + this.CHAN_CNTRL5_9_R = new("CHAN_CNTRL5_9", 'h5e4, this); + this.CHAN_CNTRL6_9_R = new("CHAN_CNTRL6_9", 'h63c, this); + this.CHAN_CNTRL7_9_R = new("CHAN_CNTRL7_9", 'h694, this); + this.CHAN_CNTRL8_9_R = new("CHAN_CNTRL8_9", 'h6ec, this); + this.CHAN_CNTRL9_9_R = new("CHAN_CNTRL9_9", 'h744, this); + this.CHAN_CNTRL10_9_R = new("CHAN_CNTRL10_9", 'h79c, this); + this.CHAN_CNTRL11_9_R = new("CHAN_CNTRL11_9", 'h7f4, this); + this.CHAN_CNTRL12_9_R = new("CHAN_CNTRL12_9", 'h84c, this); + this.CHAN_CNTRL13_9_R = new("CHAN_CNTRL13_9", 'h8a4, this); + this.CHAN_CNTRL14_9_R = new("CHAN_CNTRL14_9", 'h8fc, this); + this.CHAN_CNTRL15_9_R = new("CHAN_CNTRL15_9", 'h954, this); + this.CHAN_CNTRL0_10_R = new("CHAN_CNTRL0_10", 'h430, this); + this.CHAN_CNTRL1_10_R = new("CHAN_CNTRL1_10", 'h488, this); + this.CHAN_CNTRL2_10_R = new("CHAN_CNTRL2_10", 'h4e0, this); + this.CHAN_CNTRL3_10_R = new("CHAN_CNTRL3_10", 'h538, this); + this.CHAN_CNTRL4_10_R = new("CHAN_CNTRL4_10", 'h590, this); + this.CHAN_CNTRL5_10_R = new("CHAN_CNTRL5_10", 'h5e8, this); + this.CHAN_CNTRL6_10_R = new("CHAN_CNTRL6_10", 'h640, this); + this.CHAN_CNTRL7_10_R = new("CHAN_CNTRL7_10", 'h698, this); + this.CHAN_CNTRL8_10_R = new("CHAN_CNTRL8_10", 'h6f0, this); + this.CHAN_CNTRL9_10_R = new("CHAN_CNTRL9_10", 'h748, this); + this.CHAN_CNTRL10_10_R = new("CHAN_CNTRL10_10", 'h7a0, this); + this.CHAN_CNTRL11_10_R = new("CHAN_CNTRL11_10", 'h7f8, this); + this.CHAN_CNTRL12_10_R = new("CHAN_CNTRL12_10", 'h850, this); + this.CHAN_CNTRL13_10_R = new("CHAN_CNTRL13_10", 'h8a8, this); + this.CHAN_CNTRL14_10_R = new("CHAN_CNTRL14_10", 'h900, this); + this.CHAN_CNTRL15_10_R = new("CHAN_CNTRL15_10", 'h958, this); + + this.info($sformatf("Initialized"), ADI_VERBOSITY_HIGH); + endfunction: new + + endclass: adi_regmap_axi_dac_template + +endpackage: adi_regmap_axi_dac_template_pkg diff --git a/library/regmaps/adi_regmap_axi_laser_driver_pkg.sv b/library/regmaps/adi_regmap_axi_laser_driver_pkg.sv new file mode 100644 index 00000000..07eea13b --- /dev/null +++ b/library/regmaps/adi_regmap_axi_laser_driver_pkg.sv @@ -0,0 +1,343 @@ +// *************************************************************************** +// *************************************************************************** +// Copyright (C) 2014 - 2025 Analog Devices, Inc. All rights reserved. +// +// In this HDL repository, there are many different and unique modules, consisting +// of various HDL (Verilog or VHDL) components. The individual modules are +// developed independently, and may be accompanied by separate and unique license +// terms. +// +// The user should read each of these license terms, and understand the +// freedoms and responsabilities that he or she has by using this source/core. +// +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. +// +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: +// +// 1. The GNU General Public License version 2 as published by the +// Free Software Foundation, which can be found in the top level directory +// of this repository (LICENSE_GPL2), and also online at: +// +// +// OR +// +// 2. An ADI specific BSD license, which can be found in the top level directory +// of this repository (LICENSE_ADIBSD), and also on-line at: +// https://github.com/analogdevicesinc/hdl/blob/main/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. +// +// *************************************************************************** +// *************************************************************************** +/* Auto generated Register Map */ +/* Jan 28 13:30:17 2025 v0.3.55 */ + +package adi_regmap_axi_laser_driver_pkg; + import logger_pkg::*; + import adi_api_pkg::*; + + class adi_regmap_axi_laser_driver extends adi_regmap; + + /* AXI Laser Driver (axi_laser_driver) */ + class VERSION_CLASS extends register_base; + field_base VERSION_MAJOR_F; + field_base VERSION_MINOR_F; + field_base VERSION_PATCH_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.VERSION_MAJOR_F = new("VERSION_MAJOR", 31, 16, RO, 'h1, this); + this.VERSION_MINOR_F = new("VERSION_MINOR", 15, 8, RO, 'h1, this); + this.VERSION_PATCH_F = new("VERSION_PATCH", 7, 0, RO, 'h61, this); + + this.initialization_done = 1; + endfunction: new + endclass: VERSION_CLASS + + class ID_CLASS extends register_base; + field_base ID_F; + + function new( + input string name, + input int address, + input int ID, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.ID_F = new("ID", 31, 0, RO, ID, this); + + this.initialization_done = 1; + endfunction: new + endclass: ID_CLASS + + class SCRATCH_CLASS extends register_base; + field_base SCRATCH_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.SCRATCH_F = new("SCRATCH", 31, 0, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: SCRATCH_CLASS + + class CONFIG_PWM_CLASS extends register_base; + field_base RESET_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.RESET_F = new("RESET", 0, 0, RW, 'h1, this); + + this.initialization_done = 1; + endfunction: new + endclass: CONFIG_PWM_CLASS + + class CONFIG_PERIOD_CLASS extends register_base; + field_base PWM_PERIOD_F; + + function new( + input string name, + input int address, + input int PULSE_PERIOD, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.PWM_PERIOD_F = new("PWM_PERIOD", 31, 0, RW, PULSE_PERIOD, this); + + this.initialization_done = 1; + endfunction: new + endclass: CONFIG_PERIOD_CLASS + + class CONFIG_WIDTH_CLASS extends register_base; + field_base PWM_WIDTH_F; + + function new( + input string name, + input int address, + input int PULSE_WIDTH, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.PWM_WIDTH_F = new("PWM_WIDTH", 31, 0, RW, PULSE_WIDTH, this); + + this.initialization_done = 1; + endfunction: new + endclass: CONFIG_WIDTH_CLASS + + class STATUS_LDRIVER_CLASS extends register_base; + field_base DRIVER_OTW_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.DRIVER_OTW_F = new("DRIVER_OTW", 0, 0, RO, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: STATUS_LDRIVER_CLASS + + class EXT_CLK_MONITOR_CLASS extends register_base; + field_base EXT_CLK_FREQ_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.EXT_CLK_FREQ_F = new("EXT_CLK_FREQ", 31, 0, RO, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: EXT_CLK_MONITOR_CLASS + + class IRQ_PENDING_CLASS extends register_base; + field_base IRQ_PULSE_PENDING_F; + field_base IRQ_OTW_ENTER_PENDING_F; + field_base IRQ_OTW_EXIT_PENDING_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.IRQ_PULSE_PENDING_F = new("IRQ_PULSE_PENDING", 0, 0, RW1C, 'h0, this); + this.IRQ_OTW_ENTER_PENDING_F = new("IRQ_OTW_ENTER_PENDING", 1, 1, RW1C, 'h0, this); + this.IRQ_OTW_EXIT_PENDING_F = new("IRQ_OTW_EXIT_PENDING", 2, 2, RW1C, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: IRQ_PENDING_CLASS + + class IRQ_SOURCE_CLASS extends register_base; + field_base IRQ_PULSE_SOURCE_F; + field_base IRQ_OTW_ENTER_SOURCE_F; + field_base IRQ_OTW_EXIT_SOURCE_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.IRQ_PULSE_SOURCE_F = new("IRQ_PULSE_SOURCE", 0, 0, RO, 'h0, this); + this.IRQ_OTW_ENTER_SOURCE_F = new("IRQ_OTW_ENTER_SOURCE", 1, 1, RO, 'h0, this); + this.IRQ_OTW_EXIT_SOURCE_F = new("IRQ_OTW_EXIT_SOURCE", 2, 2, RO, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: IRQ_SOURCE_CLASS + + class SEQUENCER_CONTROL_CLASS extends register_base; + field_base SEQUENCER_ENABLE_F; + field_base AUTO_SEQUENCE_EN_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.SEQUENCER_ENABLE_F = new("SEQUENCER_ENABLE", 0, 0, RW, 'h0, this); + this.AUTO_SEQUENCE_EN_F = new("AUTO_SEQUENCE_EN", 1, 1, RW, 'h1, this); + + this.initialization_done = 1; + endfunction: new + endclass: SEQUENCER_CONTROL_CLASS + + class SEQUENCER_OFFSET_CLASS extends register_base; + field_base TIA_CHSEL_OFFSET_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.TIA_CHSEL_OFFSET_F = new("TIA_CHSEL_OFFSET", 31, 0, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: SEQUENCER_OFFSET_CLASS + + class SEQUENCE_AUTO_CONFIG_CLASS extends register_base; + field_base SEQUENCE_VALUE0_F; + field_base SEQUENCE_VALUE1_F; + field_base SEQUENCE_VALUE2_F; + field_base SEQUENCE_VALUE3_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.SEQUENCE_VALUE0_F = new("SEQUENCE_VALUE0", 1, 0, RW, 'h0, this); + this.SEQUENCE_VALUE1_F = new("SEQUENCE_VALUE1", 5, 4, RW, 'h1, this); + this.SEQUENCE_VALUE2_F = new("SEQUENCE_VALUE2", 9, 8, RW, 'h2, this); + this.SEQUENCE_VALUE3_F = new("SEQUENCE_VALUE3", 13, 12, RW, 'h3, this); + + this.initialization_done = 1; + endfunction: new + endclass: SEQUENCE_AUTO_CONFIG_CLASS + + class TIA_MANUAL_CONFIG_CLASS extends register_base; + field_base TIA0_CHSEL_MANUAL_F; + field_base TIA1_CHSEL_MANUAL_F; + field_base TIA2_CHSEL_MANUAL_F; + field_base TIA3_CHSEL_MANUAL_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.TIA0_CHSEL_MANUAL_F = new("TIA0_CHSEL_MANUAL", 1, 0, RW, 'h0, this); + this.TIA1_CHSEL_MANUAL_F = new("TIA1_CHSEL_MANUAL", 5, 4, RW, 'h0, this); + this.TIA2_CHSEL_MANUAL_F = new("TIA2_CHSEL_MANUAL", 9, 8, RW, 'h0, this); + this.TIA3_CHSEL_MANUAL_F = new("TIA3_CHSEL_MANUAL", 13, 12, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: TIA_MANUAL_CONFIG_CLASS + + VERSION_CLASS VERSION_R; + ID_CLASS ID_R; + SCRATCH_CLASS SCRATCH_R; + CONFIG_PWM_CLASS CONFIG_PWM_R; + CONFIG_PERIOD_CLASS CONFIG_PERIOD_R; + CONFIG_WIDTH_CLASS CONFIG_WIDTH_R; + STATUS_LDRIVER_CLASS STATUS_LDRIVER_R; + EXT_CLK_MONITOR_CLASS EXT_CLK_MONITOR_R; + IRQ_PENDING_CLASS IRQ_PENDING_R; + IRQ_SOURCE_CLASS IRQ_SOURCE_R; + SEQUENCER_CONTROL_CLASS SEQUENCER_CONTROL_R; + SEQUENCER_OFFSET_CLASS SEQUENCER_OFFSET_R; + SEQUENCE_AUTO_CONFIG_CLASS SEQUENCE_AUTO_CONFIG_R; + TIA_MANUAL_CONFIG_CLASS TIA_MANUAL_CONFIG_R; + + function new( + input string name, + input int address, + input int ID, + input int PULSE_PERIOD, + input int PULSE_WIDTH, + input adi_api parent = null); + + super.new(name, address, parent); + + this.VERSION_R = new("VERSION", 'h0, this); + this.ID_R = new("ID", 'h4, ID, this); + this.SCRATCH_R = new("SCRATCH", 'h8, this); + this.CONFIG_PWM_R = new("CONFIG_PWM", 'h10, this); + this.CONFIG_PERIOD_R = new("CONFIG_PERIOD", 'h14, PULSE_PERIOD, this); + this.CONFIG_WIDTH_R = new("CONFIG_WIDTH", 'h18, PULSE_WIDTH, this); + this.STATUS_LDRIVER_R = new("STATUS_LDRIVER", 'h84, this); + this.EXT_CLK_MONITOR_R = new("EXT_CLK_MONITOR", 'h88, this); + this.IRQ_PENDING_R = new("IRQ_PENDING", 'ha4, this); + this.IRQ_SOURCE_R = new("IRQ_SOURCE", 'ha8, this); + this.SEQUENCER_CONTROL_R = new("SEQUENCER_CONTROL", 'hac, this); + this.SEQUENCER_OFFSET_R = new("SEQUENCER_OFFSET", 'hb0, this); + this.SEQUENCE_AUTO_CONFIG_R = new("SEQUENCE_AUTO_CONFIG", 'hb4, this); + this.TIA_MANUAL_CONFIG_R = new("TIA_MANUAL_CONFIG", 'hb8, this); + + this.info($sformatf("Initialized"), ADI_VERBOSITY_HIGH); + endfunction: new + + endclass: adi_regmap_axi_laser_driver + +endpackage: adi_regmap_axi_laser_driver_pkg diff --git a/library/regmaps/adi_regmap_axi_logic_analyzer_pkg.sv b/library/regmaps/adi_regmap_axi_logic_analyzer_pkg.sv new file mode 100644 index 00000000..011170fc --- /dev/null +++ b/library/regmaps/adi_regmap_axi_logic_analyzer_pkg.sv @@ -0,0 +1,509 @@ +// *************************************************************************** +// *************************************************************************** +// Copyright (C) 2014 - 2025 Analog Devices, Inc. All rights reserved. +// +// In this HDL repository, there are many different and unique modules, consisting +// of various HDL (Verilog or VHDL) components. The individual modules are +// developed independently, and may be accompanied by separate and unique license +// terms. +// +// The user should read each of these license terms, and understand the +// freedoms and responsabilities that he or she has by using this source/core. +// +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. +// +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: +// +// 1. The GNU General Public License version 2 as published by the +// Free Software Foundation, which can be found in the top level directory +// of this repository (LICENSE_GPL2), and also online at: +// +// +// OR +// +// 2. An ADI specific BSD license, which can be found in the top level directory +// of this repository (LICENSE_ADIBSD), and also on-line at: +// https://github.com/analogdevicesinc/hdl/blob/main/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. +// +// *************************************************************************** +// *************************************************************************** +/* Auto generated Register Map */ +/* Jan 28 13:30:17 2025 v0.3.55 */ + +package adi_regmap_axi_logic_analyzer_pkg; + import logger_pkg::*; + import adi_api_pkg::*; + + class adi_regmap_axi_logic_analyzer extends adi_regmap; + + /* Logic Analyzer and Pattern Generator (axi_logic_analyzer) */ + class VERSION_CLASS extends register_base; + field_base VERSION_MAJOR_F; + field_base VERSION_MINOR_F; + field_base VERSION_PATCH_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.VERSION_MAJOR_F = new("VERSION_MAJOR", 31, 16, RO, 'h2, this); + this.VERSION_MINOR_F = new("VERSION_MINOR", 15, 8, RO, 'h1, this); + this.VERSION_PATCH_F = new("VERSION_PATCH", 7, 0, RO, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: VERSION_CLASS + + class SCRATCH_CLASS extends register_base; + field_base SCRATCH_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.SCRATCH_F = new("SCRATCH", 31, 0, RW, 'hXXXXXXXX, this); + + this.initialization_done = 1; + endfunction: new + endclass: SCRATCH_CLASS + + class DIVIDER_COUNTER_LA_CLASS extends register_base; + field_base DIVIDER_COUNTER_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.DIVIDER_COUNTER_F = new("DIVIDER_COUNTER", 31, 0, RW, 'hXXXXXXXX, this); + + this.initialization_done = 1; + endfunction: new + endclass: DIVIDER_COUNTER_LA_CLASS + + class DIVIDER_COUNTER_PG_CLASS extends register_base; + field_base DIVIDER_COUNTER_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.DIVIDER_COUNTER_F = new("DIVIDER_COUNTER", 31, 0, RW, 'hXXXXXXXX, this); + + this.initialization_done = 1; + endfunction: new + endclass: DIVIDER_COUNTER_PG_CLASS + + class IO_SELECTION_CLASS extends register_base; + field_base DIRECTION_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.DIRECTION_F = new("DIRECTION", 15, 0, RW, 'hXXXXXXXX, this); + + this.initialization_done = 1; + endfunction: new + endclass: IO_SELECTION_CLASS + + class EDGE_DETECT_CONTROL_CLASS extends register_base; + field_base TRIGGER_F; + field_base DATA_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.TRIGGER_F = new("TRIGGER", 17, 16, RW, 'hXXXXXXXX, this); + this.DATA_F = new("DATA", 15, 0, RW, 'hXXXXXXXX, this); + + this.initialization_done = 1; + endfunction: new + endclass: EDGE_DETECT_CONTROL_CLASS + + class RISE_EDGE_CONTROL_CLASS extends register_base; + field_base TRIGGER_F; + field_base DATA_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.TRIGGER_F = new("TRIGGER", 17, 16, RW, 'hXXXXXXXX, this); + this.DATA_F = new("DATA", 15, 0, RW, 'hXXXXXXXX, this); + + this.initialization_done = 1; + endfunction: new + endclass: RISE_EDGE_CONTROL_CLASS + + class FALL_EDGE_CONTROL_CLASS extends register_base; + field_base TRIGGER_F; + field_base DATA_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.TRIGGER_F = new("TRIGGER", 17, 16, RW, 'hXXXXXXXX, this); + this.DATA_F = new("DATA", 15, 0, RW, 'hXXXXXXXX, this); + + this.initialization_done = 1; + endfunction: new + endclass: FALL_EDGE_CONTROL_CLASS + + class LOW_LEVEL_CONTROL_CLASS extends register_base; + field_base TRIGGER_F; + field_base DATA_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.TRIGGER_F = new("TRIGGER", 17, 16, RW, 'hXXXXXXXX, this); + this.DATA_F = new("DATA", 15, 0, RW, 'hXXXXXXXX, this); + + this.initialization_done = 1; + endfunction: new + endclass: LOW_LEVEL_CONTROL_CLASS + + class HIGH_LEVEL_CONTROL_CLASS extends register_base; + field_base TRIGGER_F; + field_base DATA_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.TRIGGER_F = new("TRIGGER", 17, 16, RW, 'hXXXXXXXX, this); + this.DATA_F = new("DATA", 15, 0, RW, 'hXXXXXXXX, this); + + this.initialization_done = 1; + endfunction: new + endclass: HIGH_LEVEL_CONTROL_CLASS + + class FIFO_DEPTH_CLASS extends register_base; + field_base FIFO_DEPTH_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.FIFO_DEPTH_F = new("FIFO_DEPTH", 31, 0, RW, 'hXXXXXXXX, this); + + this.initialization_done = 1; + endfunction: new + endclass: FIFO_DEPTH_CLASS + + class TRIGGER_LOGIC_CLASS extends register_base; + field_base TRIGGER_MUX_OUT_F; + field_base TRIGGER_LOGIC_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.TRIGGER_MUX_OUT_F = new("TRIGGER_MUX_OUT", 6, 4, RW, 'hXXXXXXXX, this); + this.TRIGGER_LOGIC_F = new("TRIGGER_LOGIC", 0, 0, RW, 'hXXXXXXXX, this); + + this.initialization_done = 1; + endfunction: new + endclass: TRIGGER_LOGIC_CLASS + + class CLOCK_SELECT_CLASS extends register_base; + field_base CLOCK_SELECT_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.CLOCK_SELECT_F = new("CLOCK_SELECT", 0, 0, RW, 'hXXXXXXXX, this); + + this.initialization_done = 1; + endfunction: new + endclass: CLOCK_SELECT_CLASS + + class OVERWRITE_MASK_CLASS extends register_base; + field_base OVERWRITE_MASK_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.OVERWRITE_MASK_F = new("OVERWRITE_MASK", 15, 0, RW, 'hXXXXXXXX, this); + + this.initialization_done = 1; + endfunction: new + endclass: OVERWRITE_MASK_CLASS + + class OVERWRITE_DATA_CLASS extends register_base; + field_base OVERWRITE_DATA_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.OVERWRITE_DATA_F = new("OVERWRITE_DATA", 15, 0, RW, 'hXXXXXXXX, this); + + this.initialization_done = 1; + endfunction: new + endclass: OVERWRITE_DATA_CLASS + + class INPUT_DATA_CLASS extends register_base; + field_base INPUT_DATA_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.INPUT_DATA_F = new("INPUT_DATA", 15, 0, RO, 'hXXXXXXXX, this); + + this.initialization_done = 1; + endfunction: new + endclass: INPUT_DATA_CLASS + + class OUTPUT_MODE_CLASS extends register_base; + field_base OUTPUT_MODE_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.OUTPUT_MODE_F = new("OUTPUT_MODE", 0, 0, RW, 'hXXXXXXXX, this); + + this.initialization_done = 1; + endfunction: new + endclass: OUTPUT_MODE_CLASS + + class TRIGGER_DELAY_CLASS extends register_base; + field_base TRIGGER_DELAY_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.TRIGGER_DELAY_F = new("TRIGGER_DELAY", 31, 0, RW, 'hXXXXXXXX, this); + + this.initialization_done = 1; + endfunction: new + endclass: TRIGGER_DELAY_CLASS + + class TRIGGERED_CLASS extends register_base; + field_base TRIGGERED_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.TRIGGERED_F = new("TRIGGERED", 0, 0, RW1C, 'hXXXXXXXX, this); + + this.initialization_done = 1; + endfunction: new + endclass: TRIGGERED_CLASS + + class STREAMING_CLASS extends register_base; + field_base STREAMING_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.STREAMING_F = new("STREAMING", 0, 0, RW, 'hXXXXXXXX, this); + + this.initialization_done = 1; + endfunction: new + endclass: STREAMING_CLASS + + class TRIGGER_HOLDOFF_CLASS extends register_base; + field_base TRIGGER_HOLDOFF_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.TRIGGER_HOLDOFF_F = new("TRIGGER_HOLDOFF", 31, 0, RW, 'hXXXXXXXX, this); + + this.initialization_done = 1; + endfunction: new + endclass: TRIGGER_HOLDOFF_CLASS + + class PG_TRIGGER_CONFIG_CLASS extends register_base; + field_base EN_TRIGGER_LA_F; + field_base EN_TRIGGER_ADC_F; + field_base EN_TRIGGER_TO_F; + field_base EN_TRIGGER_TI_F; + field_base HIGH_LEVEL_F; + field_base LOW_LEVEL_F; + field_base FALL_EDGE_F; + field_base RISE_EDGE_F; + field_base ANY_EDGE_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.EN_TRIGGER_LA_F = new("EN_TRIGGER_LA", 19, 19, RW, 'hXXXXXXXX, this); + this.EN_TRIGGER_ADC_F = new("EN_TRIGGER_ADC", 18, 18, RW, 'hXXXXXXXX, this); + this.EN_TRIGGER_TO_F = new("EN_TRIGGER_TO", 17, 17, RW, 'hXXXXXXXX, this); + this.EN_TRIGGER_TI_F = new("EN_TRIGGER_TI", 16, 16, RW, 'hXXXXXXXX, this); + this.HIGH_LEVEL_F = new("HIGH_LEVEL", 9, 8, RW, 'hXXXXXXXX, this); + this.LOW_LEVEL_F = new("LOW_LEVEL", 7, 6, RW, 'hXXXXXXXX, this); + this.FALL_EDGE_F = new("FALL_EDGE", 5, 4, RW, 'hXXXXXXXX, this); + this.RISE_EDGE_F = new("RISE_EDGE", 3, 2, RW, 'hXXXXXXXX, this); + this.ANY_EDGE_F = new("ANY_EDGE", 1, 0, RW, 'hXXXXXXXX, this); + + this.initialization_done = 1; + endfunction: new + endclass: PG_TRIGGER_CONFIG_CLASS + + class DATA_DELAY_CONTROL_CLASS extends register_base; + field_base MASTER_DELAY_CTRL_F; + field_base RATE_GEN_SELECT_F; + field_base MANUAL_DATA_DELAY_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.MASTER_DELAY_CTRL_F = new("MASTER_DELAY_CTRL", 9, 9, RW, 'hXXXXXXXX, this); + this.RATE_GEN_SELECT_F = new("RATE_GEN_SELECT", 8, 8, RW, 'hXXXXXXXX, this); + this.MANUAL_DATA_DELAY_F = new("MANUAL_DATA_DELAY", 5, 0, RW, 'hXXXXXXXX, this); + + this.initialization_done = 1; + endfunction: new + endclass: DATA_DELAY_CONTROL_CLASS + + VERSION_CLASS VERSION_R; + SCRATCH_CLASS SCRATCH_R; + DIVIDER_COUNTER_LA_CLASS DIVIDER_COUNTER_LA_R; + DIVIDER_COUNTER_PG_CLASS DIVIDER_COUNTER_PG_R; + IO_SELECTION_CLASS IO_SELECTION_R; + EDGE_DETECT_CONTROL_CLASS EDGE_DETECT_CONTROL_R; + RISE_EDGE_CONTROL_CLASS RISE_EDGE_CONTROL_R; + FALL_EDGE_CONTROL_CLASS FALL_EDGE_CONTROL_R; + LOW_LEVEL_CONTROL_CLASS LOW_LEVEL_CONTROL_R; + HIGH_LEVEL_CONTROL_CLASS HIGH_LEVEL_CONTROL_R; + FIFO_DEPTH_CLASS FIFO_DEPTH_R; + TRIGGER_LOGIC_CLASS TRIGGER_LOGIC_R; + CLOCK_SELECT_CLASS CLOCK_SELECT_R; + OVERWRITE_MASK_CLASS OVERWRITE_MASK_R; + OVERWRITE_DATA_CLASS OVERWRITE_DATA_R; + INPUT_DATA_CLASS INPUT_DATA_R; + OUTPUT_MODE_CLASS OUTPUT_MODE_R; + TRIGGER_DELAY_CLASS TRIGGER_DELAY_R; + TRIGGERED_CLASS TRIGGERED_R; + STREAMING_CLASS STREAMING_R; + TRIGGER_HOLDOFF_CLASS TRIGGER_HOLDOFF_R; + PG_TRIGGER_CONFIG_CLASS PG_TRIGGER_CONFIG_R; + DATA_DELAY_CONTROL_CLASS DATA_DELAY_CONTROL_R; + + function new( + input string name, + input int address, + input adi_api parent = null); + + super.new(name, address, parent); + + this.VERSION_R = new("VERSION", 'h0, this); + this.SCRATCH_R = new("SCRATCH", 'h4, this); + this.DIVIDER_COUNTER_LA_R = new("DIVIDER_COUNTER_LA", 'h8, this); + this.DIVIDER_COUNTER_PG_R = new("DIVIDER_COUNTER_PG", 'hc, this); + this.IO_SELECTION_R = new("IO_SELECTION", 'h10, this); + this.EDGE_DETECT_CONTROL_R = new("EDGE_DETECT_CONTROL", 'h14, this); + this.RISE_EDGE_CONTROL_R = new("RISE_EDGE_CONTROL", 'h18, this); + this.FALL_EDGE_CONTROL_R = new("FALL_EDGE_CONTROL", 'h1c, this); + this.LOW_LEVEL_CONTROL_R = new("LOW_LEVEL_CONTROL", 'h20, this); + this.HIGH_LEVEL_CONTROL_R = new("HIGH_LEVEL_CONTROL", 'h24, this); + this.FIFO_DEPTH_R = new("FIFO_DEPTH", 'h28, this); + this.TRIGGER_LOGIC_R = new("TRIGGER_LOGIC", 'h2c, this); + this.CLOCK_SELECT_R = new("CLOCK_SELECT", 'h30, this); + this.OVERWRITE_MASK_R = new("OVERWRITE_MASK", 'h34, this); + this.OVERWRITE_DATA_R = new("OVERWRITE_DATA", 'h38, this); + this.INPUT_DATA_R = new("INPUT_DATA", 'h3c, this); + this.OUTPUT_MODE_R = new("OUTPUT_MODE", 'h40, this); + this.TRIGGER_DELAY_R = new("TRIGGER_DELAY", 'h44, this); + this.TRIGGERED_R = new("TRIGGERED", 'h48, this); + this.STREAMING_R = new("STREAMING", 'h4c, this); + this.TRIGGER_HOLDOFF_R = new("TRIGGER_HOLDOFF", 'h50, this); + this.PG_TRIGGER_CONFIG_R = new("PG_TRIGGER_CONFIG", 'h54, this); + this.DATA_DELAY_CONTROL_R = new("DATA_DELAY_CONTROL", 'h50, this); + + this.info($sformatf("Initialized"), ADI_VERBOSITY_HIGH); + endfunction: new + + endclass: adi_regmap_axi_logic_analyzer + +endpackage: adi_regmap_axi_logic_analyzer_pkg diff --git a/library/regmaps/adi_regmap_clkgen_pkg.sv b/library/regmaps/adi_regmap_clkgen_pkg.sv index 784753a7..73851e06 100644 --- a/library/regmaps/adi_regmap_clkgen_pkg.sv +++ b/library/regmaps/adi_regmap_clkgen_pkg.sv @@ -1,6 +1,6 @@ // *************************************************************************** // *************************************************************************** -// Copyright (C) 2014 - 2024 Analog Devices, Inc. All rights reserved. +// Copyright (C) 2014 - 2025 Analog Devices, Inc. All rights reserved. // // In this HDL repository, there are many different and unique modules, consisting // of various HDL (Verilog or VHDL) components. The individual modules are @@ -33,80 +33,145 @@ // *************************************************************************** // *************************************************************************** /* Auto generated Register Map */ -/* Thu Mar 28 13:22:23 2024 */ +/* Jan 28 13:30:16 2025 v0.3.55 */ package adi_regmap_clkgen_pkg; - import adi_regmap_pkg::*; - - -/* Clock Generator (axi_clkgen) */ - - const reg_t AXI_CLKGEN_REG_RSTN = '{ 'h0040, "REG_RSTN" , '{ - "MMCM_RSTN": '{ 1, 1, RW, 'h0 }, - "RSTN": '{ 0, 0, RW, 'h0 }}}; - `define SET_AXI_CLKGEN_REG_RSTN_MMCM_RSTN(x) SetField(AXI_CLKGEN_REG_RSTN,"MMCM_RSTN",x) - `define GET_AXI_CLKGEN_REG_RSTN_MMCM_RSTN(x) GetField(AXI_CLKGEN_REG_RSTN,"MMCM_RSTN",x) - `define DEFAULT_AXI_CLKGEN_REG_RSTN_MMCM_RSTN GetResetValue(AXI_CLKGEN_REG_RSTN,"MMCM_RSTN") - `define UPDATE_AXI_CLKGEN_REG_RSTN_MMCM_RSTN(x,y) UpdateField(AXI_CLKGEN_REG_RSTN,"MMCM_RSTN",x,y) - `define SET_AXI_CLKGEN_REG_RSTN_RSTN(x) SetField(AXI_CLKGEN_REG_RSTN,"RSTN",x) - `define GET_AXI_CLKGEN_REG_RSTN_RSTN(x) GetField(AXI_CLKGEN_REG_RSTN,"RSTN",x) - `define DEFAULT_AXI_CLKGEN_REG_RSTN_RSTN GetResetValue(AXI_CLKGEN_REG_RSTN,"RSTN") - `define UPDATE_AXI_CLKGEN_REG_RSTN_RSTN(x,y) UpdateField(AXI_CLKGEN_REG_RSTN,"RSTN",x,y) - - const reg_t AXI_CLKGEN_REG_CLK_SEL = '{ 'h0044, "REG_CLK_SEL" , '{ - "CLK_SEL": '{ 0, 0, RW, 'h0 }}}; - `define SET_AXI_CLKGEN_REG_CLK_SEL_CLK_SEL(x) SetField(AXI_CLKGEN_REG_CLK_SEL,"CLK_SEL",x) - `define GET_AXI_CLKGEN_REG_CLK_SEL_CLK_SEL(x) GetField(AXI_CLKGEN_REG_CLK_SEL,"CLK_SEL",x) - `define DEFAULT_AXI_CLKGEN_REG_CLK_SEL_CLK_SEL GetResetValue(AXI_CLKGEN_REG_CLK_SEL,"CLK_SEL") - `define UPDATE_AXI_CLKGEN_REG_CLK_SEL_CLK_SEL(x,y) UpdateField(AXI_CLKGEN_REG_CLK_SEL,"CLK_SEL",x,y) - - const reg_t AXI_CLKGEN_REG_MMCM_STATUS = '{ 'h005c, "REG_MMCM_STATUS" , '{ - "MMCM_LOCKED": '{ 0, 0, RO, 'h0 }}}; - `define SET_AXI_CLKGEN_REG_MMCM_STATUS_MMCM_LOCKED(x) SetField(AXI_CLKGEN_REG_MMCM_STATUS,"MMCM_LOCKED",x) - `define GET_AXI_CLKGEN_REG_MMCM_STATUS_MMCM_LOCKED(x) GetField(AXI_CLKGEN_REG_MMCM_STATUS,"MMCM_LOCKED",x) - `define DEFAULT_AXI_CLKGEN_REG_MMCM_STATUS_MMCM_LOCKED GetResetValue(AXI_CLKGEN_REG_MMCM_STATUS,"MMCM_LOCKED") - `define UPDATE_AXI_CLKGEN_REG_MMCM_STATUS_MMCM_LOCKED(x,y) UpdateField(AXI_CLKGEN_REG_MMCM_STATUS,"MMCM_LOCKED",x,y) - - const reg_t AXI_CLKGEN_REG_DRP_CNTRL = '{ 'h0070, "REG_DRP_CNTRL" , '{ - "DRP_RWN": '{ 28, 28, RW, 'h0 }, - "DRP_ADDRESS": '{ 27, 16, RW, 'h000 }, - "DRP_WDATA": '{ 15, 0, RW, 'h0000 }}}; - `define SET_AXI_CLKGEN_REG_DRP_CNTRL_DRP_RWN(x) SetField(AXI_CLKGEN_REG_DRP_CNTRL,"DRP_RWN",x) - `define GET_AXI_CLKGEN_REG_DRP_CNTRL_DRP_RWN(x) GetField(AXI_CLKGEN_REG_DRP_CNTRL,"DRP_RWN",x) - `define DEFAULT_AXI_CLKGEN_REG_DRP_CNTRL_DRP_RWN GetResetValue(AXI_CLKGEN_REG_DRP_CNTRL,"DRP_RWN") - `define UPDATE_AXI_CLKGEN_REG_DRP_CNTRL_DRP_RWN(x,y) UpdateField(AXI_CLKGEN_REG_DRP_CNTRL,"DRP_RWN",x,y) - `define SET_AXI_CLKGEN_REG_DRP_CNTRL_DRP_ADDRESS(x) SetField(AXI_CLKGEN_REG_DRP_CNTRL,"DRP_ADDRESS",x) - `define GET_AXI_CLKGEN_REG_DRP_CNTRL_DRP_ADDRESS(x) GetField(AXI_CLKGEN_REG_DRP_CNTRL,"DRP_ADDRESS",x) - `define DEFAULT_AXI_CLKGEN_REG_DRP_CNTRL_DRP_ADDRESS GetResetValue(AXI_CLKGEN_REG_DRP_CNTRL,"DRP_ADDRESS") - `define UPDATE_AXI_CLKGEN_REG_DRP_CNTRL_DRP_ADDRESS(x,y) UpdateField(AXI_CLKGEN_REG_DRP_CNTRL,"DRP_ADDRESS",x,y) - `define SET_AXI_CLKGEN_REG_DRP_CNTRL_DRP_WDATA(x) SetField(AXI_CLKGEN_REG_DRP_CNTRL,"DRP_WDATA",x) - `define GET_AXI_CLKGEN_REG_DRP_CNTRL_DRP_WDATA(x) GetField(AXI_CLKGEN_REG_DRP_CNTRL,"DRP_WDATA",x) - `define DEFAULT_AXI_CLKGEN_REG_DRP_CNTRL_DRP_WDATA GetResetValue(AXI_CLKGEN_REG_DRP_CNTRL,"DRP_WDATA") - `define UPDATE_AXI_CLKGEN_REG_DRP_CNTRL_DRP_WDATA(x,y) UpdateField(AXI_CLKGEN_REG_DRP_CNTRL,"DRP_WDATA",x,y) - - const reg_t AXI_CLKGEN_REG_DRP_STATUS = '{ 'h0074, "REG_DRP_STATUS" , '{ - "MMCM_LOCKED": '{ 17, 17, RO, 'h0 }, - "DRP_STATUS": '{ 16, 16, RO, 'h0 }, - "DRP_RDATA": '{ 15, 0, RO, 'h0000 }}}; - `define SET_AXI_CLKGEN_REG_DRP_STATUS_MMCM_LOCKED(x) SetField(AXI_CLKGEN_REG_DRP_STATUS,"MMCM_LOCKED",x) - `define GET_AXI_CLKGEN_REG_DRP_STATUS_MMCM_LOCKED(x) GetField(AXI_CLKGEN_REG_DRP_STATUS,"MMCM_LOCKED",x) - `define DEFAULT_AXI_CLKGEN_REG_DRP_STATUS_MMCM_LOCKED GetResetValue(AXI_CLKGEN_REG_DRP_STATUS,"MMCM_LOCKED") - `define UPDATE_AXI_CLKGEN_REG_DRP_STATUS_MMCM_LOCKED(x,y) UpdateField(AXI_CLKGEN_REG_DRP_STATUS,"MMCM_LOCKED",x,y) - `define SET_AXI_CLKGEN_REG_DRP_STATUS_DRP_STATUS(x) SetField(AXI_CLKGEN_REG_DRP_STATUS,"DRP_STATUS",x) - `define GET_AXI_CLKGEN_REG_DRP_STATUS_DRP_STATUS(x) GetField(AXI_CLKGEN_REG_DRP_STATUS,"DRP_STATUS",x) - `define DEFAULT_AXI_CLKGEN_REG_DRP_STATUS_DRP_STATUS GetResetValue(AXI_CLKGEN_REG_DRP_STATUS,"DRP_STATUS") - `define UPDATE_AXI_CLKGEN_REG_DRP_STATUS_DRP_STATUS(x,y) UpdateField(AXI_CLKGEN_REG_DRP_STATUS,"DRP_STATUS",x,y) - `define SET_AXI_CLKGEN_REG_DRP_STATUS_DRP_RDATA(x) SetField(AXI_CLKGEN_REG_DRP_STATUS,"DRP_RDATA",x) - `define GET_AXI_CLKGEN_REG_DRP_STATUS_DRP_RDATA(x) GetField(AXI_CLKGEN_REG_DRP_STATUS,"DRP_RDATA",x) - `define DEFAULT_AXI_CLKGEN_REG_DRP_STATUS_DRP_RDATA GetResetValue(AXI_CLKGEN_REG_DRP_STATUS,"DRP_RDATA") - `define UPDATE_AXI_CLKGEN_REG_DRP_STATUS_DRP_RDATA(x,y) UpdateField(AXI_CLKGEN_REG_DRP_STATUS,"DRP_RDATA",x,y) - - const reg_t AXI_CLKGEN_REG_FPGA_VOLTAGE = '{ 'h0140, "REG_FPGA_VOLTAGE" , '{ - "FPGA_VOLTAGE": '{ 15, 0, RO, 'h0 }}}; - `define SET_AXI_CLKGEN_REG_FPGA_VOLTAGE_FPGA_VOLTAGE(x) SetField(AXI_CLKGEN_REG_FPGA_VOLTAGE,"FPGA_VOLTAGE",x) - `define GET_AXI_CLKGEN_REG_FPGA_VOLTAGE_FPGA_VOLTAGE(x) GetField(AXI_CLKGEN_REG_FPGA_VOLTAGE,"FPGA_VOLTAGE",x) - `define DEFAULT_AXI_CLKGEN_REG_FPGA_VOLTAGE_FPGA_VOLTAGE GetResetValue(AXI_CLKGEN_REG_FPGA_VOLTAGE,"FPGA_VOLTAGE") - `define UPDATE_AXI_CLKGEN_REG_FPGA_VOLTAGE_FPGA_VOLTAGE(x,y) UpdateField(AXI_CLKGEN_REG_FPGA_VOLTAGE,"FPGA_VOLTAGE",x,y) - - -endpackage + import logger_pkg::*; + import adi_api_pkg::*; + + class adi_regmap_clkgen extends adi_regmap; + + /* Clock Generator (axi_clkgen) */ + class RSTN_CLASS extends register_base; + field_base MMCM_RSTN_F; + field_base RSTN_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.MMCM_RSTN_F = new("MMCM_RSTN", 1, 1, RW, 'h0, this); + this.RSTN_F = new("RSTN", 0, 0, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: RSTN_CLASS + + class CLK_SEL_CLASS extends register_base; + field_base CLK_SEL_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.CLK_SEL_F = new("CLK_SEL", 0, 0, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: CLK_SEL_CLASS + + class MMCM_STATUS_CLASS extends register_base; + field_base MMCM_LOCKED_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.MMCM_LOCKED_F = new("MMCM_LOCKED", 0, 0, RO, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: MMCM_STATUS_CLASS + + class DRP_CNTRL_CLASS extends register_base; + field_base DRP_RWN_F; + field_base DRP_ADDRESS_F; + field_base DRP_WDATA_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.DRP_RWN_F = new("DRP_RWN", 28, 28, RW, 'h0, this); + this.DRP_ADDRESS_F = new("DRP_ADDRESS", 27, 16, RW, 'h0, this); + this.DRP_WDATA_F = new("DRP_WDATA", 15, 0, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: DRP_CNTRL_CLASS + + class DRP_STATUS_CLASS extends register_base; + field_base MMCM_LOCKED_F; + field_base DRP_STATUS_F; + field_base DRP_RDATA_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.MMCM_LOCKED_F = new("MMCM_LOCKED", 17, 17, RO, 'h0, this); + this.DRP_STATUS_F = new("DRP_STATUS", 16, 16, RO, 'h0, this); + this.DRP_RDATA_F = new("DRP_RDATA", 15, 0, RO, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: DRP_STATUS_CLASS + + class FPGA_VOLTAGE_CLASS extends register_base; + field_base FPGA_VOLTAGE_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.FPGA_VOLTAGE_F = new("FPGA_VOLTAGE", 15, 0, RO, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: FPGA_VOLTAGE_CLASS + + RSTN_CLASS RSTN_R; + CLK_SEL_CLASS CLK_SEL_R; + MMCM_STATUS_CLASS MMCM_STATUS_R; + DRP_CNTRL_CLASS DRP_CNTRL_R; + DRP_STATUS_CLASS DRP_STATUS_R; + FPGA_VOLTAGE_CLASS FPGA_VOLTAGE_R; + + function new( + input string name, + input int address, + input adi_api parent = null); + + super.new(name, address, parent); + + this.RSTN_R = new("RSTN", 'h40, this); + this.CLK_SEL_R = new("CLK_SEL", 'h44, this); + this.MMCM_STATUS_R = new("MMCM_STATUS", 'h5c, this); + this.DRP_CNTRL_R = new("DRP_CNTRL", 'h70, this); + this.DRP_STATUS_R = new("DRP_STATUS", 'h74, this); + this.FPGA_VOLTAGE_R = new("FPGA_VOLTAGE", 'h140, this); + + this.info($sformatf("Initialized"), ADI_VERBOSITY_HIGH); + endfunction: new + + endclass: adi_regmap_clkgen + +endpackage: adi_regmap_clkgen_pkg diff --git a/library/regmaps/adi_regmap_clock_monitor_pkg.sv b/library/regmaps/adi_regmap_clock_monitor_pkg.sv index 74d472d2..02730425 100644 --- a/library/regmaps/adi_regmap_clock_monitor_pkg.sv +++ b/library/regmaps/adi_regmap_clock_monitor_pkg.sv @@ -1,6 +1,6 @@ // *************************************************************************** // *************************************************************************** -// Copyright (C) 2014 - 2024 Analog Devices, Inc. All rights reserved. +// Copyright (C) 2014 - 2025 Analog Devices, Inc. All rights reserved. // // In this HDL repository, there are many different and unique modules, consisting // of various HDL (Verilog or VHDL) components. The individual modules are @@ -33,153 +33,147 @@ // *************************************************************************** // *************************************************************************** /* Auto generated Register Map */ -/* Thu Mar 28 13:22:23 2024 */ +/* Jan 28 13:30:16 2025 v0.3.55 */ package adi_regmap_clock_monitor_pkg; - import adi_regmap_pkg::*; - - -/* Clock Monitor (axi_clock_monitor) */ - - const reg_t axi_clock_monitor_PCORE_VERSION = '{ 'h0000, "PCORE_VERSION" , '{ - "PCORE_VERSION": '{ 31, 0, RO, 'h00000001 }}}; - `define SET_axi_clock_monitor_PCORE_VERSION_PCORE_VERSION(x) SetField(axi_clock_monitor_PCORE_VERSION,"PCORE_VERSION",x) - `define GET_axi_clock_monitor_PCORE_VERSION_PCORE_VERSION(x) GetField(axi_clock_monitor_PCORE_VERSION,"PCORE_VERSION",x) - `define DEFAULT_axi_clock_monitor_PCORE_VERSION_PCORE_VERSION GetResetValue(axi_clock_monitor_PCORE_VERSION,"PCORE_VERSION") - `define UPDATE_axi_clock_monitor_PCORE_VERSION_PCORE_VERSION(x,y) UpdateField(axi_clock_monitor_PCORE_VERSION,"PCORE_VERSION",x,y) - - const reg_t axi_clock_monitor_ID = '{ 'h0004, "ID" , '{ - "ID": '{ 31, 0, RW, 'h00000000 }}}; - `define SET_axi_clock_monitor_ID_ID(x) SetField(axi_clock_monitor_ID,"ID",x) - `define GET_axi_clock_monitor_ID_ID(x) GetField(axi_clock_monitor_ID,"ID",x) - `define DEFAULT_axi_clock_monitor_ID_ID GetResetValue(axi_clock_monitor_ID,"ID") - `define UPDATE_axi_clock_monitor_ID_ID(x,y) UpdateField(axi_clock_monitor_ID,"ID",x,y) - - const reg_t axi_clock_monitor_NUM_OF_CLOCKS = '{ 'h000c, "NUM_OF_CLOCKS" , '{ - "NUM_OF_CLOCKS": '{ 31, 0, RW, 'h00000008 }}}; - `define SET_axi_clock_monitor_NUM_OF_CLOCKS_NUM_OF_CLOCKS(x) SetField(axi_clock_monitor_NUM_OF_CLOCKS,"NUM_OF_CLOCKS",x) - `define GET_axi_clock_monitor_NUM_OF_CLOCKS_NUM_OF_CLOCKS(x) GetField(axi_clock_monitor_NUM_OF_CLOCKS,"NUM_OF_CLOCKS",x) - `define DEFAULT_axi_clock_monitor_NUM_OF_CLOCKS_NUM_OF_CLOCKS GetResetValue(axi_clock_monitor_NUM_OF_CLOCKS,"NUM_OF_CLOCKS") - `define UPDATE_axi_clock_monitor_NUM_OF_CLOCKS_NUM_OF_CLOCKS(x,y) UpdateField(axi_clock_monitor_NUM_OF_CLOCKS,"NUM_OF_CLOCKS",x,y) - - const reg_t axi_clock_monitor_OUT_RESET = '{ 'h0010, "OUT_RESET" , '{ - "reset": '{ 0x0, 0x0, RW, 'h00 }}}; - `define SET_axi_clock_monitor_OUT_RESET_reset(x) SetField(axi_clock_monitor_OUT_RESET,"reset",x) - `define GET_axi_clock_monitor_OUT_RESET_reset(x) GetField(axi_clock_monitor_OUT_RESET,"reset",x) - `define DEFAULT_axi_clock_monitor_OUT_RESET_reset GetResetValue(axi_clock_monitor_OUT_RESET,"reset") - `define UPDATE_axi_clock_monitor_OUT_RESET_reset(x,y) UpdateField(axi_clock_monitor_OUT_RESET,"reset",x,y) - - const reg_t axi_clock_monitor_CLOCK_0 = '{ 'h0040, "CLOCK_0" , '{ - "clock_0": '{ 31, 0, RO, 'h00000000 }}}; - `define SET_axi_clock_monitor_CLOCK_0_clock_0(x) SetField(axi_clock_monitor_CLOCK_0,"clock_0",x) - `define GET_axi_clock_monitor_CLOCK_0_clock_0(x) GetField(axi_clock_monitor_CLOCK_0,"clock_0",x) - `define DEFAULT_axi_clock_monitor_CLOCK_0_clock_0 GetResetValue(axi_clock_monitor_CLOCK_0,"clock_0") - `define UPDATE_axi_clock_monitor_CLOCK_0_clock_0(x,y) UpdateField(axi_clock_monitor_CLOCK_0,"clock_0",x,y) - - const reg_t axi_clock_monitor_CLOCK_1 = '{ 'h0044, "CLOCK_1" , '{ - "clock_1": '{ 31, 0, RO, 'h00000000 }}}; - `define SET_axi_clock_monitor_CLOCK_1_clock_1(x) SetField(axi_clock_monitor_CLOCK_1,"clock_1",x) - `define GET_axi_clock_monitor_CLOCK_1_clock_1(x) GetField(axi_clock_monitor_CLOCK_1,"clock_1",x) - `define DEFAULT_axi_clock_monitor_CLOCK_1_clock_1 GetResetValue(axi_clock_monitor_CLOCK_1,"clock_1") - `define UPDATE_axi_clock_monitor_CLOCK_1_clock_1(x,y) UpdateField(axi_clock_monitor_CLOCK_1,"clock_1",x,y) - - const reg_t axi_clock_monitor_CLOCK_2 = '{ 'h0048, "CLOCK_2" , '{ - "clock_2": '{ 31, 0, RO, 'h00000000 }}}; - `define SET_axi_clock_monitor_CLOCK_2_clock_2(x) SetField(axi_clock_monitor_CLOCK_2,"clock_2",x) - `define GET_axi_clock_monitor_CLOCK_2_clock_2(x) GetField(axi_clock_monitor_CLOCK_2,"clock_2",x) - `define DEFAULT_axi_clock_monitor_CLOCK_2_clock_2 GetResetValue(axi_clock_monitor_CLOCK_2,"clock_2") - `define UPDATE_axi_clock_monitor_CLOCK_2_clock_2(x,y) UpdateField(axi_clock_monitor_CLOCK_2,"clock_2",x,y) - - const reg_t axi_clock_monitor_CLOCK_3 = '{ 'h004c, "CLOCK_3" , '{ - "clock_3": '{ 31, 0, RO, 'h00000000 }}}; - `define SET_axi_clock_monitor_CLOCK_3_clock_3(x) SetField(axi_clock_monitor_CLOCK_3,"clock_3",x) - `define GET_axi_clock_monitor_CLOCK_3_clock_3(x) GetField(axi_clock_monitor_CLOCK_3,"clock_3",x) - `define DEFAULT_axi_clock_monitor_CLOCK_3_clock_3 GetResetValue(axi_clock_monitor_CLOCK_3,"clock_3") - `define UPDATE_axi_clock_monitor_CLOCK_3_clock_3(x,y) UpdateField(axi_clock_monitor_CLOCK_3,"clock_3",x,y) - - const reg_t axi_clock_monitor_CLOCK_4 = '{ 'h0050, "CLOCK_4" , '{ - "clock_4": '{ 31, 0, RO, 'h00000000 }}}; - `define SET_axi_clock_monitor_CLOCK_4_clock_4(x) SetField(axi_clock_monitor_CLOCK_4,"clock_4",x) - `define GET_axi_clock_monitor_CLOCK_4_clock_4(x) GetField(axi_clock_monitor_CLOCK_4,"clock_4",x) - `define DEFAULT_axi_clock_monitor_CLOCK_4_clock_4 GetResetValue(axi_clock_monitor_CLOCK_4,"clock_4") - `define UPDATE_axi_clock_monitor_CLOCK_4_clock_4(x,y) UpdateField(axi_clock_monitor_CLOCK_4,"clock_4",x,y) - - const reg_t axi_clock_monitor_CLOCK_5 = '{ 'h0054, "CLOCK_5" , '{ - "clock_5": '{ 31, 0, RO, 'h00000000 }}}; - `define SET_axi_clock_monitor_CLOCK_5_clock_5(x) SetField(axi_clock_monitor_CLOCK_5,"clock_5",x) - `define GET_axi_clock_monitor_CLOCK_5_clock_5(x) GetField(axi_clock_monitor_CLOCK_5,"clock_5",x) - `define DEFAULT_axi_clock_monitor_CLOCK_5_clock_5 GetResetValue(axi_clock_monitor_CLOCK_5,"clock_5") - `define UPDATE_axi_clock_monitor_CLOCK_5_clock_5(x,y) UpdateField(axi_clock_monitor_CLOCK_5,"clock_5",x,y) - - const reg_t axi_clock_monitor_CLOCK_6 = '{ 'h0058, "CLOCK_6" , '{ - "clock_6": '{ 31, 0, RO, 'h00000000 }}}; - `define SET_axi_clock_monitor_CLOCK_6_clock_6(x) SetField(axi_clock_monitor_CLOCK_6,"clock_6",x) - `define GET_axi_clock_monitor_CLOCK_6_clock_6(x) GetField(axi_clock_monitor_CLOCK_6,"clock_6",x) - `define DEFAULT_axi_clock_monitor_CLOCK_6_clock_6 GetResetValue(axi_clock_monitor_CLOCK_6,"clock_6") - `define UPDATE_axi_clock_monitor_CLOCK_6_clock_6(x,y) UpdateField(axi_clock_monitor_CLOCK_6,"clock_6",x,y) - - const reg_t axi_clock_monitor_CLOCK_7 = '{ 'h005c, "CLOCK_7" , '{ - "clock_7": '{ 31, 0, RO, 'h00000000 }}}; - `define SET_axi_clock_monitor_CLOCK_7_clock_7(x) SetField(axi_clock_monitor_CLOCK_7,"clock_7",x) - `define GET_axi_clock_monitor_CLOCK_7_clock_7(x) GetField(axi_clock_monitor_CLOCK_7,"clock_7",x) - `define DEFAULT_axi_clock_monitor_CLOCK_7_clock_7 GetResetValue(axi_clock_monitor_CLOCK_7,"clock_7") - `define UPDATE_axi_clock_monitor_CLOCK_7_clock_7(x,y) UpdateField(axi_clock_monitor_CLOCK_7,"clock_7",x,y) - - const reg_t axi_clock_monitor_CLOCK_8 = '{ 'h0060, "CLOCK_8" , '{ - "clock_8": '{ 31, 0, RO, 'h00000000 }}}; - `define SET_axi_clock_monitor_CLOCK_8_clock_8(x) SetField(axi_clock_monitor_CLOCK_8,"clock_8",x) - `define GET_axi_clock_monitor_CLOCK_8_clock_8(x) GetField(axi_clock_monitor_CLOCK_8,"clock_8",x) - `define DEFAULT_axi_clock_monitor_CLOCK_8_clock_8 GetResetValue(axi_clock_monitor_CLOCK_8,"clock_8") - `define UPDATE_axi_clock_monitor_CLOCK_8_clock_8(x,y) UpdateField(axi_clock_monitor_CLOCK_8,"clock_8",x,y) - - const reg_t axi_clock_monitor_CLOCK_9 = '{ 'h0064, "CLOCK_9" , '{ - "clock_9": '{ 31, 0, RO, 'h00000000 }}}; - `define SET_axi_clock_monitor_CLOCK_9_clock_9(x) SetField(axi_clock_monitor_CLOCK_9,"clock_9",x) - `define GET_axi_clock_monitor_CLOCK_9_clock_9(x) GetField(axi_clock_monitor_CLOCK_9,"clock_9",x) - `define DEFAULT_axi_clock_monitor_CLOCK_9_clock_9 GetResetValue(axi_clock_monitor_CLOCK_9,"clock_9") - `define UPDATE_axi_clock_monitor_CLOCK_9_clock_9(x,y) UpdateField(axi_clock_monitor_CLOCK_9,"clock_9",x,y) - - const reg_t axi_clock_monitor_CLOCK_10 = '{ 'h0068, "CLOCK_10" , '{ - "clock_10": '{ 31, 0, RO, 'h00000000 }}}; - `define SET_axi_clock_monitor_CLOCK_10_clock_10(x) SetField(axi_clock_monitor_CLOCK_10,"clock_10",x) - `define GET_axi_clock_monitor_CLOCK_10_clock_10(x) GetField(axi_clock_monitor_CLOCK_10,"clock_10",x) - `define DEFAULT_axi_clock_monitor_CLOCK_10_clock_10 GetResetValue(axi_clock_monitor_CLOCK_10,"clock_10") - `define UPDATE_axi_clock_monitor_CLOCK_10_clock_10(x,y) UpdateField(axi_clock_monitor_CLOCK_10,"clock_10",x,y) - - const reg_t axi_clock_monitor_CLOCK_11 = '{ 'h006c, "CLOCK_11" , '{ - "clock_11": '{ 31, 0, RO, 'h00000000 }}}; - `define SET_axi_clock_monitor_CLOCK_11_clock_11(x) SetField(axi_clock_monitor_CLOCK_11,"clock_11",x) - `define GET_axi_clock_monitor_CLOCK_11_clock_11(x) GetField(axi_clock_monitor_CLOCK_11,"clock_11",x) - `define DEFAULT_axi_clock_monitor_CLOCK_11_clock_11 GetResetValue(axi_clock_monitor_CLOCK_11,"clock_11") - `define UPDATE_axi_clock_monitor_CLOCK_11_clock_11(x,y) UpdateField(axi_clock_monitor_CLOCK_11,"clock_11",x,y) - - const reg_t axi_clock_monitor_CLOCK_12 = '{ 'h0070, "CLOCK_12" , '{ - "clock_12": '{ 31, 0, RO, 'h00000000 }}}; - `define SET_axi_clock_monitor_CLOCK_12_clock_12(x) SetField(axi_clock_monitor_CLOCK_12,"clock_12",x) - `define GET_axi_clock_monitor_CLOCK_12_clock_12(x) GetField(axi_clock_monitor_CLOCK_12,"clock_12",x) - `define DEFAULT_axi_clock_monitor_CLOCK_12_clock_12 GetResetValue(axi_clock_monitor_CLOCK_12,"clock_12") - `define UPDATE_axi_clock_monitor_CLOCK_12_clock_12(x,y) UpdateField(axi_clock_monitor_CLOCK_12,"clock_12",x,y) - - const reg_t axi_clock_monitor_CLOCK_13 = '{ 'h0074, "CLOCK_13" , '{ - "clock_13": '{ 31, 0, RO, 'h00000000 }}}; - `define SET_axi_clock_monitor_CLOCK_13_clock_13(x) SetField(axi_clock_monitor_CLOCK_13,"clock_13",x) - `define GET_axi_clock_monitor_CLOCK_13_clock_13(x) GetField(axi_clock_monitor_CLOCK_13,"clock_13",x) - `define DEFAULT_axi_clock_monitor_CLOCK_13_clock_13 GetResetValue(axi_clock_monitor_CLOCK_13,"clock_13") - `define UPDATE_axi_clock_monitor_CLOCK_13_clock_13(x,y) UpdateField(axi_clock_monitor_CLOCK_13,"clock_13",x,y) - - const reg_t axi_clock_monitor_CLOCK_14 = '{ 'h0078, "CLOCK_14" , '{ - "clock_14": '{ 31, 0, RO, 'h00000000 }}}; - `define SET_axi_clock_monitor_CLOCK_14_clock_14(x) SetField(axi_clock_monitor_CLOCK_14,"clock_14",x) - `define GET_axi_clock_monitor_CLOCK_14_clock_14(x) GetField(axi_clock_monitor_CLOCK_14,"clock_14",x) - `define DEFAULT_axi_clock_monitor_CLOCK_14_clock_14 GetResetValue(axi_clock_monitor_CLOCK_14,"clock_14") - `define UPDATE_axi_clock_monitor_CLOCK_14_clock_14(x,y) UpdateField(axi_clock_monitor_CLOCK_14,"clock_14",x,y) - - const reg_t axi_clock_monitor_CLOCK_15 = '{ 'h007c, "CLOCK_15" , '{ - "clock_15": '{ 31, 0, RO, 'h00000000 }}}; - `define SET_axi_clock_monitor_CLOCK_15_clock_15(x) SetField(axi_clock_monitor_CLOCK_15,"clock_15",x) - `define GET_axi_clock_monitor_CLOCK_15_clock_15(x) GetField(axi_clock_monitor_CLOCK_15,"clock_15",x) - `define DEFAULT_axi_clock_monitor_CLOCK_15_clock_15 GetResetValue(axi_clock_monitor_CLOCK_15,"clock_15") - `define UPDATE_axi_clock_monitor_CLOCK_15_clock_15(x,y) UpdateField(axi_clock_monitor_CLOCK_15,"clock_15",x,y) - - -endpackage + import logger_pkg::*; + import adi_api_pkg::*; + + class adi_regmap_clock_monitor extends adi_regmap; + + /* Clock Monitor (axi_clock_monitor) */ + class PCORE_VERSION_CLASS extends register_base; + field_base PCORE_VERSION_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.PCORE_VERSION_F = new("PCORE_VERSION", 31, 0, RO, 'h1, this); + + this.initialization_done = 1; + endfunction: new + endclass: PCORE_VERSION_CLASS + + class ID_CLASS extends register_base; + field_base ID_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.ID_F = new("ID", 31, 0, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: ID_CLASS + + class NUM_OF_CLOCKS_CLASS extends register_base; + field_base NUM_OF_CLOCKS_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.NUM_OF_CLOCKS_F = new("NUM_OF_CLOCKS", 31, 0, RW, 'h8, this); + + this.initialization_done = 1; + endfunction: new + endclass: NUM_OF_CLOCKS_CLASS + + class OUT_RESET_CLASS extends register_base; + field_base RESET_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.RESET_F = new("RESET", 0, 0, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: OUT_RESET_CLASS + + class CLOCK_n_CLASS extends register_base; + field_base CLOCK_n_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.CLOCK_n_F = new("CLOCK_n", 31, 0, RO, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: CLOCK_n_CLASS + + PCORE_VERSION_CLASS PCORE_VERSION_R; + ID_CLASS ID_R; + NUM_OF_CLOCKS_CLASS NUM_OF_CLOCKS_R; + OUT_RESET_CLASS OUT_RESET_R; + CLOCK_n_CLASS CLOCK_0_R; + CLOCK_n_CLASS CLOCK_1_R; + CLOCK_n_CLASS CLOCK_2_R; + CLOCK_n_CLASS CLOCK_3_R; + CLOCK_n_CLASS CLOCK_4_R; + CLOCK_n_CLASS CLOCK_5_R; + CLOCK_n_CLASS CLOCK_6_R; + CLOCK_n_CLASS CLOCK_7_R; + CLOCK_n_CLASS CLOCK_8_R; + CLOCK_n_CLASS CLOCK_9_R; + CLOCK_n_CLASS CLOCK_10_R; + CLOCK_n_CLASS CLOCK_11_R; + CLOCK_n_CLASS CLOCK_12_R; + CLOCK_n_CLASS CLOCK_13_R; + CLOCK_n_CLASS CLOCK_14_R; + CLOCK_n_CLASS CLOCK_15_R; + + function new( + input string name, + input int address, + input adi_api parent = null); + + super.new(name, address, parent); + + this.PCORE_VERSION_R = new("PCORE_VERSION", 'h0, this); + this.ID_R = new("ID", 'h4, this); + this.NUM_OF_CLOCKS_R = new("NUM_OF_CLOCKS", 'hc, this); + this.OUT_RESET_R = new("OUT_RESET", 'h10, this); + this.CLOCK_0_R = new("CLOCK_0", 'h40, this); + this.CLOCK_1_R = new("CLOCK_1", 'h44, this); + this.CLOCK_2_R = new("CLOCK_2", 'h48, this); + this.CLOCK_3_R = new("CLOCK_3", 'h4c, this); + this.CLOCK_4_R = new("CLOCK_4", 'h50, this); + this.CLOCK_5_R = new("CLOCK_5", 'h54, this); + this.CLOCK_6_R = new("CLOCK_6", 'h58, this); + this.CLOCK_7_R = new("CLOCK_7", 'h5c, this); + this.CLOCK_8_R = new("CLOCK_8", 'h60, this); + this.CLOCK_9_R = new("CLOCK_9", 'h64, this); + this.CLOCK_10_R = new("CLOCK_10", 'h68, this); + this.CLOCK_11_R = new("CLOCK_11", 'h6c, this); + this.CLOCK_12_R = new("CLOCK_12", 'h70, this); + this.CLOCK_13_R = new("CLOCK_13", 'h74, this); + this.CLOCK_14_R = new("CLOCK_14", 'h78, this); + this.CLOCK_15_R = new("CLOCK_15", 'h7c, this); + + this.info($sformatf("Initialized"), ADI_VERBOSITY_HIGH); + endfunction: new + + endclass: adi_regmap_clock_monitor + +endpackage: adi_regmap_clock_monitor_pkg diff --git a/library/regmaps/adi_regmap_common_pkg.sv b/library/regmaps/adi_regmap_common_pkg.sv index fe201938..eda73fcb 100644 --- a/library/regmaps/adi_regmap_common_pkg.sv +++ b/library/regmaps/adi_regmap_common_pkg.sv @@ -1,6 +1,6 @@ // *************************************************************************** // *************************************************************************** -// Copyright (C) 2014 - 2024 Analog Devices, Inc. All rights reserved. +// Copyright (C) 2014 - 2025 Analog Devices, Inc. All rights reserved. // // In this HDL repository, there are many different and unique modules, consisting // of various HDL (Verilog or VHDL) components. The individual modules are @@ -33,125 +33,163 @@ // *************************************************************************** // *************************************************************************** /* Auto generated Register Map */ -/* Thu Mar 28 13:22:23 2024 */ +/* Jan 28 13:30:16 2025 v0.3.55 */ package adi_regmap_common_pkg; - import adi_regmap_pkg::*; - - -/* Base (common to all cores) */ - - const reg_t COMMON_REG_VERSION = '{ 'h0000, "REG_VERSION" , '{ - "VERSION": '{ 31, 0, RO, 'h00000000 }}}; - `define SET_COMMON_REG_VERSION_VERSION(x) SetField(COMMON_REG_VERSION,"VERSION",x) - `define GET_COMMON_REG_VERSION_VERSION(x) GetField(COMMON_REG_VERSION,"VERSION",x) - `define DEFAULT_COMMON_REG_VERSION_VERSION GetResetValue(COMMON_REG_VERSION,"VERSION") - `define UPDATE_COMMON_REG_VERSION_VERSION(x,y) UpdateField(COMMON_REG_VERSION,"VERSION",x,y) - - const reg_t COMMON_REG_ID = '{ 'h0004, "REG_ID" , '{ - "ID": '{ 31, 0, RO, 'h00000000 }}}; - `define SET_COMMON_REG_ID_ID(x) SetField(COMMON_REG_ID,"ID",x) - `define GET_COMMON_REG_ID_ID(x) GetField(COMMON_REG_ID,"ID",x) - `define DEFAULT_COMMON_REG_ID_ID GetResetValue(COMMON_REG_ID,"ID") - `define UPDATE_COMMON_REG_ID_ID(x,y) UpdateField(COMMON_REG_ID,"ID",x,y) - - const reg_t COMMON_REG_SCRATCH = '{ 'h0008, "REG_SCRATCH" , '{ - "SCRATCH": '{ 31, 0, RW, 'h00000000 }}}; - `define SET_COMMON_REG_SCRATCH_SCRATCH(x) SetField(COMMON_REG_SCRATCH,"SCRATCH",x) - `define GET_COMMON_REG_SCRATCH_SCRATCH(x) GetField(COMMON_REG_SCRATCH,"SCRATCH",x) - `define DEFAULT_COMMON_REG_SCRATCH_SCRATCH GetResetValue(COMMON_REG_SCRATCH,"SCRATCH") - `define UPDATE_COMMON_REG_SCRATCH_SCRATCH(x,y) UpdateField(COMMON_REG_SCRATCH,"SCRATCH",x,y) - - const reg_t COMMON_REG_CONFIG = '{ 'h000c, "REG_CONFIG" , '{ - "IQCORRECTION_DISABLE": '{ 0, 0, RO, 'h0 }, - "DCFILTER_DISABLE": '{ 1, 1, RO, 'h0 }, - "DATAFORMAT_DISABLE": '{ 2, 2, RO, 'h0 }, - "USERPORTS_DISABLE": '{ 3, 3, RO, 'h0 }, - "MODE_1R1T": '{ 4, 4, RO, 'h0 }, - "DELAY_CONTROL_DISABLE": '{ 5, 5, RO, 'h0 }, - "DDS_DISABLE": '{ 6, 6, RO, 'h0 }, - "CMOS_OR_LVDS_N": '{ 7, 7, RO, 'h0 }, - "PPS_RECEIVER_ENABLE": '{ 8, 8, RO, 'h0 }, - "SCALECORRECTION_ONLY": '{ 9, 9, RO, 'h0 }, - "EXT_SYNC": '{ 12, 12, RO, 'h0 }, - "RD_RAW_DATA": '{ 13, 13, RO, 'h0 }}}; - `define SET_COMMON_REG_CONFIG_IQCORRECTION_DISABLE(x) SetField(COMMON_REG_CONFIG,"IQCORRECTION_DISABLE",x) - `define GET_COMMON_REG_CONFIG_IQCORRECTION_DISABLE(x) GetField(COMMON_REG_CONFIG,"IQCORRECTION_DISABLE",x) - `define DEFAULT_COMMON_REG_CONFIG_IQCORRECTION_DISABLE GetResetValue(COMMON_REG_CONFIG,"IQCORRECTION_DISABLE") - `define UPDATE_COMMON_REG_CONFIG_IQCORRECTION_DISABLE(x,y) UpdateField(COMMON_REG_CONFIG,"IQCORRECTION_DISABLE",x,y) - `define SET_COMMON_REG_CONFIG_DCFILTER_DISABLE(x) SetField(COMMON_REG_CONFIG,"DCFILTER_DISABLE",x) - `define GET_COMMON_REG_CONFIG_DCFILTER_DISABLE(x) GetField(COMMON_REG_CONFIG,"DCFILTER_DISABLE",x) - `define DEFAULT_COMMON_REG_CONFIG_DCFILTER_DISABLE GetResetValue(COMMON_REG_CONFIG,"DCFILTER_DISABLE") - `define UPDATE_COMMON_REG_CONFIG_DCFILTER_DISABLE(x,y) UpdateField(COMMON_REG_CONFIG,"DCFILTER_DISABLE",x,y) - `define SET_COMMON_REG_CONFIG_DATAFORMAT_DISABLE(x) SetField(COMMON_REG_CONFIG,"DATAFORMAT_DISABLE",x) - `define GET_COMMON_REG_CONFIG_DATAFORMAT_DISABLE(x) GetField(COMMON_REG_CONFIG,"DATAFORMAT_DISABLE",x) - `define DEFAULT_COMMON_REG_CONFIG_DATAFORMAT_DISABLE GetResetValue(COMMON_REG_CONFIG,"DATAFORMAT_DISABLE") - `define UPDATE_COMMON_REG_CONFIG_DATAFORMAT_DISABLE(x,y) UpdateField(COMMON_REG_CONFIG,"DATAFORMAT_DISABLE",x,y) - `define SET_COMMON_REG_CONFIG_USERPORTS_DISABLE(x) SetField(COMMON_REG_CONFIG,"USERPORTS_DISABLE",x) - `define GET_COMMON_REG_CONFIG_USERPORTS_DISABLE(x) GetField(COMMON_REG_CONFIG,"USERPORTS_DISABLE",x) - `define DEFAULT_COMMON_REG_CONFIG_USERPORTS_DISABLE GetResetValue(COMMON_REG_CONFIG,"USERPORTS_DISABLE") - `define UPDATE_COMMON_REG_CONFIG_USERPORTS_DISABLE(x,y) UpdateField(COMMON_REG_CONFIG,"USERPORTS_DISABLE",x,y) - `define SET_COMMON_REG_CONFIG_MODE_1R1T(x) SetField(COMMON_REG_CONFIG,"MODE_1R1T",x) - `define GET_COMMON_REG_CONFIG_MODE_1R1T(x) GetField(COMMON_REG_CONFIG,"MODE_1R1T",x) - `define DEFAULT_COMMON_REG_CONFIG_MODE_1R1T GetResetValue(COMMON_REG_CONFIG,"MODE_1R1T") - `define UPDATE_COMMON_REG_CONFIG_MODE_1R1T(x,y) UpdateField(COMMON_REG_CONFIG,"MODE_1R1T",x,y) - `define SET_COMMON_REG_CONFIG_DELAY_CONTROL_DISABLE(x) SetField(COMMON_REG_CONFIG,"DELAY_CONTROL_DISABLE",x) - `define GET_COMMON_REG_CONFIG_DELAY_CONTROL_DISABLE(x) GetField(COMMON_REG_CONFIG,"DELAY_CONTROL_DISABLE",x) - `define DEFAULT_COMMON_REG_CONFIG_DELAY_CONTROL_DISABLE GetResetValue(COMMON_REG_CONFIG,"DELAY_CONTROL_DISABLE") - `define UPDATE_COMMON_REG_CONFIG_DELAY_CONTROL_DISABLE(x,y) UpdateField(COMMON_REG_CONFIG,"DELAY_CONTROL_DISABLE",x,y) - `define SET_COMMON_REG_CONFIG_DDS_DISABLE(x) SetField(COMMON_REG_CONFIG,"DDS_DISABLE",x) - `define GET_COMMON_REG_CONFIG_DDS_DISABLE(x) GetField(COMMON_REG_CONFIG,"DDS_DISABLE",x) - `define DEFAULT_COMMON_REG_CONFIG_DDS_DISABLE GetResetValue(COMMON_REG_CONFIG,"DDS_DISABLE") - `define UPDATE_COMMON_REG_CONFIG_DDS_DISABLE(x,y) UpdateField(COMMON_REG_CONFIG,"DDS_DISABLE",x,y) - `define SET_COMMON_REG_CONFIG_CMOS_OR_LVDS_N(x) SetField(COMMON_REG_CONFIG,"CMOS_OR_LVDS_N",x) - `define GET_COMMON_REG_CONFIG_CMOS_OR_LVDS_N(x) GetField(COMMON_REG_CONFIG,"CMOS_OR_LVDS_N",x) - `define DEFAULT_COMMON_REG_CONFIG_CMOS_OR_LVDS_N GetResetValue(COMMON_REG_CONFIG,"CMOS_OR_LVDS_N") - `define UPDATE_COMMON_REG_CONFIG_CMOS_OR_LVDS_N(x,y) UpdateField(COMMON_REG_CONFIG,"CMOS_OR_LVDS_N",x,y) - `define SET_COMMON_REG_CONFIG_PPS_RECEIVER_ENABLE(x) SetField(COMMON_REG_CONFIG,"PPS_RECEIVER_ENABLE",x) - `define GET_COMMON_REG_CONFIG_PPS_RECEIVER_ENABLE(x) GetField(COMMON_REG_CONFIG,"PPS_RECEIVER_ENABLE",x) - `define DEFAULT_COMMON_REG_CONFIG_PPS_RECEIVER_ENABLE GetResetValue(COMMON_REG_CONFIG,"PPS_RECEIVER_ENABLE") - `define UPDATE_COMMON_REG_CONFIG_PPS_RECEIVER_ENABLE(x,y) UpdateField(COMMON_REG_CONFIG,"PPS_RECEIVER_ENABLE",x,y) - `define SET_COMMON_REG_CONFIG_SCALECORRECTION_ONLY(x) SetField(COMMON_REG_CONFIG,"SCALECORRECTION_ONLY",x) - `define GET_COMMON_REG_CONFIG_SCALECORRECTION_ONLY(x) GetField(COMMON_REG_CONFIG,"SCALECORRECTION_ONLY",x) - `define DEFAULT_COMMON_REG_CONFIG_SCALECORRECTION_ONLY GetResetValue(COMMON_REG_CONFIG,"SCALECORRECTION_ONLY") - `define UPDATE_COMMON_REG_CONFIG_SCALECORRECTION_ONLY(x,y) UpdateField(COMMON_REG_CONFIG,"SCALECORRECTION_ONLY",x,y) - `define SET_COMMON_REG_CONFIG_EXT_SYNC(x) SetField(COMMON_REG_CONFIG,"EXT_SYNC",x) - `define GET_COMMON_REG_CONFIG_EXT_SYNC(x) GetField(COMMON_REG_CONFIG,"EXT_SYNC",x) - `define DEFAULT_COMMON_REG_CONFIG_EXT_SYNC GetResetValue(COMMON_REG_CONFIG,"EXT_SYNC") - `define UPDATE_COMMON_REG_CONFIG_EXT_SYNC(x,y) UpdateField(COMMON_REG_CONFIG,"EXT_SYNC",x,y) - `define SET_COMMON_REG_CONFIG_RD_RAW_DATA(x) SetField(COMMON_REG_CONFIG,"RD_RAW_DATA",x) - `define GET_COMMON_REG_CONFIG_RD_RAW_DATA(x) GetField(COMMON_REG_CONFIG,"RD_RAW_DATA",x) - `define DEFAULT_COMMON_REG_CONFIG_RD_RAW_DATA GetResetValue(COMMON_REG_CONFIG,"RD_RAW_DATA") - `define UPDATE_COMMON_REG_CONFIG_RD_RAW_DATA(x,y) UpdateField(COMMON_REG_CONFIG,"RD_RAW_DATA",x,y) - - const reg_t COMMON_REG_PPS_IRQ_MASK = '{ 'h0010, "REG_PPS_IRQ_MASK" , '{ - "PPS_IRQ_MASK": '{ 0, 0, RW, 'h1 }}}; - `define SET_COMMON_REG_PPS_IRQ_MASK_PPS_IRQ_MASK(x) SetField(COMMON_REG_PPS_IRQ_MASK,"PPS_IRQ_MASK",x) - `define GET_COMMON_REG_PPS_IRQ_MASK_PPS_IRQ_MASK(x) GetField(COMMON_REG_PPS_IRQ_MASK,"PPS_IRQ_MASK",x) - `define DEFAULT_COMMON_REG_PPS_IRQ_MASK_PPS_IRQ_MASK GetResetValue(COMMON_REG_PPS_IRQ_MASK,"PPS_IRQ_MASK") - `define UPDATE_COMMON_REG_PPS_IRQ_MASK_PPS_IRQ_MASK(x,y) UpdateField(COMMON_REG_PPS_IRQ_MASK,"PPS_IRQ_MASK",x,y) - - const reg_t COMMON_REG_FPGA_INFO = '{ 'h001c, "REG_FPGA_INFO" , '{ - "FPGA_TECHNOLOGY": '{ 31, 24, RO, 'h0 }, - "FPGA_FAMILY": '{ 23, 16, RO, 'h0 }, - "SPEED_GRADE": '{ 15, 8, RO, 'h0 }, - "DEV_PACKAGE": '{ 7, 0, RO, 'h0 }}}; - `define SET_COMMON_REG_FPGA_INFO_FPGA_TECHNOLOGY(x) SetField(COMMON_REG_FPGA_INFO,"FPGA_TECHNOLOGY",x) - `define GET_COMMON_REG_FPGA_INFO_FPGA_TECHNOLOGY(x) GetField(COMMON_REG_FPGA_INFO,"FPGA_TECHNOLOGY",x) - `define DEFAULT_COMMON_REG_FPGA_INFO_FPGA_TECHNOLOGY GetResetValue(COMMON_REG_FPGA_INFO,"FPGA_TECHNOLOGY") - `define UPDATE_COMMON_REG_FPGA_INFO_FPGA_TECHNOLOGY(x,y) UpdateField(COMMON_REG_FPGA_INFO,"FPGA_TECHNOLOGY",x,y) - `define SET_COMMON_REG_FPGA_INFO_FPGA_FAMILY(x) SetField(COMMON_REG_FPGA_INFO,"FPGA_FAMILY",x) - `define GET_COMMON_REG_FPGA_INFO_FPGA_FAMILY(x) GetField(COMMON_REG_FPGA_INFO,"FPGA_FAMILY",x) - `define DEFAULT_COMMON_REG_FPGA_INFO_FPGA_FAMILY GetResetValue(COMMON_REG_FPGA_INFO,"FPGA_FAMILY") - `define UPDATE_COMMON_REG_FPGA_INFO_FPGA_FAMILY(x,y) UpdateField(COMMON_REG_FPGA_INFO,"FPGA_FAMILY",x,y) - `define SET_COMMON_REG_FPGA_INFO_SPEED_GRADE(x) SetField(COMMON_REG_FPGA_INFO,"SPEED_GRADE",x) - `define GET_COMMON_REG_FPGA_INFO_SPEED_GRADE(x) GetField(COMMON_REG_FPGA_INFO,"SPEED_GRADE",x) - `define DEFAULT_COMMON_REG_FPGA_INFO_SPEED_GRADE GetResetValue(COMMON_REG_FPGA_INFO,"SPEED_GRADE") - `define UPDATE_COMMON_REG_FPGA_INFO_SPEED_GRADE(x,y) UpdateField(COMMON_REG_FPGA_INFO,"SPEED_GRADE",x,y) - `define SET_COMMON_REG_FPGA_INFO_DEV_PACKAGE(x) SetField(COMMON_REG_FPGA_INFO,"DEV_PACKAGE",x) - `define GET_COMMON_REG_FPGA_INFO_DEV_PACKAGE(x) GetField(COMMON_REG_FPGA_INFO,"DEV_PACKAGE",x) - `define DEFAULT_COMMON_REG_FPGA_INFO_DEV_PACKAGE GetResetValue(COMMON_REG_FPGA_INFO,"DEV_PACKAGE") - `define UPDATE_COMMON_REG_FPGA_INFO_DEV_PACKAGE(x,y) UpdateField(COMMON_REG_FPGA_INFO,"DEV_PACKAGE",x,y) - - -endpackage + import logger_pkg::*; + import adi_api_pkg::*; + + class adi_regmap_common extends adi_regmap; + + /* Base (common to all cores) */ + class VERSION_CLASS extends register_base; + field_base VERSION_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.VERSION_F = new("VERSION", 31, 0, RO, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: VERSION_CLASS + + class ID_CLASS extends register_base; + field_base ID_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.ID_F = new("ID", 31, 0, RO, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: ID_CLASS + + class SCRATCH_CLASS extends register_base; + field_base SCRATCH_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.SCRATCH_F = new("SCRATCH", 31, 0, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: SCRATCH_CLASS + + class CONFIG_CLASS extends register_base; + field_base IQCORRECTION_DISABLE_F; + field_base DCFILTER_DISABLE_F; + field_base DATAFORMAT_DISABLE_F; + field_base USERPORTS_DISABLE_F; + field_base MODE_1R1T_F; + field_base DELAY_CONTROL_DISABLE_F; + field_base DDS_DISABLE_F; + field_base CMOS_OR_LVDS_N_F; + field_base PPS_RECEIVER_ENABLE_F; + field_base SCALECORRECTION_ONLY_F; + field_base EXT_SYNC_F; + field_base RD_RAW_DATA_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.IQCORRECTION_DISABLE_F = new("IQCORRECTION_DISABLE", 0, 0, RO, 'h0, this); + this.DCFILTER_DISABLE_F = new("DCFILTER_DISABLE", 1, 1, RO, 'h0, this); + this.DATAFORMAT_DISABLE_F = new("DATAFORMAT_DISABLE", 2, 2, RO, 'h0, this); + this.USERPORTS_DISABLE_F = new("USERPORTS_DISABLE", 3, 3, RO, 'h0, this); + this.MODE_1R1T_F = new("MODE_1R1T", 4, 4, RO, 'h0, this); + this.DELAY_CONTROL_DISABLE_F = new("DELAY_CONTROL_DISABLE", 5, 5, RO, 'h0, this); + this.DDS_DISABLE_F = new("DDS_DISABLE", 6, 6, RO, 'h0, this); + this.CMOS_OR_LVDS_N_F = new("CMOS_OR_LVDS_N", 7, 7, RO, 'h0, this); + this.PPS_RECEIVER_ENABLE_F = new("PPS_RECEIVER_ENABLE", 8, 8, RO, 'h0, this); + this.SCALECORRECTION_ONLY_F = new("SCALECORRECTION_ONLY", 9, 9, RO, 'h0, this); + this.EXT_SYNC_F = new("EXT_SYNC", 12, 12, RO, 'h0, this); + this.RD_RAW_DATA_F = new("RD_RAW_DATA", 13, 13, RO, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: CONFIG_CLASS + + class PPS_IRQ_MASK_CLASS extends register_base; + field_base PPS_IRQ_MASK_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.PPS_IRQ_MASK_F = new("PPS_IRQ_MASK", 0, 0, RW, 'h1, this); + + this.initialization_done = 1; + endfunction: new + endclass: PPS_IRQ_MASK_CLASS + + class FPGA_INFO_CLASS extends register_base; + field_base FPGA_TECHNOLOGY_F; + field_base FPGA_FAMILY_F; + field_base SPEED_GRADE_F; + field_base DEV_PACKAGE_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.FPGA_TECHNOLOGY_F = new("FPGA_TECHNOLOGY", 31, 24, RO, 'h0, this); + this.FPGA_FAMILY_F = new("FPGA_FAMILY", 23, 16, RO, 'h0, this); + this.SPEED_GRADE_F = new("SPEED_GRADE", 15, 8, RO, 'h0, this); + this.DEV_PACKAGE_F = new("DEV_PACKAGE", 7, 0, RO, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: FPGA_INFO_CLASS + + VERSION_CLASS VERSION_R; + ID_CLASS ID_R; + SCRATCH_CLASS SCRATCH_R; + CONFIG_CLASS CONFIG_R; + PPS_IRQ_MASK_CLASS PPS_IRQ_MASK_R; + FPGA_INFO_CLASS FPGA_INFO_R; + + function new( + input string name, + input int address, + input adi_api parent = null); + + super.new(name, address, parent); + + this.VERSION_R = new("VERSION", 'h0, this); + this.ID_R = new("ID", 'h4, this); + this.SCRATCH_R = new("SCRATCH", 'h8, this); + this.CONFIG_R = new("CONFIG", 'hc, this); + this.PPS_IRQ_MASK_R = new("PPS_IRQ_MASK", 'h10, this); + this.FPGA_INFO_R = new("FPGA_INFO", 'h1c, this); + + this.info($sformatf("Initialized"), ADI_VERBOSITY_HIGH); + endfunction: new + + endclass: adi_regmap_common + +endpackage: adi_regmap_common_pkg diff --git a/library/regmaps/adi_regmap_common_template_pkg.sv b/library/regmaps/adi_regmap_common_template_pkg.sv new file mode 100644 index 00000000..1ab18642 --- /dev/null +++ b/library/regmaps/adi_regmap_common_template_pkg.sv @@ -0,0 +1,195 @@ +// *************************************************************************** +// *************************************************************************** +// Copyright (C) 2014 - 2025 Analog Devices, Inc. All rights reserved. +// +// In this HDL repository, there are many different and unique modules, consisting +// of various HDL (Verilog or VHDL) components. The individual modules are +// developed independently, and may be accompanied by separate and unique license +// terms. +// +// The user should read each of these license terms, and understand the +// freedoms and responsabilities that he or she has by using this source/core. +// +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. +// +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: +// +// 1. The GNU General Public License version 2 as published by the +// Free Software Foundation, which can be found in the top level directory +// of this repository (LICENSE_GPL2), and also online at: +// +// +// OR +// +// 2. An ADI specific BSD license, which can be found in the top level directory +// of this repository (LICENSE_ADIBSD), and also on-line at: +// https://github.com/analogdevicesinc/hdl/blob/main/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. +// +// *************************************************************************** +// *************************************************************************** +/* Auto generated Register Map */ +/* Jan 28 13:30:17 2025 v0.3.55 */ + +package adi_regmap_common_template_pkg; + import logger_pkg::*; + import adi_api_pkg::*; + + class adi_regmap_common_template extends adi_regmap; + + /* Base */ + class VERSION_CLASS extends register_base; + field_base VERSION_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.VERSION_F = new("VERSION", 31, 0, RO, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: VERSION_CLASS + + class ID_CLASS extends register_base; + field_base ID_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.ID_F = new("ID", 31, 0, RO, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: ID_CLASS + + class SCRATCH_CLASS extends register_base; + field_base SCRATCH_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.SCRATCH_F = new("SCRATCH", 31, 0, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: SCRATCH_CLASS + + class CONFIG_CLASS extends register_base; + field_base IQCORRECTION_DISABLE_F; + field_base DCFILTER_DISABLE_F; + field_base DATAFORMAT_DISABLE_F; + field_base USERPORTS_DISABLE_F; + field_base MODE_1R1T_F; + field_base DELAY_CONTROL_DISABLE_F; + field_base DDS_DISABLE_F; + field_base CMOS_OR_LVDS_N_F; + field_base PPS_RECEIVER_ENABLE_F; + field_base SCALECORRECTION_ONLY_F; + field_base EXT_SYNC_F; + field_base RD_RAW_DATA_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.IQCORRECTION_DISABLE_F = new("IQCORRECTION_DISABLE", 0, 0, RO, 'h0, this); + this.DCFILTER_DISABLE_F = new("DCFILTER_DISABLE", 1, 1, RO, 'h0, this); + this.DATAFORMAT_DISABLE_F = new("DATAFORMAT_DISABLE", 2, 2, RO, 'h0, this); + this.USERPORTS_DISABLE_F = new("USERPORTS_DISABLE", 3, 3, RO, 'h0, this); + this.MODE_1R1T_F = new("MODE_1R1T", 4, 4, RO, 'h0, this); + this.DELAY_CONTROL_DISABLE_F = new("DELAY_CONTROL_DISABLE", 5, 5, RO, 'h0, this); + this.DDS_DISABLE_F = new("DDS_DISABLE", 6, 6, RO, 'h0, this); + this.CMOS_OR_LVDS_N_F = new("CMOS_OR_LVDS_N", 7, 7, RO, 'h0, this); + this.PPS_RECEIVER_ENABLE_F = new("PPS_RECEIVER_ENABLE", 8, 8, RO, 'h0, this); + this.SCALECORRECTION_ONLY_F = new("SCALECORRECTION_ONLY", 9, 9, RO, 'h0, this); + this.EXT_SYNC_F = new("EXT_SYNC", 12, 12, RO, 'h0, this); + this.RD_RAW_DATA_F = new("RD_RAW_DATA", 13, 13, RO, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: CONFIG_CLASS + + class PPS_IRQ_MASK_CLASS extends register_base; + field_base PPS_IRQ_MASK_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.PPS_IRQ_MASK_F = new("PPS_IRQ_MASK", 0, 0, RW, 'h1, this); + + this.initialization_done = 1; + endfunction: new + endclass: PPS_IRQ_MASK_CLASS + + class FPGA_INFO_CLASS extends register_base; + field_base FPGA_TECHNOLOGY_F; + field_base FPGA_FAMILY_F; + field_base SPEED_GRADE_F; + field_base DEV_PACKAGE_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.FPGA_TECHNOLOGY_F = new("FPGA_TECHNOLOGY", 31, 24, RO, 'h0, this); + this.FPGA_FAMILY_F = new("FPGA_FAMILY", 23, 16, RO, 'h0, this); + this.SPEED_GRADE_F = new("SPEED_GRADE", 15, 8, RO, 'h0, this); + this.DEV_PACKAGE_F = new("DEV_PACKAGE", 7, 0, RO, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: FPGA_INFO_CLASS + + VERSION_CLASS VERSION_R; + ID_CLASS ID_R; + SCRATCH_CLASS SCRATCH_R; + CONFIG_CLASS CONFIG_R; + PPS_IRQ_MASK_CLASS PPS_IRQ_MASK_R; + FPGA_INFO_CLASS FPGA_INFO_R; + + function new( + input string name, + input int address, + input adi_api parent = null); + + super.new(name, address, parent); + + this.VERSION_R = new("VERSION", 'h0, this); + this.ID_R = new("ID", 'h4, this); + this.SCRATCH_R = new("SCRATCH", 'h8, this); + this.CONFIG_R = new("CONFIG", 'hc, this); + this.PPS_IRQ_MASK_R = new("PPS_IRQ_MASK", 'h10, this); + this.FPGA_INFO_R = new("FPGA_INFO", 'h1c, this); + + this.info($sformatf("Initialized"), ADI_VERBOSITY_HIGH); + endfunction: new + + endclass: adi_regmap_common_template + +endpackage: adi_regmap_common_template_pkg diff --git a/library/regmaps/adi_regmap_dac_pkg.sv b/library/regmaps/adi_regmap_dac_pkg.sv index 4fa4bad6..16c7241f 100644 --- a/library/regmaps/adi_regmap_dac_pkg.sv +++ b/library/regmaps/adi_regmap_dac_pkg.sv @@ -1,6 +1,6 @@ // *************************************************************************** // *************************************************************************** -// Copyright (C) 2014 - 2024 Analog Devices, Inc. All rights reserved. +// Copyright (C) 2014 - 2025 Analog Devices, Inc. All rights reserved. // // In this HDL repository, there are many different and unique modules, consisting // of various HDL (Verilog or VHDL) components. The individual modules are @@ -33,409 +33,1092 @@ // *************************************************************************** // *************************************************************************** /* Auto generated Register Map */ -/* Thu Mar 28 13:22:23 2024 */ +/* Jan 28 13:30:16 2025 v0.3.55 */ package adi_regmap_dac_pkg; - import adi_regmap_pkg::*; - - -/* DAC Common (axi_ad) */ - - const reg_t DAC_COMMON_REG_RSTN = '{ 'h0040, "REG_RSTN" , '{ - "CE_N": '{ 2, 2, RW, 'h0 }, - "MMCM_RSTN": '{ 1, 1, RW, 'h0 }, - "RSTN": '{ 0, 0, RW, 'h0 }}}; - `define SET_DAC_COMMON_REG_RSTN_CE_N(x) SetField(DAC_COMMON_REG_RSTN,"CE_N",x) - `define GET_DAC_COMMON_REG_RSTN_CE_N(x) GetField(DAC_COMMON_REG_RSTN,"CE_N",x) - `define DEFAULT_DAC_COMMON_REG_RSTN_CE_N GetResetValue(DAC_COMMON_REG_RSTN,"CE_N") - `define UPDATE_DAC_COMMON_REG_RSTN_CE_N(x,y) UpdateField(DAC_COMMON_REG_RSTN,"CE_N",x,y) - `define SET_DAC_COMMON_REG_RSTN_MMCM_RSTN(x) SetField(DAC_COMMON_REG_RSTN,"MMCM_RSTN",x) - `define GET_DAC_COMMON_REG_RSTN_MMCM_RSTN(x) GetField(DAC_COMMON_REG_RSTN,"MMCM_RSTN",x) - `define DEFAULT_DAC_COMMON_REG_RSTN_MMCM_RSTN GetResetValue(DAC_COMMON_REG_RSTN,"MMCM_RSTN") - `define UPDATE_DAC_COMMON_REG_RSTN_MMCM_RSTN(x,y) UpdateField(DAC_COMMON_REG_RSTN,"MMCM_RSTN",x,y) - `define SET_DAC_COMMON_REG_RSTN_RSTN(x) SetField(DAC_COMMON_REG_RSTN,"RSTN",x) - `define GET_DAC_COMMON_REG_RSTN_RSTN(x) GetField(DAC_COMMON_REG_RSTN,"RSTN",x) - `define DEFAULT_DAC_COMMON_REG_RSTN_RSTN GetResetValue(DAC_COMMON_REG_RSTN,"RSTN") - `define UPDATE_DAC_COMMON_REG_RSTN_RSTN(x,y) UpdateField(DAC_COMMON_REG_RSTN,"RSTN",x,y) - - const reg_t DAC_COMMON_REG_CNTRL_1 = '{ 'h0044, "REG_CNTRL_1" , '{ - "SYNC": '{ 0, 0, RW, 'h0 }, - "EXT_SYNC_ARM": '{ 1, 1, RW, 'h0 }, - "EXT_SYNC_DISARM": '{ 2, 2, RW, 'h0 }, - "MANUAL_SYNC_REQUEST": '{ 8, 8, RW, 'h0 }}}; - `define SET_DAC_COMMON_REG_CNTRL_1_SYNC(x) SetField(DAC_COMMON_REG_CNTRL_1,"SYNC",x) - `define GET_DAC_COMMON_REG_CNTRL_1_SYNC(x) GetField(DAC_COMMON_REG_CNTRL_1,"SYNC",x) - `define DEFAULT_DAC_COMMON_REG_CNTRL_1_SYNC GetResetValue(DAC_COMMON_REG_CNTRL_1,"SYNC") - `define UPDATE_DAC_COMMON_REG_CNTRL_1_SYNC(x,y) UpdateField(DAC_COMMON_REG_CNTRL_1,"SYNC",x,y) - `define SET_DAC_COMMON_REG_CNTRL_1_EXT_SYNC_ARM(x) SetField(DAC_COMMON_REG_CNTRL_1,"EXT_SYNC_ARM",x) - `define GET_DAC_COMMON_REG_CNTRL_1_EXT_SYNC_ARM(x) GetField(DAC_COMMON_REG_CNTRL_1,"EXT_SYNC_ARM",x) - `define DEFAULT_DAC_COMMON_REG_CNTRL_1_EXT_SYNC_ARM GetResetValue(DAC_COMMON_REG_CNTRL_1,"EXT_SYNC_ARM") - `define UPDATE_DAC_COMMON_REG_CNTRL_1_EXT_SYNC_ARM(x,y) UpdateField(DAC_COMMON_REG_CNTRL_1,"EXT_SYNC_ARM",x,y) - `define SET_DAC_COMMON_REG_CNTRL_1_EXT_SYNC_DISARM(x) SetField(DAC_COMMON_REG_CNTRL_1,"EXT_SYNC_DISARM",x) - `define GET_DAC_COMMON_REG_CNTRL_1_EXT_SYNC_DISARM(x) GetField(DAC_COMMON_REG_CNTRL_1,"EXT_SYNC_DISARM",x) - `define DEFAULT_DAC_COMMON_REG_CNTRL_1_EXT_SYNC_DISARM GetResetValue(DAC_COMMON_REG_CNTRL_1,"EXT_SYNC_DISARM") - `define UPDATE_DAC_COMMON_REG_CNTRL_1_EXT_SYNC_DISARM(x,y) UpdateField(DAC_COMMON_REG_CNTRL_1,"EXT_SYNC_DISARM",x,y) - `define SET_DAC_COMMON_REG_CNTRL_1_MANUAL_SYNC_REQUEST(x) SetField(DAC_COMMON_REG_CNTRL_1,"MANUAL_SYNC_REQUEST",x) - `define GET_DAC_COMMON_REG_CNTRL_1_MANUAL_SYNC_REQUEST(x) GetField(DAC_COMMON_REG_CNTRL_1,"MANUAL_SYNC_REQUEST",x) - `define DEFAULT_DAC_COMMON_REG_CNTRL_1_MANUAL_SYNC_REQUEST GetResetValue(DAC_COMMON_REG_CNTRL_1,"MANUAL_SYNC_REQUEST") - `define UPDATE_DAC_COMMON_REG_CNTRL_1_MANUAL_SYNC_REQUEST(x,y) UpdateField(DAC_COMMON_REG_CNTRL_1,"MANUAL_SYNC_REQUEST",x,y) - - const reg_t DAC_COMMON_REG_CNTRL_2 = '{ 'h0048, "REG_CNTRL_2" , '{ - "SDR_DDR_N": '{ 16, 16, RW, 'h0 }, - "SYMB_OP": '{ 15, 15, RW, 'h0 }, - "SYMB_8_16B": '{ 14, 14, RW, 'h0 }, - "NUM_LANES": '{ 12, 8, RW, 'h0 }, - "PAR_TYPE": '{ 7, 7, RW, 'h0 }, - "PAR_ENB": '{ 6, 6, RW, 'h0 }, - "R1_MODE": '{ 5, 5, RW, 'h0 }, - "DATA_FORMAT": '{ 4, 4, RW, 'h0 }}}; - `define SET_DAC_COMMON_REG_CNTRL_2_SDR_DDR_N(x) SetField(DAC_COMMON_REG_CNTRL_2,"SDR_DDR_N",x) - `define GET_DAC_COMMON_REG_CNTRL_2_SDR_DDR_N(x) GetField(DAC_COMMON_REG_CNTRL_2,"SDR_DDR_N",x) - `define DEFAULT_DAC_COMMON_REG_CNTRL_2_SDR_DDR_N GetResetValue(DAC_COMMON_REG_CNTRL_2,"SDR_DDR_N") - `define UPDATE_DAC_COMMON_REG_CNTRL_2_SDR_DDR_N(x,y) UpdateField(DAC_COMMON_REG_CNTRL_2,"SDR_DDR_N",x,y) - `define SET_DAC_COMMON_REG_CNTRL_2_SYMB_OP(x) SetField(DAC_COMMON_REG_CNTRL_2,"SYMB_OP",x) - `define GET_DAC_COMMON_REG_CNTRL_2_SYMB_OP(x) GetField(DAC_COMMON_REG_CNTRL_2,"SYMB_OP",x) - `define DEFAULT_DAC_COMMON_REG_CNTRL_2_SYMB_OP GetResetValue(DAC_COMMON_REG_CNTRL_2,"SYMB_OP") - `define UPDATE_DAC_COMMON_REG_CNTRL_2_SYMB_OP(x,y) UpdateField(DAC_COMMON_REG_CNTRL_2,"SYMB_OP",x,y) - `define SET_DAC_COMMON_REG_CNTRL_2_SYMB_8_16B(x) SetField(DAC_COMMON_REG_CNTRL_2,"SYMB_8_16B",x) - `define GET_DAC_COMMON_REG_CNTRL_2_SYMB_8_16B(x) GetField(DAC_COMMON_REG_CNTRL_2,"SYMB_8_16B",x) - `define DEFAULT_DAC_COMMON_REG_CNTRL_2_SYMB_8_16B GetResetValue(DAC_COMMON_REG_CNTRL_2,"SYMB_8_16B") - `define UPDATE_DAC_COMMON_REG_CNTRL_2_SYMB_8_16B(x,y) UpdateField(DAC_COMMON_REG_CNTRL_2,"SYMB_8_16B",x,y) - `define SET_DAC_COMMON_REG_CNTRL_2_NUM_LANES(x) SetField(DAC_COMMON_REG_CNTRL_2,"NUM_LANES",x) - `define GET_DAC_COMMON_REG_CNTRL_2_NUM_LANES(x) GetField(DAC_COMMON_REG_CNTRL_2,"NUM_LANES",x) - `define DEFAULT_DAC_COMMON_REG_CNTRL_2_NUM_LANES GetResetValue(DAC_COMMON_REG_CNTRL_2,"NUM_LANES") - `define UPDATE_DAC_COMMON_REG_CNTRL_2_NUM_LANES(x,y) UpdateField(DAC_COMMON_REG_CNTRL_2,"NUM_LANES",x,y) - `define SET_DAC_COMMON_REG_CNTRL_2_PAR_TYPE(x) SetField(DAC_COMMON_REG_CNTRL_2,"PAR_TYPE",x) - `define GET_DAC_COMMON_REG_CNTRL_2_PAR_TYPE(x) GetField(DAC_COMMON_REG_CNTRL_2,"PAR_TYPE",x) - `define DEFAULT_DAC_COMMON_REG_CNTRL_2_PAR_TYPE GetResetValue(DAC_COMMON_REG_CNTRL_2,"PAR_TYPE") - `define UPDATE_DAC_COMMON_REG_CNTRL_2_PAR_TYPE(x,y) UpdateField(DAC_COMMON_REG_CNTRL_2,"PAR_TYPE",x,y) - `define SET_DAC_COMMON_REG_CNTRL_2_PAR_ENB(x) SetField(DAC_COMMON_REG_CNTRL_2,"PAR_ENB",x) - `define GET_DAC_COMMON_REG_CNTRL_2_PAR_ENB(x) GetField(DAC_COMMON_REG_CNTRL_2,"PAR_ENB",x) - `define DEFAULT_DAC_COMMON_REG_CNTRL_2_PAR_ENB GetResetValue(DAC_COMMON_REG_CNTRL_2,"PAR_ENB") - `define UPDATE_DAC_COMMON_REG_CNTRL_2_PAR_ENB(x,y) UpdateField(DAC_COMMON_REG_CNTRL_2,"PAR_ENB",x,y) - `define SET_DAC_COMMON_REG_CNTRL_2_R1_MODE(x) SetField(DAC_COMMON_REG_CNTRL_2,"R1_MODE",x) - `define GET_DAC_COMMON_REG_CNTRL_2_R1_MODE(x) GetField(DAC_COMMON_REG_CNTRL_2,"R1_MODE",x) - `define DEFAULT_DAC_COMMON_REG_CNTRL_2_R1_MODE GetResetValue(DAC_COMMON_REG_CNTRL_2,"R1_MODE") - `define UPDATE_DAC_COMMON_REG_CNTRL_2_R1_MODE(x,y) UpdateField(DAC_COMMON_REG_CNTRL_2,"R1_MODE",x,y) - `define SET_DAC_COMMON_REG_CNTRL_2_DATA_FORMAT(x) SetField(DAC_COMMON_REG_CNTRL_2,"DATA_FORMAT",x) - `define GET_DAC_COMMON_REG_CNTRL_2_DATA_FORMAT(x) GetField(DAC_COMMON_REG_CNTRL_2,"DATA_FORMAT",x) - `define DEFAULT_DAC_COMMON_REG_CNTRL_2_DATA_FORMAT GetResetValue(DAC_COMMON_REG_CNTRL_2,"DATA_FORMAT") - `define UPDATE_DAC_COMMON_REG_CNTRL_2_DATA_FORMAT(x,y) UpdateField(DAC_COMMON_REG_CNTRL_2,"DATA_FORMAT",x,y) - - const reg_t DAC_COMMON_REG_RATECNTRL = '{ 'h004c, "REG_RATECNTRL" , '{ - "RATE": '{ 7, 0, RW, 'h00 }}}; - `define SET_DAC_COMMON_REG_RATECNTRL_RATE(x) SetField(DAC_COMMON_REG_RATECNTRL,"RATE",x) - `define GET_DAC_COMMON_REG_RATECNTRL_RATE(x) GetField(DAC_COMMON_REG_RATECNTRL,"RATE",x) - `define DEFAULT_DAC_COMMON_REG_RATECNTRL_RATE GetResetValue(DAC_COMMON_REG_RATECNTRL,"RATE") - `define UPDATE_DAC_COMMON_REG_RATECNTRL_RATE(x,y) UpdateField(DAC_COMMON_REG_RATECNTRL,"RATE",x,y) - - const reg_t DAC_COMMON_REG_FRAME = '{ 'h0050, "REG_FRAME" , '{ - "FRAME": '{ 0, 0, RW, 'h0 }}}; - `define SET_DAC_COMMON_REG_FRAME_FRAME(x) SetField(DAC_COMMON_REG_FRAME,"FRAME",x) - `define GET_DAC_COMMON_REG_FRAME_FRAME(x) GetField(DAC_COMMON_REG_FRAME,"FRAME",x) - `define DEFAULT_DAC_COMMON_REG_FRAME_FRAME GetResetValue(DAC_COMMON_REG_FRAME,"FRAME") - `define UPDATE_DAC_COMMON_REG_FRAME_FRAME(x,y) UpdateField(DAC_COMMON_REG_FRAME,"FRAME",x,y) - - const reg_t DAC_COMMON_REG_STATUS1 = '{ 'h0054, "REG_STATUS1" , '{ - "CLK_FREQ": '{ 31, 0, RO, 'h00000000 }}}; - `define SET_DAC_COMMON_REG_STATUS1_CLK_FREQ(x) SetField(DAC_COMMON_REG_STATUS1,"CLK_FREQ",x) - `define GET_DAC_COMMON_REG_STATUS1_CLK_FREQ(x) GetField(DAC_COMMON_REG_STATUS1,"CLK_FREQ",x) - `define DEFAULT_DAC_COMMON_REG_STATUS1_CLK_FREQ GetResetValue(DAC_COMMON_REG_STATUS1,"CLK_FREQ") - `define UPDATE_DAC_COMMON_REG_STATUS1_CLK_FREQ(x,y) UpdateField(DAC_COMMON_REG_STATUS1,"CLK_FREQ",x,y) - - const reg_t DAC_COMMON_REG_STATUS2 = '{ 'h0058, "REG_STATUS2" , '{ - "CLK_RATIO": '{ 31, 0, RO, 'h00000000 }}}; - `define SET_DAC_COMMON_REG_STATUS2_CLK_RATIO(x) SetField(DAC_COMMON_REG_STATUS2,"CLK_RATIO",x) - `define GET_DAC_COMMON_REG_STATUS2_CLK_RATIO(x) GetField(DAC_COMMON_REG_STATUS2,"CLK_RATIO",x) - `define DEFAULT_DAC_COMMON_REG_STATUS2_CLK_RATIO GetResetValue(DAC_COMMON_REG_STATUS2,"CLK_RATIO") - `define UPDATE_DAC_COMMON_REG_STATUS2_CLK_RATIO(x,y) UpdateField(DAC_COMMON_REG_STATUS2,"CLK_RATIO",x,y) - - const reg_t DAC_COMMON_REG_STATUS3 = '{ 'h005c, "REG_STATUS3" , '{ - "STATUS": '{ 0, 0, RO, 'h0 }}}; - `define SET_DAC_COMMON_REG_STATUS3_STATUS(x) SetField(DAC_COMMON_REG_STATUS3,"STATUS",x) - `define GET_DAC_COMMON_REG_STATUS3_STATUS(x) GetField(DAC_COMMON_REG_STATUS3,"STATUS",x) - `define DEFAULT_DAC_COMMON_REG_STATUS3_STATUS GetResetValue(DAC_COMMON_REG_STATUS3,"STATUS") - `define UPDATE_DAC_COMMON_REG_STATUS3_STATUS(x,y) UpdateField(DAC_COMMON_REG_STATUS3,"STATUS",x,y) - - const reg_t DAC_COMMON_REG_DAC_CLKSEL = '{ 'h0060, "REG_DAC_CLKSEL" , '{ - "DAC_CLKSEL": '{ 0, 0, RW, 'h0 }}}; - `define SET_DAC_COMMON_REG_DAC_CLKSEL_DAC_CLKSEL(x) SetField(DAC_COMMON_REG_DAC_CLKSEL,"DAC_CLKSEL",x) - `define GET_DAC_COMMON_REG_DAC_CLKSEL_DAC_CLKSEL(x) GetField(DAC_COMMON_REG_DAC_CLKSEL,"DAC_CLKSEL",x) - `define DEFAULT_DAC_COMMON_REG_DAC_CLKSEL_DAC_CLKSEL GetResetValue(DAC_COMMON_REG_DAC_CLKSEL,"DAC_CLKSEL") - `define UPDATE_DAC_COMMON_REG_DAC_CLKSEL_DAC_CLKSEL(x,y) UpdateField(DAC_COMMON_REG_DAC_CLKSEL,"DAC_CLKSEL",x,y) - - const reg_t DAC_COMMON_REG_SYNC_STATUS = '{ 'h0068, "REG_SYNC_STATUS" , '{ - "DAC_SYNC_STATUS": '{ 0, 0, RO, 'h0 }}}; - `define SET_DAC_COMMON_REG_SYNC_STATUS_DAC_SYNC_STATUS(x) SetField(DAC_COMMON_REG_SYNC_STATUS,"DAC_SYNC_STATUS",x) - `define GET_DAC_COMMON_REG_SYNC_STATUS_DAC_SYNC_STATUS(x) GetField(DAC_COMMON_REG_SYNC_STATUS,"DAC_SYNC_STATUS",x) - `define DEFAULT_DAC_COMMON_REG_SYNC_STATUS_DAC_SYNC_STATUS GetResetValue(DAC_COMMON_REG_SYNC_STATUS,"DAC_SYNC_STATUS") - `define UPDATE_DAC_COMMON_REG_SYNC_STATUS_DAC_SYNC_STATUS(x,y) UpdateField(DAC_COMMON_REG_SYNC_STATUS,"DAC_SYNC_STATUS",x,y) - - const reg_t DAC_COMMON_REG_DRP_CNTRL = '{ 'h0070, "REG_DRP_CNTRL" , '{ - "DRP_RWN": '{ 28, 28, RW, 'h0 }, - "DRP_ADDRESS": '{ 27, 16, RW, 'h00 }}}; - `define SET_DAC_COMMON_REG_DRP_CNTRL_DRP_RWN(x) SetField(DAC_COMMON_REG_DRP_CNTRL,"DRP_RWN",x) - `define GET_DAC_COMMON_REG_DRP_CNTRL_DRP_RWN(x) GetField(DAC_COMMON_REG_DRP_CNTRL,"DRP_RWN",x) - `define DEFAULT_DAC_COMMON_REG_DRP_CNTRL_DRP_RWN GetResetValue(DAC_COMMON_REG_DRP_CNTRL,"DRP_RWN") - `define UPDATE_DAC_COMMON_REG_DRP_CNTRL_DRP_RWN(x,y) UpdateField(DAC_COMMON_REG_DRP_CNTRL,"DRP_RWN",x,y) - `define SET_DAC_COMMON_REG_DRP_CNTRL_DRP_ADDRESS(x) SetField(DAC_COMMON_REG_DRP_CNTRL,"DRP_ADDRESS",x) - `define GET_DAC_COMMON_REG_DRP_CNTRL_DRP_ADDRESS(x) GetField(DAC_COMMON_REG_DRP_CNTRL,"DRP_ADDRESS",x) - `define DEFAULT_DAC_COMMON_REG_DRP_CNTRL_DRP_ADDRESS GetResetValue(DAC_COMMON_REG_DRP_CNTRL,"DRP_ADDRESS") - `define UPDATE_DAC_COMMON_REG_DRP_CNTRL_DRP_ADDRESS(x,y) UpdateField(DAC_COMMON_REG_DRP_CNTRL,"DRP_ADDRESS",x,y) - - const reg_t DAC_COMMON_REG_DRP_STATUS = '{ 'h0074, "REG_DRP_STATUS" , '{ - "DRP_LOCKED": '{ 17, 17, RO, 'h0 }, - "DRP_STATUS": '{ 16, 16, RO, 'h0 }}}; - `define SET_DAC_COMMON_REG_DRP_STATUS_DRP_LOCKED(x) SetField(DAC_COMMON_REG_DRP_STATUS,"DRP_LOCKED",x) - `define GET_DAC_COMMON_REG_DRP_STATUS_DRP_LOCKED(x) GetField(DAC_COMMON_REG_DRP_STATUS,"DRP_LOCKED",x) - `define DEFAULT_DAC_COMMON_REG_DRP_STATUS_DRP_LOCKED GetResetValue(DAC_COMMON_REG_DRP_STATUS,"DRP_LOCKED") - `define UPDATE_DAC_COMMON_REG_DRP_STATUS_DRP_LOCKED(x,y) UpdateField(DAC_COMMON_REG_DRP_STATUS,"DRP_LOCKED",x,y) - `define SET_DAC_COMMON_REG_DRP_STATUS_DRP_STATUS(x) SetField(DAC_COMMON_REG_DRP_STATUS,"DRP_STATUS",x) - `define GET_DAC_COMMON_REG_DRP_STATUS_DRP_STATUS(x) GetField(DAC_COMMON_REG_DRP_STATUS,"DRP_STATUS",x) - `define DEFAULT_DAC_COMMON_REG_DRP_STATUS_DRP_STATUS GetResetValue(DAC_COMMON_REG_DRP_STATUS,"DRP_STATUS") - `define UPDATE_DAC_COMMON_REG_DRP_STATUS_DRP_STATUS(x,y) UpdateField(DAC_COMMON_REG_DRP_STATUS,"DRP_STATUS",x,y) - - const reg_t DAC_COMMON_REG_DRP_WDATA = '{ 'h0078, "REG_DRP_WDATA" , '{ - "DRP_WDATA": '{ 15, 0, RW, 'h0000 }}}; - `define SET_DAC_COMMON_REG_DRP_WDATA_DRP_WDATA(x) SetField(DAC_COMMON_REG_DRP_WDATA,"DRP_WDATA",x) - `define GET_DAC_COMMON_REG_DRP_WDATA_DRP_WDATA(x) GetField(DAC_COMMON_REG_DRP_WDATA,"DRP_WDATA",x) - `define DEFAULT_DAC_COMMON_REG_DRP_WDATA_DRP_WDATA GetResetValue(DAC_COMMON_REG_DRP_WDATA,"DRP_WDATA") - `define UPDATE_DAC_COMMON_REG_DRP_WDATA_DRP_WDATA(x,y) UpdateField(DAC_COMMON_REG_DRP_WDATA,"DRP_WDATA",x,y) - - const reg_t DAC_COMMON_REG_DRP_RDATA = '{ 'h007c, "REG_DRP_RDATA" , '{ - "DRP_RDATA": '{ 15, 0, RO, 'h0000 }}}; - `define SET_DAC_COMMON_REG_DRP_RDATA_DRP_RDATA(x) SetField(DAC_COMMON_REG_DRP_RDATA,"DRP_RDATA",x) - `define GET_DAC_COMMON_REG_DRP_RDATA_DRP_RDATA(x) GetField(DAC_COMMON_REG_DRP_RDATA,"DRP_RDATA",x) - `define DEFAULT_DAC_COMMON_REG_DRP_RDATA_DRP_RDATA GetResetValue(DAC_COMMON_REG_DRP_RDATA,"DRP_RDATA") - `define UPDATE_DAC_COMMON_REG_DRP_RDATA_DRP_RDATA(x,y) UpdateField(DAC_COMMON_REG_DRP_RDATA,"DRP_RDATA",x,y) - - const reg_t DAC_COMMON_REG_DAC_CUSTOM_RD = '{ 'h0080, "REG_DAC_CUSTOM_RD" , '{ - "DAC_CUSTOM_RD": '{ 31, 0, RO, 'h00000000 }}}; - `define SET_DAC_COMMON_REG_DAC_CUSTOM_RD_DAC_CUSTOM_RD(x) SetField(DAC_COMMON_REG_DAC_CUSTOM_RD,"DAC_CUSTOM_RD",x) - `define GET_DAC_COMMON_REG_DAC_CUSTOM_RD_DAC_CUSTOM_RD(x) GetField(DAC_COMMON_REG_DAC_CUSTOM_RD,"DAC_CUSTOM_RD",x) - `define DEFAULT_DAC_COMMON_REG_DAC_CUSTOM_RD_DAC_CUSTOM_RD GetResetValue(DAC_COMMON_REG_DAC_CUSTOM_RD,"DAC_CUSTOM_RD") - `define UPDATE_DAC_COMMON_REG_DAC_CUSTOM_RD_DAC_CUSTOM_RD(x,y) UpdateField(DAC_COMMON_REG_DAC_CUSTOM_RD,"DAC_CUSTOM_RD",x,y) - - const reg_t DAC_COMMON_REG_DAC_CUSTOM_WR = '{ 'h0084, "REG_DAC_CUSTOM_WR" , '{ - "DAC_CUSTOM_WR": '{ 31, 0, RW, 'h00000000 }}}; - `define SET_DAC_COMMON_REG_DAC_CUSTOM_WR_DAC_CUSTOM_WR(x) SetField(DAC_COMMON_REG_DAC_CUSTOM_WR,"DAC_CUSTOM_WR",x) - `define GET_DAC_COMMON_REG_DAC_CUSTOM_WR_DAC_CUSTOM_WR(x) GetField(DAC_COMMON_REG_DAC_CUSTOM_WR,"DAC_CUSTOM_WR",x) - `define DEFAULT_DAC_COMMON_REG_DAC_CUSTOM_WR_DAC_CUSTOM_WR GetResetValue(DAC_COMMON_REG_DAC_CUSTOM_WR,"DAC_CUSTOM_WR") - `define UPDATE_DAC_COMMON_REG_DAC_CUSTOM_WR_DAC_CUSTOM_WR(x,y) UpdateField(DAC_COMMON_REG_DAC_CUSTOM_WR,"DAC_CUSTOM_WR",x,y) - - const reg_t DAC_COMMON_REG_UI_STATUS = '{ 'h0088, "REG_UI_STATUS" , '{ - "IF_BUSY": '{ 4, 4, RO, 'h0 }, - "UI_OVF": '{ 1, 1, RW1C, 'h0 }, - "UI_UNF": '{ 0, 0, RW1C, 'h0 }}}; - `define SET_DAC_COMMON_REG_UI_STATUS_IF_BUSY(x) SetField(DAC_COMMON_REG_UI_STATUS,"IF_BUSY",x) - `define GET_DAC_COMMON_REG_UI_STATUS_IF_BUSY(x) GetField(DAC_COMMON_REG_UI_STATUS,"IF_BUSY",x) - `define DEFAULT_DAC_COMMON_REG_UI_STATUS_IF_BUSY GetResetValue(DAC_COMMON_REG_UI_STATUS,"IF_BUSY") - `define UPDATE_DAC_COMMON_REG_UI_STATUS_IF_BUSY(x,y) UpdateField(DAC_COMMON_REG_UI_STATUS,"IF_BUSY",x,y) - `define SET_DAC_COMMON_REG_UI_STATUS_UI_OVF(x) SetField(DAC_COMMON_REG_UI_STATUS,"UI_OVF",x) - `define GET_DAC_COMMON_REG_UI_STATUS_UI_OVF(x) GetField(DAC_COMMON_REG_UI_STATUS,"UI_OVF",x) - `define DEFAULT_DAC_COMMON_REG_UI_STATUS_UI_OVF GetResetValue(DAC_COMMON_REG_UI_STATUS,"UI_OVF") - `define UPDATE_DAC_COMMON_REG_UI_STATUS_UI_OVF(x,y) UpdateField(DAC_COMMON_REG_UI_STATUS,"UI_OVF",x,y) - `define SET_DAC_COMMON_REG_UI_STATUS_UI_UNF(x) SetField(DAC_COMMON_REG_UI_STATUS,"UI_UNF",x) - `define GET_DAC_COMMON_REG_UI_STATUS_UI_UNF(x) GetField(DAC_COMMON_REG_UI_STATUS,"UI_UNF",x) - `define DEFAULT_DAC_COMMON_REG_UI_STATUS_UI_UNF GetResetValue(DAC_COMMON_REG_UI_STATUS,"UI_UNF") - `define UPDATE_DAC_COMMON_REG_UI_STATUS_UI_UNF(x,y) UpdateField(DAC_COMMON_REG_UI_STATUS,"UI_UNF",x,y) - - const reg_t DAC_COMMON_REG_DAC_CUSTOM_CTRL = '{ 'h008c, "REG_DAC_CUSTOM_CTRL" , '{ - "DAC_CUSTOM_CTRL": '{ 31, 0, RW, 'h00000000 }}}; - `define SET_DAC_COMMON_REG_DAC_CUSTOM_CTRL_DAC_CUSTOM_CTRL(x) SetField(DAC_COMMON_REG_DAC_CUSTOM_CTRL,"DAC_CUSTOM_CTRL",x) - `define GET_DAC_COMMON_REG_DAC_CUSTOM_CTRL_DAC_CUSTOM_CTRL(x) GetField(DAC_COMMON_REG_DAC_CUSTOM_CTRL,"DAC_CUSTOM_CTRL",x) - `define DEFAULT_DAC_COMMON_REG_DAC_CUSTOM_CTRL_DAC_CUSTOM_CTRL GetResetValue(DAC_COMMON_REG_DAC_CUSTOM_CTRL,"DAC_CUSTOM_CTRL") - `define UPDATE_DAC_COMMON_REG_DAC_CUSTOM_CTRL_DAC_CUSTOM_CTRL(x,y) UpdateField(DAC_COMMON_REG_DAC_CUSTOM_CTRL,"DAC_CUSTOM_CTRL",x,y) - - const reg_t DAC_COMMON_REG_USR_CNTRL_1 = '{ 'h00a0, "REG_USR_CNTRL_1" , '{ - "USR_CHANMAX": '{ 7, 0, RW, 'h00 }}}; - `define SET_DAC_COMMON_REG_USR_CNTRL_1_USR_CHANMAX(x) SetField(DAC_COMMON_REG_USR_CNTRL_1,"USR_CHANMAX",x) - `define GET_DAC_COMMON_REG_USR_CNTRL_1_USR_CHANMAX(x) GetField(DAC_COMMON_REG_USR_CNTRL_1,"USR_CHANMAX",x) - `define DEFAULT_DAC_COMMON_REG_USR_CNTRL_1_USR_CHANMAX GetResetValue(DAC_COMMON_REG_USR_CNTRL_1,"USR_CHANMAX") - `define UPDATE_DAC_COMMON_REG_USR_CNTRL_1_USR_CHANMAX(x,y) UpdateField(DAC_COMMON_REG_USR_CNTRL_1,"USR_CHANMAX",x,y) - - const reg_t DAC_COMMON_REG_DAC_GPIO_IN = '{ 'h00b8, "REG_DAC_GPIO_IN" , '{ - "DAC_GPIO_IN": '{ 31, 0, RO, 'h00000000 }}}; - `define SET_DAC_COMMON_REG_DAC_GPIO_IN_DAC_GPIO_IN(x) SetField(DAC_COMMON_REG_DAC_GPIO_IN,"DAC_GPIO_IN",x) - `define GET_DAC_COMMON_REG_DAC_GPIO_IN_DAC_GPIO_IN(x) GetField(DAC_COMMON_REG_DAC_GPIO_IN,"DAC_GPIO_IN",x) - `define DEFAULT_DAC_COMMON_REG_DAC_GPIO_IN_DAC_GPIO_IN GetResetValue(DAC_COMMON_REG_DAC_GPIO_IN,"DAC_GPIO_IN") - `define UPDATE_DAC_COMMON_REG_DAC_GPIO_IN_DAC_GPIO_IN(x,y) UpdateField(DAC_COMMON_REG_DAC_GPIO_IN,"DAC_GPIO_IN",x,y) - - const reg_t DAC_COMMON_REG_DAC_GPIO_OUT = '{ 'h00bc, "REG_DAC_GPIO_OUT" , '{ - "DAC_GPIO_OUT": '{ 31, 0, RW, 'h00000000 }}}; - `define SET_DAC_COMMON_REG_DAC_GPIO_OUT_DAC_GPIO_OUT(x) SetField(DAC_COMMON_REG_DAC_GPIO_OUT,"DAC_GPIO_OUT",x) - `define GET_DAC_COMMON_REG_DAC_GPIO_OUT_DAC_GPIO_OUT(x) GetField(DAC_COMMON_REG_DAC_GPIO_OUT,"DAC_GPIO_OUT",x) - `define DEFAULT_DAC_COMMON_REG_DAC_GPIO_OUT_DAC_GPIO_OUT GetResetValue(DAC_COMMON_REG_DAC_GPIO_OUT,"DAC_GPIO_OUT") - `define UPDATE_DAC_COMMON_REG_DAC_GPIO_OUT_DAC_GPIO_OUT(x,y) UpdateField(DAC_COMMON_REG_DAC_GPIO_OUT,"DAC_GPIO_OUT",x,y) - - -/* DAC Channel (axi_ad*) */ - - const reg_t DAC_CHANNEL_REG_CHAN_CNTRL_1 = '{ 'h0400, "REG_CHAN_CNTRL_1" , '{ - "DDS_PHASE_DW": '{ 21, 16, R, 'h0000 }, - "DDS_SCALE_1": '{ 15, 0, RW, 'h0000 }}}; - `define SET_DAC_CHANNEL_REG_CHAN_CNTRL_1_DDS_PHASE_DW(x) SetField(DAC_CHANNEL_REG_CHAN_CNTRL_1,"DDS_PHASE_DW",x) - `define GET_DAC_CHANNEL_REG_CHAN_CNTRL_1_DDS_PHASE_DW(x) GetField(DAC_CHANNEL_REG_CHAN_CNTRL_1,"DDS_PHASE_DW",x) - `define DEFAULT_DAC_CHANNEL_REG_CHAN_CNTRL_1_DDS_PHASE_DW GetResetValue(DAC_CHANNEL_REG_CHAN_CNTRL_1,"DDS_PHASE_DW") - `define UPDATE_DAC_CHANNEL_REG_CHAN_CNTRL_1_DDS_PHASE_DW(x,y) UpdateField(DAC_CHANNEL_REG_CHAN_CNTRL_1,"DDS_PHASE_DW",x,y) - `define SET_DAC_CHANNEL_REG_CHAN_CNTRL_1_DDS_SCALE_1(x) SetField(DAC_CHANNEL_REG_CHAN_CNTRL_1,"DDS_SCALE_1",x) - `define GET_DAC_CHANNEL_REG_CHAN_CNTRL_1_DDS_SCALE_1(x) GetField(DAC_CHANNEL_REG_CHAN_CNTRL_1,"DDS_SCALE_1",x) - `define DEFAULT_DAC_CHANNEL_REG_CHAN_CNTRL_1_DDS_SCALE_1 GetResetValue(DAC_CHANNEL_REG_CHAN_CNTRL_1,"DDS_SCALE_1") - `define UPDATE_DAC_CHANNEL_REG_CHAN_CNTRL_1_DDS_SCALE_1(x,y) UpdateField(DAC_CHANNEL_REG_CHAN_CNTRL_1,"DDS_SCALE_1",x,y) - - const reg_t DAC_CHANNEL_REG_CHAN_CNTRL_2 = '{ 'h0404, "REG_CHAN_CNTRL_2" , '{ - "DDS_INIT_1": '{ 31, 16, RW, 'h0000 }, - "DDS_INCR_1": '{ 15, 0, RW, 'h0000 }}}; - `define SET_DAC_CHANNEL_REG_CHAN_CNTRL_2_DDS_INIT_1(x) SetField(DAC_CHANNEL_REG_CHAN_CNTRL_2,"DDS_INIT_1",x) - `define GET_DAC_CHANNEL_REG_CHAN_CNTRL_2_DDS_INIT_1(x) GetField(DAC_CHANNEL_REG_CHAN_CNTRL_2,"DDS_INIT_1",x) - `define DEFAULT_DAC_CHANNEL_REG_CHAN_CNTRL_2_DDS_INIT_1 GetResetValue(DAC_CHANNEL_REG_CHAN_CNTRL_2,"DDS_INIT_1") - `define UPDATE_DAC_CHANNEL_REG_CHAN_CNTRL_2_DDS_INIT_1(x,y) UpdateField(DAC_CHANNEL_REG_CHAN_CNTRL_2,"DDS_INIT_1",x,y) - `define SET_DAC_CHANNEL_REG_CHAN_CNTRL_2_DDS_INCR_1(x) SetField(DAC_CHANNEL_REG_CHAN_CNTRL_2,"DDS_INCR_1",x) - `define GET_DAC_CHANNEL_REG_CHAN_CNTRL_2_DDS_INCR_1(x) GetField(DAC_CHANNEL_REG_CHAN_CNTRL_2,"DDS_INCR_1",x) - `define DEFAULT_DAC_CHANNEL_REG_CHAN_CNTRL_2_DDS_INCR_1 GetResetValue(DAC_CHANNEL_REG_CHAN_CNTRL_2,"DDS_INCR_1") - `define UPDATE_DAC_CHANNEL_REG_CHAN_CNTRL_2_DDS_INCR_1(x,y) UpdateField(DAC_CHANNEL_REG_CHAN_CNTRL_2,"DDS_INCR_1",x,y) - - const reg_t DAC_CHANNEL_REG_CHAN_CNTRL_3 = '{ 'h0408, "REG_CHAN_CNTRL_3" , '{ - "DDS_SCALE_2": '{ 15, 0, RW, 'h0000 }}}; - `define SET_DAC_CHANNEL_REG_CHAN_CNTRL_3_DDS_SCALE_2(x) SetField(DAC_CHANNEL_REG_CHAN_CNTRL_3,"DDS_SCALE_2",x) - `define GET_DAC_CHANNEL_REG_CHAN_CNTRL_3_DDS_SCALE_2(x) GetField(DAC_CHANNEL_REG_CHAN_CNTRL_3,"DDS_SCALE_2",x) - `define DEFAULT_DAC_CHANNEL_REG_CHAN_CNTRL_3_DDS_SCALE_2 GetResetValue(DAC_CHANNEL_REG_CHAN_CNTRL_3,"DDS_SCALE_2") - `define UPDATE_DAC_CHANNEL_REG_CHAN_CNTRL_3_DDS_SCALE_2(x,y) UpdateField(DAC_CHANNEL_REG_CHAN_CNTRL_3,"DDS_SCALE_2",x,y) - - const reg_t DAC_CHANNEL_REG_CHAN_CNTRL_4 = '{ 'h040c, "REG_CHAN_CNTRL_4" , '{ - "DDS_INIT_2": '{ 31, 16, RW, 'h0000 }, - "DDS_INCR_2": '{ 15, 0, RW, 'h0000 }}}; - `define SET_DAC_CHANNEL_REG_CHAN_CNTRL_4_DDS_INIT_2(x) SetField(DAC_CHANNEL_REG_CHAN_CNTRL_4,"DDS_INIT_2",x) - `define GET_DAC_CHANNEL_REG_CHAN_CNTRL_4_DDS_INIT_2(x) GetField(DAC_CHANNEL_REG_CHAN_CNTRL_4,"DDS_INIT_2",x) - `define DEFAULT_DAC_CHANNEL_REG_CHAN_CNTRL_4_DDS_INIT_2 GetResetValue(DAC_CHANNEL_REG_CHAN_CNTRL_4,"DDS_INIT_2") - `define UPDATE_DAC_CHANNEL_REG_CHAN_CNTRL_4_DDS_INIT_2(x,y) UpdateField(DAC_CHANNEL_REG_CHAN_CNTRL_4,"DDS_INIT_2",x,y) - `define SET_DAC_CHANNEL_REG_CHAN_CNTRL_4_DDS_INCR_2(x) SetField(DAC_CHANNEL_REG_CHAN_CNTRL_4,"DDS_INCR_2",x) - `define GET_DAC_CHANNEL_REG_CHAN_CNTRL_4_DDS_INCR_2(x) GetField(DAC_CHANNEL_REG_CHAN_CNTRL_4,"DDS_INCR_2",x) - `define DEFAULT_DAC_CHANNEL_REG_CHAN_CNTRL_4_DDS_INCR_2 GetResetValue(DAC_CHANNEL_REG_CHAN_CNTRL_4,"DDS_INCR_2") - `define UPDATE_DAC_CHANNEL_REG_CHAN_CNTRL_4_DDS_INCR_2(x,y) UpdateField(DAC_CHANNEL_REG_CHAN_CNTRL_4,"DDS_INCR_2",x,y) - - const reg_t DAC_CHANNEL_REG_CHAN_CNTRL_5 = '{ 'h0410, "REG_CHAN_CNTRL_5" , '{ - "DDS_PATT_2": '{ 31, 16, RW, 'h0000 }, - "DDS_PATT_1": '{ 15, 0, RW, 'h0000 }}}; - `define SET_DAC_CHANNEL_REG_CHAN_CNTRL_5_DDS_PATT_2(x) SetField(DAC_CHANNEL_REG_CHAN_CNTRL_5,"DDS_PATT_2",x) - `define GET_DAC_CHANNEL_REG_CHAN_CNTRL_5_DDS_PATT_2(x) GetField(DAC_CHANNEL_REG_CHAN_CNTRL_5,"DDS_PATT_2",x) - `define DEFAULT_DAC_CHANNEL_REG_CHAN_CNTRL_5_DDS_PATT_2 GetResetValue(DAC_CHANNEL_REG_CHAN_CNTRL_5,"DDS_PATT_2") - `define UPDATE_DAC_CHANNEL_REG_CHAN_CNTRL_5_DDS_PATT_2(x,y) UpdateField(DAC_CHANNEL_REG_CHAN_CNTRL_5,"DDS_PATT_2",x,y) - `define SET_DAC_CHANNEL_REG_CHAN_CNTRL_5_DDS_PATT_1(x) SetField(DAC_CHANNEL_REG_CHAN_CNTRL_5,"DDS_PATT_1",x) - `define GET_DAC_CHANNEL_REG_CHAN_CNTRL_5_DDS_PATT_1(x) GetField(DAC_CHANNEL_REG_CHAN_CNTRL_5,"DDS_PATT_1",x) - `define DEFAULT_DAC_CHANNEL_REG_CHAN_CNTRL_5_DDS_PATT_1 GetResetValue(DAC_CHANNEL_REG_CHAN_CNTRL_5,"DDS_PATT_1") - `define UPDATE_DAC_CHANNEL_REG_CHAN_CNTRL_5_DDS_PATT_1(x,y) UpdateField(DAC_CHANNEL_REG_CHAN_CNTRL_5,"DDS_PATT_1",x,y) - - const reg_t DAC_CHANNEL_REG_CHAN_CNTRL_6 = '{ 'h0414, "REG_CHAN_CNTRL_6" , '{ - "IQCOR_ENB": '{ 2, 2, RW, 'h0 }, - "DAC_LB_OWR": '{ 1, 1, RW, 'h0 }, - "DAC_PN_OWR": '{ 0, 0, RW, 'h0 }}}; - `define SET_DAC_CHANNEL_REG_CHAN_CNTRL_6_IQCOR_ENB(x) SetField(DAC_CHANNEL_REG_CHAN_CNTRL_6,"IQCOR_ENB",x) - `define GET_DAC_CHANNEL_REG_CHAN_CNTRL_6_IQCOR_ENB(x) GetField(DAC_CHANNEL_REG_CHAN_CNTRL_6,"IQCOR_ENB",x) - `define DEFAULT_DAC_CHANNEL_REG_CHAN_CNTRL_6_IQCOR_ENB GetResetValue(DAC_CHANNEL_REG_CHAN_CNTRL_6,"IQCOR_ENB") - `define UPDATE_DAC_CHANNEL_REG_CHAN_CNTRL_6_IQCOR_ENB(x,y) UpdateField(DAC_CHANNEL_REG_CHAN_CNTRL_6,"IQCOR_ENB",x,y) - `define SET_DAC_CHANNEL_REG_CHAN_CNTRL_6_DAC_LB_OWR(x) SetField(DAC_CHANNEL_REG_CHAN_CNTRL_6,"DAC_LB_OWR",x) - `define GET_DAC_CHANNEL_REG_CHAN_CNTRL_6_DAC_LB_OWR(x) GetField(DAC_CHANNEL_REG_CHAN_CNTRL_6,"DAC_LB_OWR",x) - `define DEFAULT_DAC_CHANNEL_REG_CHAN_CNTRL_6_DAC_LB_OWR GetResetValue(DAC_CHANNEL_REG_CHAN_CNTRL_6,"DAC_LB_OWR") - `define UPDATE_DAC_CHANNEL_REG_CHAN_CNTRL_6_DAC_LB_OWR(x,y) UpdateField(DAC_CHANNEL_REG_CHAN_CNTRL_6,"DAC_LB_OWR",x,y) - `define SET_DAC_CHANNEL_REG_CHAN_CNTRL_6_DAC_PN_OWR(x) SetField(DAC_CHANNEL_REG_CHAN_CNTRL_6,"DAC_PN_OWR",x) - `define GET_DAC_CHANNEL_REG_CHAN_CNTRL_6_DAC_PN_OWR(x) GetField(DAC_CHANNEL_REG_CHAN_CNTRL_6,"DAC_PN_OWR",x) - `define DEFAULT_DAC_CHANNEL_REG_CHAN_CNTRL_6_DAC_PN_OWR GetResetValue(DAC_CHANNEL_REG_CHAN_CNTRL_6,"DAC_PN_OWR") - `define UPDATE_DAC_CHANNEL_REG_CHAN_CNTRL_6_DAC_PN_OWR(x,y) UpdateField(DAC_CHANNEL_REG_CHAN_CNTRL_6,"DAC_PN_OWR",x,y) - - const reg_t DAC_CHANNEL_REG_CHAN_CNTRL_7 = '{ 'h0418, "REG_CHAN_CNTRL_7" , '{ - "DAC_DDS_SEL": '{ 3, 0, RW, 'h00 }}}; - `define SET_DAC_CHANNEL_REG_CHAN_CNTRL_7_DAC_DDS_SEL(x) SetField(DAC_CHANNEL_REG_CHAN_CNTRL_7,"DAC_DDS_SEL",x) - `define GET_DAC_CHANNEL_REG_CHAN_CNTRL_7_DAC_DDS_SEL(x) GetField(DAC_CHANNEL_REG_CHAN_CNTRL_7,"DAC_DDS_SEL",x) - `define DEFAULT_DAC_CHANNEL_REG_CHAN_CNTRL_7_DAC_DDS_SEL GetResetValue(DAC_CHANNEL_REG_CHAN_CNTRL_7,"DAC_DDS_SEL") - `define UPDATE_DAC_CHANNEL_REG_CHAN_CNTRL_7_DAC_DDS_SEL(x,y) UpdateField(DAC_CHANNEL_REG_CHAN_CNTRL_7,"DAC_DDS_SEL",x,y) - - const reg_t DAC_CHANNEL_REG_CHAN_CNTRL_8 = '{ 'h041c, "REG_CHAN_CNTRL_8" , '{ - "IQCOR_COEFF_1": '{ 31, 16, RW, 'h0000 }, - "IQCOR_COEFF_2": '{ 15, 0, RW, 'h0000 }}}; - `define SET_DAC_CHANNEL_REG_CHAN_CNTRL_8_IQCOR_COEFF_1(x) SetField(DAC_CHANNEL_REG_CHAN_CNTRL_8,"IQCOR_COEFF_1",x) - `define GET_DAC_CHANNEL_REG_CHAN_CNTRL_8_IQCOR_COEFF_1(x) GetField(DAC_CHANNEL_REG_CHAN_CNTRL_8,"IQCOR_COEFF_1",x) - `define DEFAULT_DAC_CHANNEL_REG_CHAN_CNTRL_8_IQCOR_COEFF_1 GetResetValue(DAC_CHANNEL_REG_CHAN_CNTRL_8,"IQCOR_COEFF_1") - `define UPDATE_DAC_CHANNEL_REG_CHAN_CNTRL_8_IQCOR_COEFF_1(x,y) UpdateField(DAC_CHANNEL_REG_CHAN_CNTRL_8,"IQCOR_COEFF_1",x,y) - `define SET_DAC_CHANNEL_REG_CHAN_CNTRL_8_IQCOR_COEFF_2(x) SetField(DAC_CHANNEL_REG_CHAN_CNTRL_8,"IQCOR_COEFF_2",x) - `define GET_DAC_CHANNEL_REG_CHAN_CNTRL_8_IQCOR_COEFF_2(x) GetField(DAC_CHANNEL_REG_CHAN_CNTRL_8,"IQCOR_COEFF_2",x) - `define DEFAULT_DAC_CHANNEL_REG_CHAN_CNTRL_8_IQCOR_COEFF_2 GetResetValue(DAC_CHANNEL_REG_CHAN_CNTRL_8,"IQCOR_COEFF_2") - `define UPDATE_DAC_CHANNEL_REG_CHAN_CNTRL_8_IQCOR_COEFF_2(x,y) UpdateField(DAC_CHANNEL_REG_CHAN_CNTRL_8,"IQCOR_COEFF_2",x,y) - - const reg_t DAC_CHANNEL_REG_USR_CNTRL_3 = '{ 'h0420, "REG_USR_CNTRL_3" , '{ - "USR_DATATYPE_BE": '{ 25, 25, RW, 'h0 }, - "USR_DATATYPE_SIGNED": '{ 24, 24, RW, 'h0 }, - "USR_DATATYPE_SHIFT": '{ 23, 16, RW, 'h00 }, - "USR_DATATYPE_TOTAL_BITS": '{ 15, 8, RW, 'h00 }, - "USR_DATATYPE_BITS": '{ 7, 0, RW, 'h00 }}}; - `define SET_DAC_CHANNEL_REG_USR_CNTRL_3_USR_DATATYPE_BE(x) SetField(DAC_CHANNEL_REG_USR_CNTRL_3,"USR_DATATYPE_BE",x) - `define GET_DAC_CHANNEL_REG_USR_CNTRL_3_USR_DATATYPE_BE(x) GetField(DAC_CHANNEL_REG_USR_CNTRL_3,"USR_DATATYPE_BE",x) - `define DEFAULT_DAC_CHANNEL_REG_USR_CNTRL_3_USR_DATATYPE_BE GetResetValue(DAC_CHANNEL_REG_USR_CNTRL_3,"USR_DATATYPE_BE") - `define UPDATE_DAC_CHANNEL_REG_USR_CNTRL_3_USR_DATATYPE_BE(x,y) UpdateField(DAC_CHANNEL_REG_USR_CNTRL_3,"USR_DATATYPE_BE",x,y) - `define SET_DAC_CHANNEL_REG_USR_CNTRL_3_USR_DATATYPE_SIGNED(x) SetField(DAC_CHANNEL_REG_USR_CNTRL_3,"USR_DATATYPE_SIGNED",x) - `define GET_DAC_CHANNEL_REG_USR_CNTRL_3_USR_DATATYPE_SIGNED(x) GetField(DAC_CHANNEL_REG_USR_CNTRL_3,"USR_DATATYPE_SIGNED",x) - `define DEFAULT_DAC_CHANNEL_REG_USR_CNTRL_3_USR_DATATYPE_SIGNED GetResetValue(DAC_CHANNEL_REG_USR_CNTRL_3,"USR_DATATYPE_SIGNED") - `define UPDATE_DAC_CHANNEL_REG_USR_CNTRL_3_USR_DATATYPE_SIGNED(x,y) UpdateField(DAC_CHANNEL_REG_USR_CNTRL_3,"USR_DATATYPE_SIGNED",x,y) - `define SET_DAC_CHANNEL_REG_USR_CNTRL_3_USR_DATATYPE_SHIFT(x) SetField(DAC_CHANNEL_REG_USR_CNTRL_3,"USR_DATATYPE_SHIFT",x) - `define GET_DAC_CHANNEL_REG_USR_CNTRL_3_USR_DATATYPE_SHIFT(x) GetField(DAC_CHANNEL_REG_USR_CNTRL_3,"USR_DATATYPE_SHIFT",x) - `define DEFAULT_DAC_CHANNEL_REG_USR_CNTRL_3_USR_DATATYPE_SHIFT GetResetValue(DAC_CHANNEL_REG_USR_CNTRL_3,"USR_DATATYPE_SHIFT") - `define UPDATE_DAC_CHANNEL_REG_USR_CNTRL_3_USR_DATATYPE_SHIFT(x,y) UpdateField(DAC_CHANNEL_REG_USR_CNTRL_3,"USR_DATATYPE_SHIFT",x,y) - `define SET_DAC_CHANNEL_REG_USR_CNTRL_3_USR_DATATYPE_TOTAL_BITS(x) SetField(DAC_CHANNEL_REG_USR_CNTRL_3,"USR_DATATYPE_TOTAL_BITS",x) - `define GET_DAC_CHANNEL_REG_USR_CNTRL_3_USR_DATATYPE_TOTAL_BITS(x) GetField(DAC_CHANNEL_REG_USR_CNTRL_3,"USR_DATATYPE_TOTAL_BITS",x) - `define DEFAULT_DAC_CHANNEL_REG_USR_CNTRL_3_USR_DATATYPE_TOTAL_BITS GetResetValue(DAC_CHANNEL_REG_USR_CNTRL_3,"USR_DATATYPE_TOTAL_BITS") - `define UPDATE_DAC_CHANNEL_REG_USR_CNTRL_3_USR_DATATYPE_TOTAL_BITS(x,y) UpdateField(DAC_CHANNEL_REG_USR_CNTRL_3,"USR_DATATYPE_TOTAL_BITS",x,y) - `define SET_DAC_CHANNEL_REG_USR_CNTRL_3_USR_DATATYPE_BITS(x) SetField(DAC_CHANNEL_REG_USR_CNTRL_3,"USR_DATATYPE_BITS",x) - `define GET_DAC_CHANNEL_REG_USR_CNTRL_3_USR_DATATYPE_BITS(x) GetField(DAC_CHANNEL_REG_USR_CNTRL_3,"USR_DATATYPE_BITS",x) - `define DEFAULT_DAC_CHANNEL_REG_USR_CNTRL_3_USR_DATATYPE_BITS GetResetValue(DAC_CHANNEL_REG_USR_CNTRL_3,"USR_DATATYPE_BITS") - `define UPDATE_DAC_CHANNEL_REG_USR_CNTRL_3_USR_DATATYPE_BITS(x,y) UpdateField(DAC_CHANNEL_REG_USR_CNTRL_3,"USR_DATATYPE_BITS",x,y) - - const reg_t DAC_CHANNEL_REG_USR_CNTRL_4 = '{ 'h0424, "REG_USR_CNTRL_4" , '{ - "USR_INTERPOLATION_M": '{ 31, 16, RW, 'h0000 }, - "USR_INTERPOLATION_N": '{ 15, 0, RW, 'h0000 }}}; - `define SET_DAC_CHANNEL_REG_USR_CNTRL_4_USR_INTERPOLATION_M(x) SetField(DAC_CHANNEL_REG_USR_CNTRL_4,"USR_INTERPOLATION_M",x) - `define GET_DAC_CHANNEL_REG_USR_CNTRL_4_USR_INTERPOLATION_M(x) GetField(DAC_CHANNEL_REG_USR_CNTRL_4,"USR_INTERPOLATION_M",x) - `define DEFAULT_DAC_CHANNEL_REG_USR_CNTRL_4_USR_INTERPOLATION_M GetResetValue(DAC_CHANNEL_REG_USR_CNTRL_4,"USR_INTERPOLATION_M") - `define UPDATE_DAC_CHANNEL_REG_USR_CNTRL_4_USR_INTERPOLATION_M(x,y) UpdateField(DAC_CHANNEL_REG_USR_CNTRL_4,"USR_INTERPOLATION_M",x,y) - `define SET_DAC_CHANNEL_REG_USR_CNTRL_4_USR_INTERPOLATION_N(x) SetField(DAC_CHANNEL_REG_USR_CNTRL_4,"USR_INTERPOLATION_N",x) - `define GET_DAC_CHANNEL_REG_USR_CNTRL_4_USR_INTERPOLATION_N(x) GetField(DAC_CHANNEL_REG_USR_CNTRL_4,"USR_INTERPOLATION_N",x) - `define DEFAULT_DAC_CHANNEL_REG_USR_CNTRL_4_USR_INTERPOLATION_N GetResetValue(DAC_CHANNEL_REG_USR_CNTRL_4,"USR_INTERPOLATION_N") - `define UPDATE_DAC_CHANNEL_REG_USR_CNTRL_4_USR_INTERPOLATION_N(x,y) UpdateField(DAC_CHANNEL_REG_USR_CNTRL_4,"USR_INTERPOLATION_N",x,y) - - const reg_t DAC_CHANNEL_REG_USR_CNTRL_5 = '{ 'h0428, "REG_USR_CNTRL_5" , '{ - "DAC_IQ_MODE": '{ 0, 0, RW, 'h0 }, - "DAC_IQ_SWAP": '{ 1, 1, RW, 'h0 }}}; - `define SET_DAC_CHANNEL_REG_USR_CNTRL_5_DAC_IQ_MODE(x) SetField(DAC_CHANNEL_REG_USR_CNTRL_5,"DAC_IQ_MODE",x) - `define GET_DAC_CHANNEL_REG_USR_CNTRL_5_DAC_IQ_MODE(x) GetField(DAC_CHANNEL_REG_USR_CNTRL_5,"DAC_IQ_MODE",x) - `define DEFAULT_DAC_CHANNEL_REG_USR_CNTRL_5_DAC_IQ_MODE GetResetValue(DAC_CHANNEL_REG_USR_CNTRL_5,"DAC_IQ_MODE") - `define UPDATE_DAC_CHANNEL_REG_USR_CNTRL_5_DAC_IQ_MODE(x,y) UpdateField(DAC_CHANNEL_REG_USR_CNTRL_5,"DAC_IQ_MODE",x,y) - `define SET_DAC_CHANNEL_REG_USR_CNTRL_5_DAC_IQ_SWAP(x) SetField(DAC_CHANNEL_REG_USR_CNTRL_5,"DAC_IQ_SWAP",x) - `define GET_DAC_CHANNEL_REG_USR_CNTRL_5_DAC_IQ_SWAP(x) GetField(DAC_CHANNEL_REG_USR_CNTRL_5,"DAC_IQ_SWAP",x) - `define DEFAULT_DAC_CHANNEL_REG_USR_CNTRL_5_DAC_IQ_SWAP GetResetValue(DAC_CHANNEL_REG_USR_CNTRL_5,"DAC_IQ_SWAP") - `define UPDATE_DAC_CHANNEL_REG_USR_CNTRL_5_DAC_IQ_SWAP(x,y) UpdateField(DAC_CHANNEL_REG_USR_CNTRL_5,"DAC_IQ_SWAP",x,y) - - const reg_t DAC_CHANNEL_REG_CHAN_CNTRL_9 = '{ 'h042c, "REG_CHAN_CNTRL_9" , '{ - "DDS_INIT_1_EXTENDED": '{ 31, 16, RW, 'h0000 }, - "DDS_INCR_1_EXTENDED": '{ 15, 0, RW, 'h0000 }}}; - `define SET_DAC_CHANNEL_REG_CHAN_CNTRL_9_DDS_INIT_1_EXTENDED(x) SetField(DAC_CHANNEL_REG_CHAN_CNTRL_9,"DDS_INIT_1_EXTENDED",x) - `define GET_DAC_CHANNEL_REG_CHAN_CNTRL_9_DDS_INIT_1_EXTENDED(x) GetField(DAC_CHANNEL_REG_CHAN_CNTRL_9,"DDS_INIT_1_EXTENDED",x) - `define DEFAULT_DAC_CHANNEL_REG_CHAN_CNTRL_9_DDS_INIT_1_EXTENDED GetResetValue(DAC_CHANNEL_REG_CHAN_CNTRL_9,"DDS_INIT_1_EXTENDED") - `define UPDATE_DAC_CHANNEL_REG_CHAN_CNTRL_9_DDS_INIT_1_EXTENDED(x,y) UpdateField(DAC_CHANNEL_REG_CHAN_CNTRL_9,"DDS_INIT_1_EXTENDED",x,y) - `define SET_DAC_CHANNEL_REG_CHAN_CNTRL_9_DDS_INCR_1_EXTENDED(x) SetField(DAC_CHANNEL_REG_CHAN_CNTRL_9,"DDS_INCR_1_EXTENDED",x) - `define GET_DAC_CHANNEL_REG_CHAN_CNTRL_9_DDS_INCR_1_EXTENDED(x) GetField(DAC_CHANNEL_REG_CHAN_CNTRL_9,"DDS_INCR_1_EXTENDED",x) - `define DEFAULT_DAC_CHANNEL_REG_CHAN_CNTRL_9_DDS_INCR_1_EXTENDED GetResetValue(DAC_CHANNEL_REG_CHAN_CNTRL_9,"DDS_INCR_1_EXTENDED") - `define UPDATE_DAC_CHANNEL_REG_CHAN_CNTRL_9_DDS_INCR_1_EXTENDED(x,y) UpdateField(DAC_CHANNEL_REG_CHAN_CNTRL_9,"DDS_INCR_1_EXTENDED",x,y) - - const reg_t DAC_CHANNEL_REG_CHAN_CNTRL_10 = '{ 'h0430, "REG_CHAN_CNTRL_10" , '{ - "DDS_INIT_2_EXTENDED": '{ 31, 16, RW, 'h0000 }, - "DDS_INCR_2_EXTENDED": '{ 15, 0, RW, 'h0000 }}}; - `define SET_DAC_CHANNEL_REG_CHAN_CNTRL_10_DDS_INIT_2_EXTENDED(x) SetField(DAC_CHANNEL_REG_CHAN_CNTRL_10,"DDS_INIT_2_EXTENDED",x) - `define GET_DAC_CHANNEL_REG_CHAN_CNTRL_10_DDS_INIT_2_EXTENDED(x) GetField(DAC_CHANNEL_REG_CHAN_CNTRL_10,"DDS_INIT_2_EXTENDED",x) - `define DEFAULT_DAC_CHANNEL_REG_CHAN_CNTRL_10_DDS_INIT_2_EXTENDED GetResetValue(DAC_CHANNEL_REG_CHAN_CNTRL_10,"DDS_INIT_2_EXTENDED") - `define UPDATE_DAC_CHANNEL_REG_CHAN_CNTRL_10_DDS_INIT_2_EXTENDED(x,y) UpdateField(DAC_CHANNEL_REG_CHAN_CNTRL_10,"DDS_INIT_2_EXTENDED",x,y) - `define SET_DAC_CHANNEL_REG_CHAN_CNTRL_10_DDS_INCR_2_EXTENDED(x) SetField(DAC_CHANNEL_REG_CHAN_CNTRL_10,"DDS_INCR_2_EXTENDED",x) - `define GET_DAC_CHANNEL_REG_CHAN_CNTRL_10_DDS_INCR_2_EXTENDED(x) GetField(DAC_CHANNEL_REG_CHAN_CNTRL_10,"DDS_INCR_2_EXTENDED",x) - `define DEFAULT_DAC_CHANNEL_REG_CHAN_CNTRL_10_DDS_INCR_2_EXTENDED GetResetValue(DAC_CHANNEL_REG_CHAN_CNTRL_10,"DDS_INCR_2_EXTENDED") - `define UPDATE_DAC_CHANNEL_REG_CHAN_CNTRL_10_DDS_INCR_2_EXTENDED(x,y) UpdateField(DAC_CHANNEL_REG_CHAN_CNTRL_10,"DDS_INCR_2_EXTENDED",x,y) - - -endpackage + import logger_pkg::*; + import adi_api_pkg::*; + + class adi_regmap_dac extends adi_regmap; + + /* DAC Common (axi_ad) */ + class RSTN_CLASS extends register_base; + field_base CE_N_F; + field_base MMCM_RSTN_F; + field_base RSTN_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.CE_N_F = new("CE_N", 2, 2, RW, 'h0, this); + this.MMCM_RSTN_F = new("MMCM_RSTN", 1, 1, RW, 'h0, this); + this.RSTN_F = new("RSTN", 0, 0, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: RSTN_CLASS + + class CNTRL_1_CLASS extends register_base; + field_base SYNC_F; + field_base EXT_SYNC_ARM_F; + field_base EXT_SYNC_DISARM_F; + field_base MANUAL_SYNC_REQUEST_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.SYNC_F = new("SYNC", 0, 0, RW, 'h0, this); + this.EXT_SYNC_ARM_F = new("EXT_SYNC_ARM", 1, 1, RW, 'h0, this); + this.EXT_SYNC_DISARM_F = new("EXT_SYNC_DISARM", 2, 2, RW, 'h0, this); + this.MANUAL_SYNC_REQUEST_F = new("MANUAL_SYNC_REQUEST", 8, 8, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: CNTRL_1_CLASS + + class CNTRL_2_CLASS extends register_base; + field_base SDR_DDR_N_F; + field_base SYMB_OP_F; + field_base SYMB_8_16B_F; + field_base NUM_LANES_F; + field_base PAR_TYPE_F; + field_base PAR_ENB_F; + field_base R1_MODE_F; + field_base DATA_FORMAT_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.SDR_DDR_N_F = new("SDR_DDR_N", 16, 16, RW, 'h0, this); + this.SYMB_OP_F = new("SYMB_OP", 15, 15, RW, 'h0, this); + this.SYMB_8_16B_F = new("SYMB_8_16B", 14, 14, RW, 'h0, this); + this.NUM_LANES_F = new("NUM_LANES", 12, 8, RW, 'h0, this); + this.PAR_TYPE_F = new("PAR_TYPE", 7, 7, RW, 'h0, this); + this.PAR_ENB_F = new("PAR_ENB", 6, 6, RW, 'h0, this); + this.R1_MODE_F = new("R1_MODE", 5, 5, RW, 'h0, this); + this.DATA_FORMAT_F = new("DATA_FORMAT", 4, 4, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: CNTRL_2_CLASS + + class RATECNTRL_CLASS extends register_base; + field_base RATE_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.RATE_F = new("RATE", 7, 0, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: RATECNTRL_CLASS + + class FRAME_CLASS extends register_base; + field_base FRAME_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.FRAME_F = new("FRAME", 0, 0, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: FRAME_CLASS + + class STATUS1_CLASS extends register_base; + field_base CLK_FREQ_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.CLK_FREQ_F = new("CLK_FREQ", 31, 0, RO, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: STATUS1_CLASS + + class STATUS2_CLASS extends register_base; + field_base CLK_RATIO_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.CLK_RATIO_F = new("CLK_RATIO", 31, 0, RO, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: STATUS2_CLASS + + class STATUS3_CLASS extends register_base; + field_base STATUS_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.STATUS_F = new("STATUS", 0, 0, RO, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: STATUS3_CLASS + + class DAC_CLKSEL_CLASS extends register_base; + field_base DAC_CLKSEL_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.DAC_CLKSEL_F = new("DAC_CLKSEL", 0, 0, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: DAC_CLKSEL_CLASS + + class SYNC_STATUS_CLASS extends register_base; + field_base DAC_SYNC_STATUS_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.DAC_SYNC_STATUS_F = new("DAC_SYNC_STATUS", 0, 0, RO, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: SYNC_STATUS_CLASS + + class DRP_CNTRL_CLASS extends register_base; + field_base DRP_RWN_F; + field_base DRP_ADDRESS_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.DRP_RWN_F = new("DRP_RWN", 28, 28, RW, 'h0, this); + this.DRP_ADDRESS_F = new("DRP_ADDRESS", 27, 16, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: DRP_CNTRL_CLASS + + class DRP_STATUS_CLASS extends register_base; + field_base DRP_LOCKED_F; + field_base DRP_STATUS_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.DRP_LOCKED_F = new("DRP_LOCKED", 17, 17, RO, 'h0, this); + this.DRP_STATUS_F = new("DRP_STATUS", 16, 16, RO, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: DRP_STATUS_CLASS + + class DRP_WDATA_CLASS extends register_base; + field_base DRP_WDATA_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.DRP_WDATA_F = new("DRP_WDATA", 15, 0, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: DRP_WDATA_CLASS + + class DRP_RDATA_CLASS extends register_base; + field_base DRP_RDATA_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.DRP_RDATA_F = new("DRP_RDATA", 15, 0, RO, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: DRP_RDATA_CLASS + + class DAC_CUSTOM_RD_CLASS extends register_base; + field_base DAC_CUSTOM_RD_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.DAC_CUSTOM_RD_F = new("DAC_CUSTOM_RD", 31, 0, RO, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: DAC_CUSTOM_RD_CLASS + + class DAC_CUSTOM_WR_CLASS extends register_base; + field_base DAC_CUSTOM_WR_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.DAC_CUSTOM_WR_F = new("DAC_CUSTOM_WR", 31, 0, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: DAC_CUSTOM_WR_CLASS + + class UI_STATUS_CLASS extends register_base; + field_base IF_BUSY_F; + field_base UI_OVF_F; + field_base UI_UNF_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.IF_BUSY_F = new("IF_BUSY", 4, 4, RO, 'h0, this); + this.UI_OVF_F = new("UI_OVF", 1, 1, RW1C, 'h0, this); + this.UI_UNF_F = new("UI_UNF", 0, 0, RW1C, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: UI_STATUS_CLASS + + class DAC_CUSTOM_CTRL_CLASS extends register_base; + field_base DAC_CUSTOM_CTRL_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.DAC_CUSTOM_CTRL_F = new("DAC_CUSTOM_CTRL", 31, 0, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: DAC_CUSTOM_CTRL_CLASS + + class USR_CNTRL_1_CLASS extends register_base; + field_base USR_CHANMAX_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.USR_CHANMAX_F = new("USR_CHANMAX", 7, 0, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: USR_CNTRL_1_CLASS + + class DAC_GPIO_IN_CLASS extends register_base; + field_base DAC_GPIO_IN_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.DAC_GPIO_IN_F = new("DAC_GPIO_IN", 31, 0, RO, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: DAC_GPIO_IN_CLASS + + class DAC_GPIO_OUT_CLASS extends register_base; + field_base DAC_GPIO_OUT_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.DAC_GPIO_OUT_F = new("DAC_GPIO_OUT", 31, 0, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: DAC_GPIO_OUT_CLASS + + /* DAC Channel (axi_ad*) */ + class CHAN_CNTRLn_1_CLASS extends register_base; + field_base DDS_PHASE_DW_F; + field_base DDS_SCALE_1_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.DDS_PHASE_DW_F = new("DDS_PHASE_DW", 21, 16, RO, 'h0, this); + this.DDS_SCALE_1_F = new("DDS_SCALE_1", 15, 0, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: CHAN_CNTRLn_1_CLASS + + class CHAN_CNTRLn_2_CLASS extends register_base; + field_base DDS_INIT_1_F; + field_base DDS_INCR_1_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.DDS_INIT_1_F = new("DDS_INIT_1", 31, 16, RW, 'h0, this); + this.DDS_INCR_1_F = new("DDS_INCR_1", 15, 0, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: CHAN_CNTRLn_2_CLASS + + class CHAN_CNTRLn_3_CLASS extends register_base; + field_base DDS_SCALE_2_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.DDS_SCALE_2_F = new("DDS_SCALE_2", 15, 0, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: CHAN_CNTRLn_3_CLASS + + class CHAN_CNTRLn_4_CLASS extends register_base; + field_base DDS_INIT_2_F; + field_base DDS_INCR_2_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.DDS_INIT_2_F = new("DDS_INIT_2", 31, 16, RW, 'h0, this); + this.DDS_INCR_2_F = new("DDS_INCR_2", 15, 0, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: CHAN_CNTRLn_4_CLASS + + class CHAN_CNTRLn_5_CLASS extends register_base; + field_base DDS_PATT_2_F; + field_base DDS_PATT_1_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.DDS_PATT_2_F = new("DDS_PATT_2", 31, 16, RW, 'h0, this); + this.DDS_PATT_1_F = new("DDS_PATT_1", 15, 0, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: CHAN_CNTRLn_5_CLASS + + class CHAN_CNTRLn_6_CLASS extends register_base; + field_base IQCOR_ENB_F; + field_base DAC_LB_OWR_F; + field_base DAC_PN_OWR_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.IQCOR_ENB_F = new("IQCOR_ENB", 2, 2, RW, 'h0, this); + this.DAC_LB_OWR_F = new("DAC_LB_OWR", 1, 1, RW, 'h0, this); + this.DAC_PN_OWR_F = new("DAC_PN_OWR", 0, 0, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: CHAN_CNTRLn_6_CLASS + + class CHAN_CNTRLn_7_CLASS extends register_base; + field_base DAC_DDS_SEL_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.DAC_DDS_SEL_F = new("DAC_DDS_SEL", 3, 0, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: CHAN_CNTRLn_7_CLASS + + class CHAN_CNTRLn_8_CLASS extends register_base; + field_base IQCOR_COEFF_1_F; + field_base IQCOR_COEFF_2_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.IQCOR_COEFF_1_F = new("IQCOR_COEFF_1", 31, 16, RW, 'h0, this); + this.IQCOR_COEFF_2_F = new("IQCOR_COEFF_2", 15, 0, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: CHAN_CNTRLn_8_CLASS + + class USR_CNTRLn_3_CLASS extends register_base; + field_base USR_DATATYPE_BE_F; + field_base USR_DATATYPE_SIGNED_F; + field_base USR_DATATYPE_SHIFT_F; + field_base USR_DATATYPE_TOTAL_BITS_F; + field_base USR_DATATYPE_BITS_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.USR_DATATYPE_BE_F = new("USR_DATATYPE_BE", 25, 25, RW, 'h0, this); + this.USR_DATATYPE_SIGNED_F = new("USR_DATATYPE_SIGNED", 24, 24, RW, 'h0, this); + this.USR_DATATYPE_SHIFT_F = new("USR_DATATYPE_SHIFT", 23, 16, RW, 'h0, this); + this.USR_DATATYPE_TOTAL_BITS_F = new("USR_DATATYPE_TOTAL_BITS", 15, 8, RW, 'h0, this); + this.USR_DATATYPE_BITS_F = new("USR_DATATYPE_BITS", 7, 0, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: USR_CNTRLn_3_CLASS + + class USR_CNTRLn_4_CLASS extends register_base; + field_base USR_INTERPOLATION_M_F; + field_base USR_INTERPOLATION_N_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.USR_INTERPOLATION_M_F = new("USR_INTERPOLATION_M", 31, 16, RW, 'h0, this); + this.USR_INTERPOLATION_N_F = new("USR_INTERPOLATION_N", 15, 0, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: USR_CNTRLn_4_CLASS + + class USR_CNTRLn_5_CLASS extends register_base; + field_base DAC_IQ_MODE_F; + field_base DAC_IQ_SWAP_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.DAC_IQ_MODE_F = new("DAC_IQ_MODE", 0, 0, RW, 'h0, this); + this.DAC_IQ_SWAP_F = new("DAC_IQ_SWAP", 1, 1, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: USR_CNTRLn_5_CLASS + + class CHAN_CNTRLn_9_CLASS extends register_base; + field_base DDS_INIT_1_EXTENDED_F; + field_base DDS_INCR_1_EXTENDED_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.DDS_INIT_1_EXTENDED_F = new("DDS_INIT_1_EXTENDED", 31, 16, RW, 'h0, this); + this.DDS_INCR_1_EXTENDED_F = new("DDS_INCR_1_EXTENDED", 15, 0, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: CHAN_CNTRLn_9_CLASS + + class CHAN_CNTRLn_10_CLASS extends register_base; + field_base DDS_INIT_2_EXTENDED_F; + field_base DDS_INCR_2_EXTENDED_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.DDS_INIT_2_EXTENDED_F = new("DDS_INIT_2_EXTENDED", 31, 16, RW, 'h0, this); + this.DDS_INCR_2_EXTENDED_F = new("DDS_INCR_2_EXTENDED", 15, 0, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: CHAN_CNTRLn_10_CLASS + + RSTN_CLASS RSTN_R; + CNTRL_1_CLASS CNTRL_1_R; + CNTRL_2_CLASS CNTRL_2_R; + RATECNTRL_CLASS RATECNTRL_R; + FRAME_CLASS FRAME_R; + STATUS1_CLASS STATUS1_R; + STATUS2_CLASS STATUS2_R; + STATUS3_CLASS STATUS3_R; + DAC_CLKSEL_CLASS DAC_CLKSEL_R; + SYNC_STATUS_CLASS SYNC_STATUS_R; + DRP_CNTRL_CLASS DRP_CNTRL_R; + DRP_STATUS_CLASS DRP_STATUS_R; + DRP_WDATA_CLASS DRP_WDATA_R; + DRP_RDATA_CLASS DRP_RDATA_R; + DAC_CUSTOM_RD_CLASS DAC_CUSTOM_RD_R; + DAC_CUSTOM_WR_CLASS DAC_CUSTOM_WR_R; + UI_STATUS_CLASS UI_STATUS_R; + DAC_CUSTOM_CTRL_CLASS DAC_CUSTOM_CTRL_R; + USR_CNTRL_1_CLASS USR_CNTRL_1_R; + DAC_GPIO_IN_CLASS DAC_GPIO_IN_R; + DAC_GPIO_OUT_CLASS DAC_GPIO_OUT_R; + CHAN_CNTRLn_1_CLASS CHAN_CNTRL0_1_R; + CHAN_CNTRLn_1_CLASS CHAN_CNTRL1_1_R; + CHAN_CNTRLn_1_CLASS CHAN_CNTRL2_1_R; + CHAN_CNTRLn_1_CLASS CHAN_CNTRL3_1_R; + CHAN_CNTRLn_1_CLASS CHAN_CNTRL4_1_R; + CHAN_CNTRLn_1_CLASS CHAN_CNTRL5_1_R; + CHAN_CNTRLn_1_CLASS CHAN_CNTRL6_1_R; + CHAN_CNTRLn_1_CLASS CHAN_CNTRL7_1_R; + CHAN_CNTRLn_1_CLASS CHAN_CNTRL8_1_R; + CHAN_CNTRLn_1_CLASS CHAN_CNTRL9_1_R; + CHAN_CNTRLn_1_CLASS CHAN_CNTRL10_1_R; + CHAN_CNTRLn_1_CLASS CHAN_CNTRL11_1_R; + CHAN_CNTRLn_1_CLASS CHAN_CNTRL12_1_R; + CHAN_CNTRLn_1_CLASS CHAN_CNTRL13_1_R; + CHAN_CNTRLn_1_CLASS CHAN_CNTRL14_1_R; + CHAN_CNTRLn_1_CLASS CHAN_CNTRL15_1_R; + CHAN_CNTRLn_2_CLASS CHAN_CNTRL0_2_R; + CHAN_CNTRLn_2_CLASS CHAN_CNTRL1_2_R; + CHAN_CNTRLn_2_CLASS CHAN_CNTRL2_2_R; + CHAN_CNTRLn_2_CLASS CHAN_CNTRL3_2_R; + CHAN_CNTRLn_2_CLASS CHAN_CNTRL4_2_R; + CHAN_CNTRLn_2_CLASS CHAN_CNTRL5_2_R; + CHAN_CNTRLn_2_CLASS CHAN_CNTRL6_2_R; + CHAN_CNTRLn_2_CLASS CHAN_CNTRL7_2_R; + CHAN_CNTRLn_2_CLASS CHAN_CNTRL8_2_R; + CHAN_CNTRLn_2_CLASS CHAN_CNTRL9_2_R; + CHAN_CNTRLn_2_CLASS CHAN_CNTRL10_2_R; + CHAN_CNTRLn_2_CLASS CHAN_CNTRL11_2_R; + CHAN_CNTRLn_2_CLASS CHAN_CNTRL12_2_R; + CHAN_CNTRLn_2_CLASS CHAN_CNTRL13_2_R; + CHAN_CNTRLn_2_CLASS CHAN_CNTRL14_2_R; + CHAN_CNTRLn_2_CLASS CHAN_CNTRL15_2_R; + CHAN_CNTRLn_3_CLASS CHAN_CNTRL0_3_R; + CHAN_CNTRLn_3_CLASS CHAN_CNTRL1_3_R; + CHAN_CNTRLn_3_CLASS CHAN_CNTRL2_3_R; + CHAN_CNTRLn_3_CLASS CHAN_CNTRL3_3_R; + CHAN_CNTRLn_3_CLASS CHAN_CNTRL4_3_R; + CHAN_CNTRLn_3_CLASS CHAN_CNTRL5_3_R; + CHAN_CNTRLn_3_CLASS CHAN_CNTRL6_3_R; + CHAN_CNTRLn_3_CLASS CHAN_CNTRL7_3_R; + CHAN_CNTRLn_3_CLASS CHAN_CNTRL8_3_R; + CHAN_CNTRLn_3_CLASS CHAN_CNTRL9_3_R; + CHAN_CNTRLn_3_CLASS CHAN_CNTRL10_3_R; + CHAN_CNTRLn_3_CLASS CHAN_CNTRL11_3_R; + CHAN_CNTRLn_3_CLASS CHAN_CNTRL12_3_R; + CHAN_CNTRLn_3_CLASS CHAN_CNTRL13_3_R; + CHAN_CNTRLn_3_CLASS CHAN_CNTRL14_3_R; + CHAN_CNTRLn_3_CLASS CHAN_CNTRL15_3_R; + CHAN_CNTRLn_4_CLASS CHAN_CNTRL0_4_R; + CHAN_CNTRLn_4_CLASS CHAN_CNTRL1_4_R; + CHAN_CNTRLn_4_CLASS CHAN_CNTRL2_4_R; + CHAN_CNTRLn_4_CLASS CHAN_CNTRL3_4_R; + CHAN_CNTRLn_4_CLASS CHAN_CNTRL4_4_R; + CHAN_CNTRLn_4_CLASS CHAN_CNTRL5_4_R; + CHAN_CNTRLn_4_CLASS CHAN_CNTRL6_4_R; + CHAN_CNTRLn_4_CLASS CHAN_CNTRL7_4_R; + CHAN_CNTRLn_4_CLASS CHAN_CNTRL8_4_R; + CHAN_CNTRLn_4_CLASS CHAN_CNTRL9_4_R; + CHAN_CNTRLn_4_CLASS CHAN_CNTRL10_4_R; + CHAN_CNTRLn_4_CLASS CHAN_CNTRL11_4_R; + CHAN_CNTRLn_4_CLASS CHAN_CNTRL12_4_R; + CHAN_CNTRLn_4_CLASS CHAN_CNTRL13_4_R; + CHAN_CNTRLn_4_CLASS CHAN_CNTRL14_4_R; + CHAN_CNTRLn_4_CLASS CHAN_CNTRL15_4_R; + CHAN_CNTRLn_5_CLASS CHAN_CNTRL0_5_R; + CHAN_CNTRLn_5_CLASS CHAN_CNTRL1_5_R; + CHAN_CNTRLn_5_CLASS CHAN_CNTRL2_5_R; + CHAN_CNTRLn_5_CLASS CHAN_CNTRL3_5_R; + CHAN_CNTRLn_5_CLASS CHAN_CNTRL4_5_R; + CHAN_CNTRLn_5_CLASS CHAN_CNTRL5_5_R; + CHAN_CNTRLn_5_CLASS CHAN_CNTRL6_5_R; + CHAN_CNTRLn_5_CLASS CHAN_CNTRL7_5_R; + CHAN_CNTRLn_5_CLASS CHAN_CNTRL8_5_R; + CHAN_CNTRLn_5_CLASS CHAN_CNTRL9_5_R; + CHAN_CNTRLn_5_CLASS CHAN_CNTRL10_5_R; + CHAN_CNTRLn_5_CLASS CHAN_CNTRL11_5_R; + CHAN_CNTRLn_5_CLASS CHAN_CNTRL12_5_R; + CHAN_CNTRLn_5_CLASS CHAN_CNTRL13_5_R; + CHAN_CNTRLn_5_CLASS CHAN_CNTRL14_5_R; + CHAN_CNTRLn_5_CLASS CHAN_CNTRL15_5_R; + CHAN_CNTRLn_6_CLASS CHAN_CNTRL0_6_R; + CHAN_CNTRLn_6_CLASS CHAN_CNTRL1_6_R; + CHAN_CNTRLn_6_CLASS CHAN_CNTRL2_6_R; + CHAN_CNTRLn_6_CLASS CHAN_CNTRL3_6_R; + CHAN_CNTRLn_6_CLASS CHAN_CNTRL4_6_R; + CHAN_CNTRLn_6_CLASS CHAN_CNTRL5_6_R; + CHAN_CNTRLn_6_CLASS CHAN_CNTRL6_6_R; + CHAN_CNTRLn_6_CLASS CHAN_CNTRL7_6_R; + CHAN_CNTRLn_6_CLASS CHAN_CNTRL8_6_R; + CHAN_CNTRLn_6_CLASS CHAN_CNTRL9_6_R; + CHAN_CNTRLn_6_CLASS CHAN_CNTRL10_6_R; + CHAN_CNTRLn_6_CLASS CHAN_CNTRL11_6_R; + CHAN_CNTRLn_6_CLASS CHAN_CNTRL12_6_R; + CHAN_CNTRLn_6_CLASS CHAN_CNTRL13_6_R; + CHAN_CNTRLn_6_CLASS CHAN_CNTRL14_6_R; + CHAN_CNTRLn_6_CLASS CHAN_CNTRL15_6_R; + CHAN_CNTRLn_7_CLASS CHAN_CNTRL0_7_R; + CHAN_CNTRLn_7_CLASS CHAN_CNTRL1_7_R; + CHAN_CNTRLn_7_CLASS CHAN_CNTRL2_7_R; + CHAN_CNTRLn_7_CLASS CHAN_CNTRL3_7_R; + CHAN_CNTRLn_7_CLASS CHAN_CNTRL4_7_R; + CHAN_CNTRLn_7_CLASS CHAN_CNTRL5_7_R; + CHAN_CNTRLn_7_CLASS CHAN_CNTRL6_7_R; + CHAN_CNTRLn_7_CLASS CHAN_CNTRL7_7_R; + CHAN_CNTRLn_7_CLASS CHAN_CNTRL8_7_R; + CHAN_CNTRLn_7_CLASS CHAN_CNTRL9_7_R; + CHAN_CNTRLn_7_CLASS CHAN_CNTRL10_7_R; + CHAN_CNTRLn_7_CLASS CHAN_CNTRL11_7_R; + CHAN_CNTRLn_7_CLASS CHAN_CNTRL12_7_R; + CHAN_CNTRLn_7_CLASS CHAN_CNTRL13_7_R; + CHAN_CNTRLn_7_CLASS CHAN_CNTRL14_7_R; + CHAN_CNTRLn_7_CLASS CHAN_CNTRL15_7_R; + CHAN_CNTRLn_8_CLASS CHAN_CNTRL0_8_R; + CHAN_CNTRLn_8_CLASS CHAN_CNTRL1_8_R; + CHAN_CNTRLn_8_CLASS CHAN_CNTRL2_8_R; + CHAN_CNTRLn_8_CLASS CHAN_CNTRL3_8_R; + CHAN_CNTRLn_8_CLASS CHAN_CNTRL4_8_R; + CHAN_CNTRLn_8_CLASS CHAN_CNTRL5_8_R; + CHAN_CNTRLn_8_CLASS CHAN_CNTRL6_8_R; + CHAN_CNTRLn_8_CLASS CHAN_CNTRL7_8_R; + CHAN_CNTRLn_8_CLASS CHAN_CNTRL8_8_R; + CHAN_CNTRLn_8_CLASS CHAN_CNTRL9_8_R; + CHAN_CNTRLn_8_CLASS CHAN_CNTRL10_8_R; + CHAN_CNTRLn_8_CLASS CHAN_CNTRL11_8_R; + CHAN_CNTRLn_8_CLASS CHAN_CNTRL12_8_R; + CHAN_CNTRLn_8_CLASS CHAN_CNTRL13_8_R; + CHAN_CNTRLn_8_CLASS CHAN_CNTRL14_8_R; + CHAN_CNTRLn_8_CLASS CHAN_CNTRL15_8_R; + USR_CNTRLn_3_CLASS USR_CNTRL0_3_R; + USR_CNTRLn_3_CLASS USR_CNTRL1_3_R; + USR_CNTRLn_3_CLASS USR_CNTRL2_3_R; + USR_CNTRLn_3_CLASS USR_CNTRL3_3_R; + USR_CNTRLn_3_CLASS USR_CNTRL4_3_R; + USR_CNTRLn_3_CLASS USR_CNTRL5_3_R; + USR_CNTRLn_3_CLASS USR_CNTRL6_3_R; + USR_CNTRLn_3_CLASS USR_CNTRL7_3_R; + USR_CNTRLn_3_CLASS USR_CNTRL8_3_R; + USR_CNTRLn_3_CLASS USR_CNTRL9_3_R; + USR_CNTRLn_3_CLASS USR_CNTRL10_3_R; + USR_CNTRLn_3_CLASS USR_CNTRL11_3_R; + USR_CNTRLn_3_CLASS USR_CNTRL12_3_R; + USR_CNTRLn_3_CLASS USR_CNTRL13_3_R; + USR_CNTRLn_3_CLASS USR_CNTRL14_3_R; + USR_CNTRLn_3_CLASS USR_CNTRL15_3_R; + USR_CNTRLn_4_CLASS USR_CNTRL0_4_R; + USR_CNTRLn_4_CLASS USR_CNTRL1_4_R; + USR_CNTRLn_4_CLASS USR_CNTRL2_4_R; + USR_CNTRLn_4_CLASS USR_CNTRL3_4_R; + USR_CNTRLn_4_CLASS USR_CNTRL4_4_R; + USR_CNTRLn_4_CLASS USR_CNTRL5_4_R; + USR_CNTRLn_4_CLASS USR_CNTRL6_4_R; + USR_CNTRLn_4_CLASS USR_CNTRL7_4_R; + USR_CNTRLn_4_CLASS USR_CNTRL8_4_R; + USR_CNTRLn_4_CLASS USR_CNTRL9_4_R; + USR_CNTRLn_4_CLASS USR_CNTRL10_4_R; + USR_CNTRLn_4_CLASS USR_CNTRL11_4_R; + USR_CNTRLn_4_CLASS USR_CNTRL12_4_R; + USR_CNTRLn_4_CLASS USR_CNTRL13_4_R; + USR_CNTRLn_4_CLASS USR_CNTRL14_4_R; + USR_CNTRLn_4_CLASS USR_CNTRL15_4_R; + USR_CNTRLn_5_CLASS USR_CNTRL0_5_R; + USR_CNTRLn_5_CLASS USR_CNTRL1_5_R; + USR_CNTRLn_5_CLASS USR_CNTRL2_5_R; + USR_CNTRLn_5_CLASS USR_CNTRL3_5_R; + USR_CNTRLn_5_CLASS USR_CNTRL4_5_R; + USR_CNTRLn_5_CLASS USR_CNTRL5_5_R; + USR_CNTRLn_5_CLASS USR_CNTRL6_5_R; + USR_CNTRLn_5_CLASS USR_CNTRL7_5_R; + USR_CNTRLn_5_CLASS USR_CNTRL8_5_R; + USR_CNTRLn_5_CLASS USR_CNTRL9_5_R; + USR_CNTRLn_5_CLASS USR_CNTRL10_5_R; + USR_CNTRLn_5_CLASS USR_CNTRL11_5_R; + USR_CNTRLn_5_CLASS USR_CNTRL12_5_R; + USR_CNTRLn_5_CLASS USR_CNTRL13_5_R; + USR_CNTRLn_5_CLASS USR_CNTRL14_5_R; + USR_CNTRLn_5_CLASS USR_CNTRL15_5_R; + CHAN_CNTRLn_9_CLASS CHAN_CNTRL0_9_R; + CHAN_CNTRLn_9_CLASS CHAN_CNTRL1_9_R; + CHAN_CNTRLn_9_CLASS CHAN_CNTRL2_9_R; + CHAN_CNTRLn_9_CLASS CHAN_CNTRL3_9_R; + CHAN_CNTRLn_9_CLASS CHAN_CNTRL4_9_R; + CHAN_CNTRLn_9_CLASS CHAN_CNTRL5_9_R; + CHAN_CNTRLn_9_CLASS CHAN_CNTRL6_9_R; + CHAN_CNTRLn_9_CLASS CHAN_CNTRL7_9_R; + CHAN_CNTRLn_9_CLASS CHAN_CNTRL8_9_R; + CHAN_CNTRLn_9_CLASS CHAN_CNTRL9_9_R; + CHAN_CNTRLn_9_CLASS CHAN_CNTRL10_9_R; + CHAN_CNTRLn_9_CLASS CHAN_CNTRL11_9_R; + CHAN_CNTRLn_9_CLASS CHAN_CNTRL12_9_R; + CHAN_CNTRLn_9_CLASS CHAN_CNTRL13_9_R; + CHAN_CNTRLn_9_CLASS CHAN_CNTRL14_9_R; + CHAN_CNTRLn_9_CLASS CHAN_CNTRL15_9_R; + CHAN_CNTRLn_10_CLASS CHAN_CNTRL0_10_R; + CHAN_CNTRLn_10_CLASS CHAN_CNTRL1_10_R; + CHAN_CNTRLn_10_CLASS CHAN_CNTRL2_10_R; + CHAN_CNTRLn_10_CLASS CHAN_CNTRL3_10_R; + CHAN_CNTRLn_10_CLASS CHAN_CNTRL4_10_R; + CHAN_CNTRLn_10_CLASS CHAN_CNTRL5_10_R; + CHAN_CNTRLn_10_CLASS CHAN_CNTRL6_10_R; + CHAN_CNTRLn_10_CLASS CHAN_CNTRL7_10_R; + CHAN_CNTRLn_10_CLASS CHAN_CNTRL8_10_R; + CHAN_CNTRLn_10_CLASS CHAN_CNTRL9_10_R; + CHAN_CNTRLn_10_CLASS CHAN_CNTRL10_10_R; + CHAN_CNTRLn_10_CLASS CHAN_CNTRL11_10_R; + CHAN_CNTRLn_10_CLASS CHAN_CNTRL12_10_R; + CHAN_CNTRLn_10_CLASS CHAN_CNTRL13_10_R; + CHAN_CNTRLn_10_CLASS CHAN_CNTRL14_10_R; + CHAN_CNTRLn_10_CLASS CHAN_CNTRL15_10_R; + + function new( + input string name, + input int address, + input adi_api parent = null); + + super.new(name, address, parent); + + this.RSTN_R = new("RSTN", 'h40, this); + this.CNTRL_1_R = new("CNTRL_1", 'h44, this); + this.CNTRL_2_R = new("CNTRL_2", 'h48, this); + this.RATECNTRL_R = new("RATECNTRL", 'h4c, this); + this.FRAME_R = new("FRAME", 'h50, this); + this.STATUS1_R = new("STATUS1", 'h54, this); + this.STATUS2_R = new("STATUS2", 'h58, this); + this.STATUS3_R = new("STATUS3", 'h5c, this); + this.DAC_CLKSEL_R = new("DAC_CLKSEL", 'h60, this); + this.SYNC_STATUS_R = new("SYNC_STATUS", 'h68, this); + this.DRP_CNTRL_R = new("DRP_CNTRL", 'h70, this); + this.DRP_STATUS_R = new("DRP_STATUS", 'h74, this); + this.DRP_WDATA_R = new("DRP_WDATA", 'h78, this); + this.DRP_RDATA_R = new("DRP_RDATA", 'h7c, this); + this.DAC_CUSTOM_RD_R = new("DAC_CUSTOM_RD", 'h80, this); + this.DAC_CUSTOM_WR_R = new("DAC_CUSTOM_WR", 'h84, this); + this.UI_STATUS_R = new("UI_STATUS", 'h88, this); + this.DAC_CUSTOM_CTRL_R = new("DAC_CUSTOM_CTRL", 'h8c, this); + this.USR_CNTRL_1_R = new("USR_CNTRL_1", 'ha0, this); + this.DAC_GPIO_IN_R = new("DAC_GPIO_IN", 'hb8, this); + this.DAC_GPIO_OUT_R = new("DAC_GPIO_OUT", 'hbc, this); + this.CHAN_CNTRL0_1_R = new("CHAN_CNTRL0_1", 'h400, this); + this.CHAN_CNTRL1_1_R = new("CHAN_CNTRL1_1", 'h458, this); + this.CHAN_CNTRL2_1_R = new("CHAN_CNTRL2_1", 'h4b0, this); + this.CHAN_CNTRL3_1_R = new("CHAN_CNTRL3_1", 'h508, this); + this.CHAN_CNTRL4_1_R = new("CHAN_CNTRL4_1", 'h560, this); + this.CHAN_CNTRL5_1_R = new("CHAN_CNTRL5_1", 'h5b8, this); + this.CHAN_CNTRL6_1_R = new("CHAN_CNTRL6_1", 'h610, this); + this.CHAN_CNTRL7_1_R = new("CHAN_CNTRL7_1", 'h668, this); + this.CHAN_CNTRL8_1_R = new("CHAN_CNTRL8_1", 'h6c0, this); + this.CHAN_CNTRL9_1_R = new("CHAN_CNTRL9_1", 'h718, this); + this.CHAN_CNTRL10_1_R = new("CHAN_CNTRL10_1", 'h770, this); + this.CHAN_CNTRL11_1_R = new("CHAN_CNTRL11_1", 'h7c8, this); + this.CHAN_CNTRL12_1_R = new("CHAN_CNTRL12_1", 'h820, this); + this.CHAN_CNTRL13_1_R = new("CHAN_CNTRL13_1", 'h878, this); + this.CHAN_CNTRL14_1_R = new("CHAN_CNTRL14_1", 'h8d0, this); + this.CHAN_CNTRL15_1_R = new("CHAN_CNTRL15_1", 'h928, this); + this.CHAN_CNTRL0_2_R = new("CHAN_CNTRL0_2", 'h404, this); + this.CHAN_CNTRL1_2_R = new("CHAN_CNTRL1_2", 'h45c, this); + this.CHAN_CNTRL2_2_R = new("CHAN_CNTRL2_2", 'h4b4, this); + this.CHAN_CNTRL3_2_R = new("CHAN_CNTRL3_2", 'h50c, this); + this.CHAN_CNTRL4_2_R = new("CHAN_CNTRL4_2", 'h564, this); + this.CHAN_CNTRL5_2_R = new("CHAN_CNTRL5_2", 'h5bc, this); + this.CHAN_CNTRL6_2_R = new("CHAN_CNTRL6_2", 'h614, this); + this.CHAN_CNTRL7_2_R = new("CHAN_CNTRL7_2", 'h66c, this); + this.CHAN_CNTRL8_2_R = new("CHAN_CNTRL8_2", 'h6c4, this); + this.CHAN_CNTRL9_2_R = new("CHAN_CNTRL9_2", 'h71c, this); + this.CHAN_CNTRL10_2_R = new("CHAN_CNTRL10_2", 'h774, this); + this.CHAN_CNTRL11_2_R = new("CHAN_CNTRL11_2", 'h7cc, this); + this.CHAN_CNTRL12_2_R = new("CHAN_CNTRL12_2", 'h824, this); + this.CHAN_CNTRL13_2_R = new("CHAN_CNTRL13_2", 'h87c, this); + this.CHAN_CNTRL14_2_R = new("CHAN_CNTRL14_2", 'h8d4, this); + this.CHAN_CNTRL15_2_R = new("CHAN_CNTRL15_2", 'h92c, this); + this.CHAN_CNTRL0_3_R = new("CHAN_CNTRL0_3", 'h408, this); + this.CHAN_CNTRL1_3_R = new("CHAN_CNTRL1_3", 'h460, this); + this.CHAN_CNTRL2_3_R = new("CHAN_CNTRL2_3", 'h4b8, this); + this.CHAN_CNTRL3_3_R = new("CHAN_CNTRL3_3", 'h510, this); + this.CHAN_CNTRL4_3_R = new("CHAN_CNTRL4_3", 'h568, this); + this.CHAN_CNTRL5_3_R = new("CHAN_CNTRL5_3", 'h5c0, this); + this.CHAN_CNTRL6_3_R = new("CHAN_CNTRL6_3", 'h618, this); + this.CHAN_CNTRL7_3_R = new("CHAN_CNTRL7_3", 'h670, this); + this.CHAN_CNTRL8_3_R = new("CHAN_CNTRL8_3", 'h6c8, this); + this.CHAN_CNTRL9_3_R = new("CHAN_CNTRL9_3", 'h720, this); + this.CHAN_CNTRL10_3_R = new("CHAN_CNTRL10_3", 'h778, this); + this.CHAN_CNTRL11_3_R = new("CHAN_CNTRL11_3", 'h7d0, this); + this.CHAN_CNTRL12_3_R = new("CHAN_CNTRL12_3", 'h828, this); + this.CHAN_CNTRL13_3_R = new("CHAN_CNTRL13_3", 'h880, this); + this.CHAN_CNTRL14_3_R = new("CHAN_CNTRL14_3", 'h8d8, this); + this.CHAN_CNTRL15_3_R = new("CHAN_CNTRL15_3", 'h930, this); + this.CHAN_CNTRL0_4_R = new("CHAN_CNTRL0_4", 'h40c, this); + this.CHAN_CNTRL1_4_R = new("CHAN_CNTRL1_4", 'h464, this); + this.CHAN_CNTRL2_4_R = new("CHAN_CNTRL2_4", 'h4bc, this); + this.CHAN_CNTRL3_4_R = new("CHAN_CNTRL3_4", 'h514, this); + this.CHAN_CNTRL4_4_R = new("CHAN_CNTRL4_4", 'h56c, this); + this.CHAN_CNTRL5_4_R = new("CHAN_CNTRL5_4", 'h5c4, this); + this.CHAN_CNTRL6_4_R = new("CHAN_CNTRL6_4", 'h61c, this); + this.CHAN_CNTRL7_4_R = new("CHAN_CNTRL7_4", 'h674, this); + this.CHAN_CNTRL8_4_R = new("CHAN_CNTRL8_4", 'h6cc, this); + this.CHAN_CNTRL9_4_R = new("CHAN_CNTRL9_4", 'h724, this); + this.CHAN_CNTRL10_4_R = new("CHAN_CNTRL10_4", 'h77c, this); + this.CHAN_CNTRL11_4_R = new("CHAN_CNTRL11_4", 'h7d4, this); + this.CHAN_CNTRL12_4_R = new("CHAN_CNTRL12_4", 'h82c, this); + this.CHAN_CNTRL13_4_R = new("CHAN_CNTRL13_4", 'h884, this); + this.CHAN_CNTRL14_4_R = new("CHAN_CNTRL14_4", 'h8dc, this); + this.CHAN_CNTRL15_4_R = new("CHAN_CNTRL15_4", 'h934, this); + this.CHAN_CNTRL0_5_R = new("CHAN_CNTRL0_5", 'h410, this); + this.CHAN_CNTRL1_5_R = new("CHAN_CNTRL1_5", 'h468, this); + this.CHAN_CNTRL2_5_R = new("CHAN_CNTRL2_5", 'h4c0, this); + this.CHAN_CNTRL3_5_R = new("CHAN_CNTRL3_5", 'h518, this); + this.CHAN_CNTRL4_5_R = new("CHAN_CNTRL4_5", 'h570, this); + this.CHAN_CNTRL5_5_R = new("CHAN_CNTRL5_5", 'h5c8, this); + this.CHAN_CNTRL6_5_R = new("CHAN_CNTRL6_5", 'h620, this); + this.CHAN_CNTRL7_5_R = new("CHAN_CNTRL7_5", 'h678, this); + this.CHAN_CNTRL8_5_R = new("CHAN_CNTRL8_5", 'h6d0, this); + this.CHAN_CNTRL9_5_R = new("CHAN_CNTRL9_5", 'h728, this); + this.CHAN_CNTRL10_5_R = new("CHAN_CNTRL10_5", 'h780, this); + this.CHAN_CNTRL11_5_R = new("CHAN_CNTRL11_5", 'h7d8, this); + this.CHAN_CNTRL12_5_R = new("CHAN_CNTRL12_5", 'h830, this); + this.CHAN_CNTRL13_5_R = new("CHAN_CNTRL13_5", 'h888, this); + this.CHAN_CNTRL14_5_R = new("CHAN_CNTRL14_5", 'h8e0, this); + this.CHAN_CNTRL15_5_R = new("CHAN_CNTRL15_5", 'h938, this); + this.CHAN_CNTRL0_6_R = new("CHAN_CNTRL0_6", 'h414, this); + this.CHAN_CNTRL1_6_R = new("CHAN_CNTRL1_6", 'h46c, this); + this.CHAN_CNTRL2_6_R = new("CHAN_CNTRL2_6", 'h4c4, this); + this.CHAN_CNTRL3_6_R = new("CHAN_CNTRL3_6", 'h51c, this); + this.CHAN_CNTRL4_6_R = new("CHAN_CNTRL4_6", 'h574, this); + this.CHAN_CNTRL5_6_R = new("CHAN_CNTRL5_6", 'h5cc, this); + this.CHAN_CNTRL6_6_R = new("CHAN_CNTRL6_6", 'h624, this); + this.CHAN_CNTRL7_6_R = new("CHAN_CNTRL7_6", 'h67c, this); + this.CHAN_CNTRL8_6_R = new("CHAN_CNTRL8_6", 'h6d4, this); + this.CHAN_CNTRL9_6_R = new("CHAN_CNTRL9_6", 'h72c, this); + this.CHAN_CNTRL10_6_R = new("CHAN_CNTRL10_6", 'h784, this); + this.CHAN_CNTRL11_6_R = new("CHAN_CNTRL11_6", 'h7dc, this); + this.CHAN_CNTRL12_6_R = new("CHAN_CNTRL12_6", 'h834, this); + this.CHAN_CNTRL13_6_R = new("CHAN_CNTRL13_6", 'h88c, this); + this.CHAN_CNTRL14_6_R = new("CHAN_CNTRL14_6", 'h8e4, this); + this.CHAN_CNTRL15_6_R = new("CHAN_CNTRL15_6", 'h93c, this); + this.CHAN_CNTRL0_7_R = new("CHAN_CNTRL0_7", 'h418, this); + this.CHAN_CNTRL1_7_R = new("CHAN_CNTRL1_7", 'h470, this); + this.CHAN_CNTRL2_7_R = new("CHAN_CNTRL2_7", 'h4c8, this); + this.CHAN_CNTRL3_7_R = new("CHAN_CNTRL3_7", 'h520, this); + this.CHAN_CNTRL4_7_R = new("CHAN_CNTRL4_7", 'h578, this); + this.CHAN_CNTRL5_7_R = new("CHAN_CNTRL5_7", 'h5d0, this); + this.CHAN_CNTRL6_7_R = new("CHAN_CNTRL6_7", 'h628, this); + this.CHAN_CNTRL7_7_R = new("CHAN_CNTRL7_7", 'h680, this); + this.CHAN_CNTRL8_7_R = new("CHAN_CNTRL8_7", 'h6d8, this); + this.CHAN_CNTRL9_7_R = new("CHAN_CNTRL9_7", 'h730, this); + this.CHAN_CNTRL10_7_R = new("CHAN_CNTRL10_7", 'h788, this); + this.CHAN_CNTRL11_7_R = new("CHAN_CNTRL11_7", 'h7e0, this); + this.CHAN_CNTRL12_7_R = new("CHAN_CNTRL12_7", 'h838, this); + this.CHAN_CNTRL13_7_R = new("CHAN_CNTRL13_7", 'h890, this); + this.CHAN_CNTRL14_7_R = new("CHAN_CNTRL14_7", 'h8e8, this); + this.CHAN_CNTRL15_7_R = new("CHAN_CNTRL15_7", 'h940, this); + this.CHAN_CNTRL0_8_R = new("CHAN_CNTRL0_8", 'h41c, this); + this.CHAN_CNTRL1_8_R = new("CHAN_CNTRL1_8", 'h474, this); + this.CHAN_CNTRL2_8_R = new("CHAN_CNTRL2_8", 'h4cc, this); + this.CHAN_CNTRL3_8_R = new("CHAN_CNTRL3_8", 'h524, this); + this.CHAN_CNTRL4_8_R = new("CHAN_CNTRL4_8", 'h57c, this); + this.CHAN_CNTRL5_8_R = new("CHAN_CNTRL5_8", 'h5d4, this); + this.CHAN_CNTRL6_8_R = new("CHAN_CNTRL6_8", 'h62c, this); + this.CHAN_CNTRL7_8_R = new("CHAN_CNTRL7_8", 'h684, this); + this.CHAN_CNTRL8_8_R = new("CHAN_CNTRL8_8", 'h6dc, this); + this.CHAN_CNTRL9_8_R = new("CHAN_CNTRL9_8", 'h734, this); + this.CHAN_CNTRL10_8_R = new("CHAN_CNTRL10_8", 'h78c, this); + this.CHAN_CNTRL11_8_R = new("CHAN_CNTRL11_8", 'h7e4, this); + this.CHAN_CNTRL12_8_R = new("CHAN_CNTRL12_8", 'h83c, this); + this.CHAN_CNTRL13_8_R = new("CHAN_CNTRL13_8", 'h894, this); + this.CHAN_CNTRL14_8_R = new("CHAN_CNTRL14_8", 'h8ec, this); + this.CHAN_CNTRL15_8_R = new("CHAN_CNTRL15_8", 'h944, this); + this.USR_CNTRL0_3_R = new("USR_CNTRL0_3", 'h420, this); + this.USR_CNTRL1_3_R = new("USR_CNTRL1_3", 'h478, this); + this.USR_CNTRL2_3_R = new("USR_CNTRL2_3", 'h4d0, this); + this.USR_CNTRL3_3_R = new("USR_CNTRL3_3", 'h528, this); + this.USR_CNTRL4_3_R = new("USR_CNTRL4_3", 'h580, this); + this.USR_CNTRL5_3_R = new("USR_CNTRL5_3", 'h5d8, this); + this.USR_CNTRL6_3_R = new("USR_CNTRL6_3", 'h630, this); + this.USR_CNTRL7_3_R = new("USR_CNTRL7_3", 'h688, this); + this.USR_CNTRL8_3_R = new("USR_CNTRL8_3", 'h6e0, this); + this.USR_CNTRL9_3_R = new("USR_CNTRL9_3", 'h738, this); + this.USR_CNTRL10_3_R = new("USR_CNTRL10_3", 'h790, this); + this.USR_CNTRL11_3_R = new("USR_CNTRL11_3", 'h7e8, this); + this.USR_CNTRL12_3_R = new("USR_CNTRL12_3", 'h840, this); + this.USR_CNTRL13_3_R = new("USR_CNTRL13_3", 'h898, this); + this.USR_CNTRL14_3_R = new("USR_CNTRL14_3", 'h8f0, this); + this.USR_CNTRL15_3_R = new("USR_CNTRL15_3", 'h948, this); + this.USR_CNTRL0_4_R = new("USR_CNTRL0_4", 'h424, this); + this.USR_CNTRL1_4_R = new("USR_CNTRL1_4", 'h47c, this); + this.USR_CNTRL2_4_R = new("USR_CNTRL2_4", 'h4d4, this); + this.USR_CNTRL3_4_R = new("USR_CNTRL3_4", 'h52c, this); + this.USR_CNTRL4_4_R = new("USR_CNTRL4_4", 'h584, this); + this.USR_CNTRL5_4_R = new("USR_CNTRL5_4", 'h5dc, this); + this.USR_CNTRL6_4_R = new("USR_CNTRL6_4", 'h634, this); + this.USR_CNTRL7_4_R = new("USR_CNTRL7_4", 'h68c, this); + this.USR_CNTRL8_4_R = new("USR_CNTRL8_4", 'h6e4, this); + this.USR_CNTRL9_4_R = new("USR_CNTRL9_4", 'h73c, this); + this.USR_CNTRL10_4_R = new("USR_CNTRL10_4", 'h794, this); + this.USR_CNTRL11_4_R = new("USR_CNTRL11_4", 'h7ec, this); + this.USR_CNTRL12_4_R = new("USR_CNTRL12_4", 'h844, this); + this.USR_CNTRL13_4_R = new("USR_CNTRL13_4", 'h89c, this); + this.USR_CNTRL14_4_R = new("USR_CNTRL14_4", 'h8f4, this); + this.USR_CNTRL15_4_R = new("USR_CNTRL15_4", 'h94c, this); + this.USR_CNTRL0_5_R = new("USR_CNTRL0_5", 'h428, this); + this.USR_CNTRL1_5_R = new("USR_CNTRL1_5", 'h480, this); + this.USR_CNTRL2_5_R = new("USR_CNTRL2_5", 'h4d8, this); + this.USR_CNTRL3_5_R = new("USR_CNTRL3_5", 'h530, this); + this.USR_CNTRL4_5_R = new("USR_CNTRL4_5", 'h588, this); + this.USR_CNTRL5_5_R = new("USR_CNTRL5_5", 'h5e0, this); + this.USR_CNTRL6_5_R = new("USR_CNTRL6_5", 'h638, this); + this.USR_CNTRL7_5_R = new("USR_CNTRL7_5", 'h690, this); + this.USR_CNTRL8_5_R = new("USR_CNTRL8_5", 'h6e8, this); + this.USR_CNTRL9_5_R = new("USR_CNTRL9_5", 'h740, this); + this.USR_CNTRL10_5_R = new("USR_CNTRL10_5", 'h798, this); + this.USR_CNTRL11_5_R = new("USR_CNTRL11_5", 'h7f0, this); + this.USR_CNTRL12_5_R = new("USR_CNTRL12_5", 'h848, this); + this.USR_CNTRL13_5_R = new("USR_CNTRL13_5", 'h8a0, this); + this.USR_CNTRL14_5_R = new("USR_CNTRL14_5", 'h8f8, this); + this.USR_CNTRL15_5_R = new("USR_CNTRL15_5", 'h950, this); + this.CHAN_CNTRL0_9_R = new("CHAN_CNTRL0_9", 'h42c, this); + this.CHAN_CNTRL1_9_R = new("CHAN_CNTRL1_9", 'h484, this); + this.CHAN_CNTRL2_9_R = new("CHAN_CNTRL2_9", 'h4dc, this); + this.CHAN_CNTRL3_9_R = new("CHAN_CNTRL3_9", 'h534, this); + this.CHAN_CNTRL4_9_R = new("CHAN_CNTRL4_9", 'h58c, this); + this.CHAN_CNTRL5_9_R = new("CHAN_CNTRL5_9", 'h5e4, this); + this.CHAN_CNTRL6_9_R = new("CHAN_CNTRL6_9", 'h63c, this); + this.CHAN_CNTRL7_9_R = new("CHAN_CNTRL7_9", 'h694, this); + this.CHAN_CNTRL8_9_R = new("CHAN_CNTRL8_9", 'h6ec, this); + this.CHAN_CNTRL9_9_R = new("CHAN_CNTRL9_9", 'h744, this); + this.CHAN_CNTRL10_9_R = new("CHAN_CNTRL10_9", 'h79c, this); + this.CHAN_CNTRL11_9_R = new("CHAN_CNTRL11_9", 'h7f4, this); + this.CHAN_CNTRL12_9_R = new("CHAN_CNTRL12_9", 'h84c, this); + this.CHAN_CNTRL13_9_R = new("CHAN_CNTRL13_9", 'h8a4, this); + this.CHAN_CNTRL14_9_R = new("CHAN_CNTRL14_9", 'h8fc, this); + this.CHAN_CNTRL15_9_R = new("CHAN_CNTRL15_9", 'h954, this); + this.CHAN_CNTRL0_10_R = new("CHAN_CNTRL0_10", 'h430, this); + this.CHAN_CNTRL1_10_R = new("CHAN_CNTRL1_10", 'h488, this); + this.CHAN_CNTRL2_10_R = new("CHAN_CNTRL2_10", 'h4e0, this); + this.CHAN_CNTRL3_10_R = new("CHAN_CNTRL3_10", 'h538, this); + this.CHAN_CNTRL4_10_R = new("CHAN_CNTRL4_10", 'h590, this); + this.CHAN_CNTRL5_10_R = new("CHAN_CNTRL5_10", 'h5e8, this); + this.CHAN_CNTRL6_10_R = new("CHAN_CNTRL6_10", 'h640, this); + this.CHAN_CNTRL7_10_R = new("CHAN_CNTRL7_10", 'h698, this); + this.CHAN_CNTRL8_10_R = new("CHAN_CNTRL8_10", 'h6f0, this); + this.CHAN_CNTRL9_10_R = new("CHAN_CNTRL9_10", 'h748, this); + this.CHAN_CNTRL10_10_R = new("CHAN_CNTRL10_10", 'h7a0, this); + this.CHAN_CNTRL11_10_R = new("CHAN_CNTRL11_10", 'h7f8, this); + this.CHAN_CNTRL12_10_R = new("CHAN_CNTRL12_10", 'h850, this); + this.CHAN_CNTRL13_10_R = new("CHAN_CNTRL13_10", 'h8a8, this); + this.CHAN_CNTRL14_10_R = new("CHAN_CNTRL14_10", 'h900, this); + this.CHAN_CNTRL15_10_R = new("CHAN_CNTRL15_10", 'h958, this); + + this.info($sformatf("Initialized"), ADI_VERBOSITY_HIGH); + endfunction: new + + endclass: adi_regmap_dac + +endpackage: adi_regmap_dac_pkg diff --git a/library/regmaps/adi_regmap_data_offload_pkg.sv b/library/regmaps/adi_regmap_data_offload_pkg.sv index e351cb61..b5d4c1db 100644 --- a/library/regmaps/adi_regmap_data_offload_pkg.sv +++ b/library/regmaps/adi_regmap_data_offload_pkg.sv @@ -1,6 +1,6 @@ // *************************************************************************** // *************************************************************************** -// Copyright (C) 2014 - 2021 Analog Devices, Inc. All rights reserved. +// Copyright (C) 2014 - 2025 Analog Devices, Inc. All rights reserved. // // In this HDL repository, there are many different and unique modules, consisting // of various HDL (Verilog or VHDL) components. The individual modules are @@ -33,113 +33,310 @@ // *************************************************************************** // *************************************************************************** /* Auto generated Register Map */ -/* Tue May 3 15:36:59 2022 */ +/* Jan 28 13:30:17 2025 v0.3.55 */ package adi_regmap_data_offload_pkg; - import adi_regmap_pkg::*; - - - const reg_t DO_VERSION = '{ 'h0000, "VERSION" , '{ - "MAJOR": '{ 31, 16, RO, 'h04 }, - "MINOR": '{ 15, 8, RO, 'h03 }, - "PATCH": '{ 7, 0, RO, 'h61 }}}; - `define GET_DO_VERSION_MAJOR(x) GetField(DO_VERSION,"MAJOR",x) - `define DEFAULT_DO_VERSION_MAJOR GetResetValue(DO_VERSION,"MAJOR") - `define GET_DO_VERSION_MINOR(x) GetField(DO_VERSION,"MINOR",x) - `define DEFAULT_DO_VERSION_MINOR GetResetValue(DO_VERSION,"MINOR") - `define GET_DO_VERSION_PATCH(x) GetField(DO_VERSION,"PATCH",x) - `define DEFAULT_DO_VERSION_PATCH GetResetValue(DO_VERSION,"PATCH") - - const reg_t DO_PERIPHERAL_ID = '{ 'h0004, "PERIPHERAL_ID" , '{ - "PERIPHERAL_ID": '{ 31, 0, RO, 0 }}}; - `define GET_DO_PERIPHERAL_ID_PERIPHERAL_ID(x) GetField(DO_PERIPHERAL_ID,"PERIPHERAL_ID",x) - `define DEFAULT_DO_PERIPHERAL_ID_PERIPHERAL_ID GetResetValue(DO_PERIPHERAL_ID,"PERIPHERAL_ID") - - const reg_t DO_SCRATCH = '{ 'h0008, "SCRATCH" , '{ - "SCRATCH": '{ 31, 0, RW, 'h000 }}}; - `define SET_DO_SCRATCH_SCRATCH(x) SetField(DO_SCRATCH,"SCRATCH",x) - `define GET_DO_SCRATCH_SCRATCH(x) GetField(DO_SCRATCH,"SCRATCH",x) - `define DEFAULT_DO_SCRATCH_SCRATCH GetResetValue(DO_SCRATCH,"SCRATCH") - `define UPDATE_DO_SCRATCH_SCRATCH(x,y) UpdateField(DO_SCRATCH,"SCRATCH",x,y) - - const reg_t DO_IDENTIFICATION = '{ 'h000c, "IDENTIFICATION" , '{ - "IDENTIFICATION": '{ 31, 0, RW, 'h444D4143 }}}; - `define SET_DO_IDENTIFICATION_IDENTIFICATION(x) SetField(DO_IDENTIFICATION,"IDENTIFICATION",x) - `define GET_DO_IDENTIFICATION_IDENTIFICATION(x) GetField(DO_IDENTIFICATION,"IDENTIFICATION",x) - `define DEFAULT_DO_IDENTIFICATION_IDENTIFICATION GetResetValue(DO_IDENTIFICATION,"MAJIDENTIFICATIONOR") - `define UPDATE_DO_IDENTIFICATION_IDENTIFICATION(x,y) UpdateField(DO_IDENTIFICATION,"IDENTIFICATION",x,y) - - const reg_t DO_SYNTHESIS_CONFIG = '{ 'h0010, "SYNTHESIS_CONFIG" , '{ - "MEM_SIZE_LOG2": '{ 13, 8, R, 0 }, - "HAS_BYPASS": '{ 2, 2, R, 0 }, - "TX_OR_RXN_PATH": '{ 1, 1, R, 0 }, - "MEMORY_TYPE": '{ 0, 0, R, 0 }}}; - `define GET_DO_SYNTHESIS_CONFIG_MEM_SIZE_LOG2(x) GetField(DO_SYNTHESIS_CONFIG,"MEM_SIZE_LOG2",x) - `define DEFAULT_DO_SYNTHESIS_CONFIG_MEM_SIZE_LOG2 GetResetValue(DO_SYNTHESIS_CONFIG,"MEM_SIZE_LOG2") - `define GET_DO_SYNTHESIS_CONFIG_HAS_BYPASS(x) GetField(DO_SYNTHESIS_CONFIG,"HAS_BYPASS",x) - `define DEFAULT_DO_SYNTHESIS_CONFIG_HAS_BYPASS GetResetValue(DO_SYNTHESIS_CONFIG,"HAS_BYPASS") - `define GET_DO_SYNTHESIS_CONFIG_TX_OR_RXN_PATH(x) GetField(DO_SYNTHESIS_CONFIG,"TX_OR_RXN_PATH",x) - `define DEFAULT_DO_SYNTHESIS_CONFIG_TX_OR_RXN_PATH GetResetValue(DO_SYNTHESIS_CONFIG,"TX_OR_RXN_PATH") - `define GET_DO_SYNTHESIS_CONFIG_MEMORY_TYPE(x) GetField(DO_SYNTHESIS_CONFIG,"MEMORY_TYPE",x) - `define DEFAULT_DO_SYNTHESIS_CONFIG_MEMORY_TYPE GetResetValue(DO_SYNTHESIS_CONFIG,"MEMORY_TYPE") - - const reg_t DO_TRANSFER_LENGTH = '{ 'h001C, "TRANSFER_LENGTH" , '{ - "PARTIAL_LENGTH": '{ 31, 0, RW, 'h0 }}}; - `define SET_DO_TRANSFER_LENGTH_PARTIAL_LENGTH(x) SetField(DO_TRANSFER_LENGTH,"PARTIAL_LENGTH",x) - `define GET_DO_TRANSFER_LENGTH_PARTIAL_LENGTH(x) GetField(DO_TRANSFER_LENGTH,"PARTIAL_LENGTH",x) - `define DEFAULT_DO_TRANSFER_LENGTH_PARTIAL_LENGTH GetResetValue(DO_TRANSFER_LENGTH,"PARTIAL_LENGTH") - `define UPDATE_DO_TRANSFER_LENGTH_PARTIAL_LENGTH(x,y) UpdateField(DO_TRANSFER_LENGTH,"PARTIAL_LENGTH",x,y) - - const reg_t DO_MEM_PHY_STATE = '{ 'h0080, "MEM_PHY_STATE" , '{ - "UNDERFLOW": '{ 5, 5, R, 0 }, - "OVERFLOW": '{ 4, 4, R, 0 }, - "CALIB_COMPLETE": '{ 0, 0, R, 0 }}}; - `define GET_DO_MEM_PHY_STATE_UNDERFLOW(x) GetField(DO_MEM_PHY_STATE,"UNDERFLOW",x) - `define DEFAULT_DO_MEM_PHY_STATE_UNDERFLOW GetResetValue(DO_MEM_PHY_STATE,"UNDERFLOW") - `define GET_DO_MEM_PHY_STATE_OVERFLOW(x) GetField(DO_MEM_PHY_STATE,"OVERFLOW",x) - `define DEFAULT_DO_MEM_PHY_STATE_OVERFLOW GetResetValue(DO_MEM_PHY_STATE,"OVERFLOW") - `define GET_DO_MEM_PHY_STATE_CALIB_COMPLETE(x) GetField(DO_MEM_PHY_STATE,"CALIB_COMPLETE",x) - `define DEFAULT_DO_MEM_PHY_STATE_CALIB_COMPLETE GetResetValue(DO_MEM_PHY_STATE,"CALIB_COMPLETE") - - const reg_t DO_RESETN_OFFLOAD = '{ 'h0084, "RESETN_OFFLOAD" , '{ - "RESETN": '{ 0, 0, RW, 'h0 }}}; - `define SET_DO_RESETN_OFFLOAD_RESETN(x) SetField(DO_RESETN_OFFLOAD,"RESETN",x) - `define GET_DO_RESETN_OFFLOAD_RESETN(x) GetField(DO_RESETN_OFFLOAD,"RESETN",x) - `define DEFAULT_DO_RESETN_OFFLOAD_RESETN GetResetValue(DO_RESETN_OFFLOAD,"RESETN") - `define UPDATE_DO_RESETN_OFFLOAD_RESETN(x,y) UpdateField(DO_RESETN_OFFLOAD,"RESETN",x,y) - - const reg_t DO_CONTROL = '{ 'h0088, "CONTROL" , '{ - "ONESHOT_EN": '{ 1, 1, RW, 'h0 }, - "OFFLOAD_BYPASS": '{ 0, 0, RW, 'h0 }}}; - `define SET_DO_CONTROL_ONESHOT_EN(x) SetField(DO_CONTROL,"ONESHOT_EN",x) - `define GET_DO_CONTROL_ONESHOT_EN(x) GetField(DO_CONTROL,"ONESHOT_EN",x) - `define DEFAULT_DO_CONTROL_ONESHOT_EN GetResetValue(DO_CONTROL,"ONESHOT_EN") - `define UPDATE_DO_CONTROL_ONESHOT_EN(x,y) UpdateField(DO_CONTROL,"ONESHOT_EN",x,y) - `define SET_DO_CONTROL_OFFLOAD_BYPASS(x) SetField(DO_CONTROL,"OFFLOAD_BYPASS",x) - `define GET_DO_CONTROL_OFFLOAD_BYPASS(x) GetField(DO_CONTROL,"OFFLOAD_BYPASS",x) - `define DEFAULT_DO_CONTROL_OFFLOAD_BYPASS GetResetValue(DO_CONTROL,"OFFLOAD_BYPASS") - `define UPDATE_DO_CONTROL_OFFLOAD_BYPASS(x,y) UpdateField(DO_CONTROL,"OFFLOAD_BYPASS",x,y) - - const reg_t DO_SYNC_TRIGGER = '{ 'h0100, "SYNC_TRIGGER" , '{ - "SYNC_TRIGGER": '{ 0, 0, RW1C, 'h0 }}}; - `define SET_DO_SYNC_TRIGGER_SYNC_TRIGGER(x) SetField(DO_SYNC_TRIGGER,"SYNC_TRIGGER",x) - `define GET_DO_SYNC_TRIGGER_SYNC_TRIGGER(x) GetField(DO_SYNC_TRIGGER,"SYNC_TRIGGER",x) - `define DEFAULT_DO_SYNC_TRIGGER_SYNC_TRIGGER GetResetValue(DO_SYNC_TRIGGER,"SYNC_TRIGGER") - `define UPDATE_DO_SYNC_TRIGGER_SYNC_TRIGGER(x,y) UpdateField(DO_SYNC_TRIGGER,"SYNC_TRIGGER",x,y) - - const reg_t DO_SYNC_CONFIG = '{ 'h0104, "SYNC_CONFIG" , '{ - "SYNC_CONFIG": '{ 1, 0, RW, 'h0 }}}; - `define SET_DO_SYNC_CONFIG_SYNC_CONFIG(x) SetField(DO_SYNC_CONFIG,"SYNC_CONFIG",x) - `define GET_DO_SYNC_CONFIG_SYNC_CONFIG(x) GetField(DO_SYNC_CONFIG,"SYNC_CONFIG",x) - `define DEFAULT_DO_SYNC_CONFIG_SYNC_CONFIG GetResetValue(DO_SYNC_CONFIG,"SYNC_CONFIG") - `define UPDATE_DO_SYNC_CONFIG_SYNC_CONFIG(x,y) UpdateField(DO_SYNC_CONFIG,"SYNC_CONFIG",x,y) - - const reg_t DO_FSM_DBG = '{ 'h0200, "FSM_DBG" , '{ - "FSM_STATE_READ": '{ 11, 8, RO, 'h0 }, - "FSM_STATE_WRITE": '{ 4, 0, RO, 'h0 }}}; - `define GET_DO_FSM_DBG_FSM_STATE_READ(x) GetField(DO_FSM_DBG,"FSM_STATE_READ",x) - `define DEFAULT_DO_FSM_DBG_FSM_STATE_READ GetResetValue(DO_FSM_DBG,"FSM_STATE_READ") - `define GET_DO_FSM_DBG_FSM_STATE_WRITE(x) GetField(DO_FSM_DBG,"FSM_STATE_WRITE",x) - `define DEFAULT_DO_FSM_DBG_FSM_STATE_READ GetResetValue(DO_FSM_DBG,"FSM_STATE_WRITE") - -endpackage + import logger_pkg::*; + import adi_api_pkg::*; + + class adi_regmap_data_offload extends adi_regmap; + + /* Data Offload Engine (data_offload) */ + class VERSION_CLASS extends register_base; + field_base VERSION_MAJOR_F; + field_base VERSION_MINOR_F; + field_base VERSION_PATCH_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.VERSION_MAJOR_F = new("VERSION_MAJOR", 31, 16, RO, 'h1, this); + this.VERSION_MINOR_F = new("VERSION_MINOR", 15, 8, RO, 'h0, this); + this.VERSION_PATCH_F = new("VERSION_PATCH", 7, 0, RO, 'h61, this); + + this.initialization_done = 1; + endfunction: new + endclass: VERSION_CLASS + + class PERIPHERAL_ID_CLASS extends register_base; + field_base PERIPHERAL_ID_F; + + function new( + input string name, + input int address, + input int ID, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.PERIPHERAL_ID_F = new("PERIPHERAL_ID", 31, 0, RO, ID, this); + + this.initialization_done = 1; + endfunction: new + endclass: PERIPHERAL_ID_CLASS + + class SCRATCH_CLASS extends register_base; + field_base SCRATCH_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.SCRATCH_F = new("SCRATCH", 31, 0, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: SCRATCH_CLASS + + class IDENTIFICATION_CLASS extends register_base; + field_base IDENTIFICATION_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.IDENTIFICATION_F = new("IDENTIFICATION", 31, 0, RO, 'h44414f46, this); + + this.initialization_done = 1; + endfunction: new + endclass: IDENTIFICATION_CLASS + + class SYNTHESIS_CONFIG_1_CLASS extends register_base; + field_base HAS_BYPASS_F; + field_base TX_OR_RXN_PATH_F; + field_base MEMORY_TYPE_F; + + function new( + input string name, + input int address, + input int HAS_BYPASS, + input int MEM_TYPE, + input int TX_OR_RXN_PATH, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.HAS_BYPASS_F = new("HAS_BYPASS", 2, 2, RO, HAS_BYPASS, this); + this.TX_OR_RXN_PATH_F = new("TX_OR_RXN_PATH", 1, 1, RO, TX_OR_RXN_PATH, this); + this.MEMORY_TYPE_F = new("MEMORY_TYPE", 0, 0, RO, MEM_TYPE, this); + + this.initialization_done = 1; + endfunction: new + endclass: SYNTHESIS_CONFIG_1_CLASS + + class SYNTHESIS_CONFIG_2_CLASS extends register_base; + field_base MEM_SIZE_LSB_F; + + function new( + input string name, + input int address, + input int MEM_SIZE_LOG2, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.MEM_SIZE_LSB_F = new("MEM_SIZE_LSB", 31, 0, RO, 1<>32, this); + + this.initialization_done = 1; + endfunction: new + endclass: SYNTHESIS_CONFIG_3_CLASS + + class TRANSFER_LENGTH_CLASS extends register_base; + field_base TRANSFER_LENGTH_F; + + function new( + input string name, + input int address, + input int MEM_SIZE_LOG2, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.TRANSFER_LENGTH_F = new("TRANSFER_LENGTH", 31, 0, RW, (2**MEM_SIZE_LOG2-1)>>6, this); + + this.initialization_done = 1; + endfunction: new + endclass: TRANSFER_LENGTH_CLASS + + class MEM_PHY_STATE_CLASS extends register_base; + field_base UNDERFLOW_F; + field_base OVERFLOW_F; + field_base CALIB_COMPLETE_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.UNDERFLOW_F = new("UNDERFLOW", 5, 5, RW1C, 'h0, this); + this.OVERFLOW_F = new("OVERFLOW", 4, 4, RW1C, 'h0, this); + this.CALIB_COMPLETE_F = new("CALIB_COMPLETE", 0, 0, RO, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: MEM_PHY_STATE_CLASS + + class RESET_OFFLOAD_CLASS extends register_base; + field_base RESETN_F; + + function new( + input string name, + input int address, + input int AUTO_BRINGUP, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.RESETN_F = new("RESETN", 0, 0, RW, AUTO_BRINGUP, this); + + this.initialization_done = 1; + endfunction: new + endclass: RESET_OFFLOAD_CLASS + + class CONTROL_CLASS extends register_base; + field_base ONESHOT_EN_F; + field_base OFFLOAD_BYPASS_F; + + function new( + input string name, + input int address, + input int TX_OR_RXN_PATH, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.ONESHOT_EN_F = new("ONESHOT_EN", 1, 1, RW, ~TX_OR_RXN_PATH, this); + this.OFFLOAD_BYPASS_F = new("OFFLOAD_BYPASS", 0, 0, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: CONTROL_CLASS + + class SYNC_TRIGGER_CLASS extends register_base; + field_base SYNC_TRIGGER_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.SYNC_TRIGGER_F = new("SYNC_TRIGGER", 0, 0, RW1C, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: SYNC_TRIGGER_CLASS + + class SYNC_CONFIG_CLASS extends register_base; + field_base SYNC_CONFIG_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.SYNC_CONFIG_F = new("SYNC_CONFIG", 1, 0, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: SYNC_CONFIG_CLASS + + class FSM_BDG_CLASS extends register_base; + field_base FSM_STATE_READ_F; + field_base FSM_STATE_WRITE_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.FSM_STATE_READ_F = new("FSM_STATE_READ", 11, 8, RO, 'hXXXXXXXX, this); + this.FSM_STATE_WRITE_F = new("FSM_STATE_WRITE", 4, 0, RO, 'hXXXXXXXX, this); + + this.initialization_done = 1; + endfunction: new + endclass: FSM_BDG_CLASS + + VERSION_CLASS VERSION_R; + PERIPHERAL_ID_CLASS PERIPHERAL_ID_R; + SCRATCH_CLASS SCRATCH_R; + IDENTIFICATION_CLASS IDENTIFICATION_R; + SYNTHESIS_CONFIG_1_CLASS SYNTHESIS_CONFIG_1_R; + SYNTHESIS_CONFIG_2_CLASS SYNTHESIS_CONFIG_2_R; + SYNTHESIS_CONFIG_3_CLASS SYNTHESIS_CONFIG_3_R; + TRANSFER_LENGTH_CLASS TRANSFER_LENGTH_R; + MEM_PHY_STATE_CLASS MEM_PHY_STATE_R; + RESET_OFFLOAD_CLASS RESET_OFFLOAD_R; + CONTROL_CLASS CONTROL_R; + SYNC_TRIGGER_CLASS SYNC_TRIGGER_R; + SYNC_CONFIG_CLASS SYNC_CONFIG_R; + FSM_BDG_CLASS FSM_BDG_R; + + function new( + input string name, + input int address, + input int AUTO_BRINGUP, + input int HAS_BYPASS, + input int ID, + input int MEM_SIZE_LOG2, + input int MEM_TYPE, + input int TX_OR_RXN_PATH, + input adi_api parent = null); + + super.new(name, address, parent); + + this.VERSION_R = new("VERSION", 'h0, this); + this.PERIPHERAL_ID_R = new("PERIPHERAL_ID", 'h4, ID, this); + this.SCRATCH_R = new("SCRATCH", 'h8, this); + this.IDENTIFICATION_R = new("IDENTIFICATION", 'hc, this); + this.SYNTHESIS_CONFIG_1_R = new("SYNTHESIS_CONFIG_1", 'h10, HAS_BYPASS, MEM_TYPE, TX_OR_RXN_PATH, this); + this.SYNTHESIS_CONFIG_2_R = new("SYNTHESIS_CONFIG_2", 'h14, MEM_SIZE_LOG2, this); + this.SYNTHESIS_CONFIG_3_R = new("SYNTHESIS_CONFIG_3", 'h18, MEM_SIZE_LOG2, this); + this.TRANSFER_LENGTH_R = new("TRANSFER_LENGTH", 'h1c, MEM_SIZE_LOG2, this); + this.MEM_PHY_STATE_R = new("MEM_PHY_STATE", 'h80, this); + this.RESET_OFFLOAD_R = new("RESET_OFFLOAD", 'h84, AUTO_BRINGUP, this); + this.CONTROL_R = new("CONTROL", 'h88, TX_OR_RXN_PATH, this); + this.SYNC_TRIGGER_R = new("SYNC_TRIGGER", 'h100, this); + this.SYNC_CONFIG_R = new("SYNC_CONFIG", 'h104, this); + this.FSM_BDG_R = new("FSM_BDG", 'h200, this); + + this.info($sformatf("Initialized"), ADI_VERBOSITY_HIGH); + endfunction: new + + endclass: adi_regmap_data_offload + +endpackage: adi_regmap_data_offload_pkg diff --git a/library/regmaps/adi_regmap_dmac_pkg.sv b/library/regmaps/adi_regmap_dmac_pkg.sv index 973ecdde..233e6cd1 100644 --- a/library/regmaps/adi_regmap_dmac_pkg.sv +++ b/library/regmaps/adi_regmap_dmac_pkg.sv @@ -1,6 +1,6 @@ // *************************************************************************** // *************************************************************************** -// Copyright (C) 2014 - 2024 Analog Devices, Inc. All rights reserved. +// Copyright (C) 2014 - 2025 Analog Devices, Inc. All rights reserved. // // In this HDL repository, there are many different and unique modules, consisting // of various HDL (Verilog or VHDL) components. The individual modules are @@ -33,400 +33,757 @@ // *************************************************************************** // *************************************************************************** /* Auto generated Register Map */ -/* Thu May 9 16:11:55 2024 */ +/* Jan 28 13:30:16 2025 v0.3.55 */ package adi_regmap_dmac_pkg; - import adi_regmap_pkg::*; - - -/* DMA Controller (axi_dmac) */ - - const reg_t DMAC_VERSION = '{ 'h0000, "VERSION" , '{ - "VERSION_MAJOR": '{ 31, 16, RO, 'h04 }, - "VERSION_MINOR": '{ 15, 8, RO, 'h05 }, - "VERSION_PATCH": '{ 7, 0, RO, 'h64 }}}; - `define SET_DMAC_VERSION_VERSION_MAJOR(x) SetField(DMAC_VERSION,"VERSION_MAJOR",x) - `define GET_DMAC_VERSION_VERSION_MAJOR(x) GetField(DMAC_VERSION,"VERSION_MAJOR",x) - `define DEFAULT_DMAC_VERSION_VERSION_MAJOR GetResetValue(DMAC_VERSION,"VERSION_MAJOR") - `define UPDATE_DMAC_VERSION_VERSION_MAJOR(x,y) UpdateField(DMAC_VERSION,"VERSION_MAJOR",x,y) - `define SET_DMAC_VERSION_VERSION_MINOR(x) SetField(DMAC_VERSION,"VERSION_MINOR",x) - `define GET_DMAC_VERSION_VERSION_MINOR(x) GetField(DMAC_VERSION,"VERSION_MINOR",x) - `define DEFAULT_DMAC_VERSION_VERSION_MINOR GetResetValue(DMAC_VERSION,"VERSION_MINOR") - `define UPDATE_DMAC_VERSION_VERSION_MINOR(x,y) UpdateField(DMAC_VERSION,"VERSION_MINOR",x,y) - `define SET_DMAC_VERSION_VERSION_PATCH(x) SetField(DMAC_VERSION,"VERSION_PATCH",x) - `define GET_DMAC_VERSION_VERSION_PATCH(x) GetField(DMAC_VERSION,"VERSION_PATCH",x) - `define DEFAULT_DMAC_VERSION_VERSION_PATCH GetResetValue(DMAC_VERSION,"VERSION_PATCH") - `define UPDATE_DMAC_VERSION_VERSION_PATCH(x,y) UpdateField(DMAC_VERSION,"VERSION_PATCH",x,y) - - const reg_t DMAC_PERIPHERAL_ID = '{ 'h0004, "PERIPHERAL_ID" , '{ - "PERIPHERAL_ID": '{ 31, 0, RO, 0 }}}; - `define SET_DMAC_PERIPHERAL_ID_PERIPHERAL_ID(x) SetField(DMAC_PERIPHERAL_ID,"PERIPHERAL_ID",x) - `define GET_DMAC_PERIPHERAL_ID_PERIPHERAL_ID(x) GetField(DMAC_PERIPHERAL_ID,"PERIPHERAL_ID",x) - `define DEFAULT_DMAC_PERIPHERAL_ID_PERIPHERAL_ID GetResetValue(DMAC_PERIPHERAL_ID,"PERIPHERAL_ID") - `define UPDATE_DMAC_PERIPHERAL_ID_PERIPHERAL_ID(x,y) UpdateField(DMAC_PERIPHERAL_ID,"PERIPHERAL_ID",x,y) - - const reg_t DMAC_SCRATCH = '{ 'h0008, "SCRATCH" , '{ - "SCRATCH": '{ 31, 0, RW, 'h00000000 }}}; - `define SET_DMAC_SCRATCH_SCRATCH(x) SetField(DMAC_SCRATCH,"SCRATCH",x) - `define GET_DMAC_SCRATCH_SCRATCH(x) GetField(DMAC_SCRATCH,"SCRATCH",x) - `define DEFAULT_DMAC_SCRATCH_SCRATCH GetResetValue(DMAC_SCRATCH,"SCRATCH") - `define UPDATE_DMAC_SCRATCH_SCRATCH(x,y) UpdateField(DMAC_SCRATCH,"SCRATCH",x,y) - - const reg_t DMAC_IDENTIFICATION = '{ 'h000c, "IDENTIFICATION" , '{ - "IDENTIFICATION": '{ 31, 0, RO, 'h444D4143 }}}; - `define SET_DMAC_IDENTIFICATION_IDENTIFICATION(x) SetField(DMAC_IDENTIFICATION,"IDENTIFICATION",x) - `define GET_DMAC_IDENTIFICATION_IDENTIFICATION(x) GetField(DMAC_IDENTIFICATION,"IDENTIFICATION",x) - `define DEFAULT_DMAC_IDENTIFICATION_IDENTIFICATION GetResetValue(DMAC_IDENTIFICATION,"IDENTIFICATION") - `define UPDATE_DMAC_IDENTIFICATION_IDENTIFICATION(x,y) UpdateField(DMAC_IDENTIFICATION,"IDENTIFICATION",x,y) - - const reg_t DMAC_INTERFACE_DESCRIPTION_1 = '{ 'h0010, "INTERFACE_DESCRIPTION_1" , '{ - "MAX_NUM_FRAMES": '{ 31, 27, R, 0 }, - "DMA_2D_TLAST_MODE": '{ 26, 26, R, 0 }, - "USE_EXT_SYNC": '{ 25, 25, R, 0 }, - "HAS_AUTORUN": '{ 24, 24, R, 0 }, - "BYTES_PER_BURST_WIDTH": '{ 19, 16, R, 0 }, - "DMA_TYPE_SRC": '{ 13, 12, R, 0 }, - "BYTES_PER_BEAT_SRC_LOG2": '{ 11, 8, R, 0 }, - "DMA_TYPE_DEST": '{ 5, 4, R, 0 }, - "BYTES_PER_BEAT_DEST_LOG2": '{ 3, 0, R, 0 }}}; - `define SET_DMAC_INTERFACE_DESCRIPTION_1_MAX_NUM_FRAMES(x) SetField(DMAC_INTERFACE_DESCRIPTION_1,"MAX_NUM_FRAMES",x) - `define GET_DMAC_INTERFACE_DESCRIPTION_1_MAX_NUM_FRAMES(x) GetField(DMAC_INTERFACE_DESCRIPTION_1,"MAX_NUM_FRAMES",x) - `define DEFAULT_DMAC_INTERFACE_DESCRIPTION_1_MAX_NUM_FRAMES GetResetValue(DMAC_INTERFACE_DESCRIPTION_1,"MAX_NUM_FRAMES") - `define UPDATE_DMAC_INTERFACE_DESCRIPTION_1_MAX_NUM_FRAMES(x,y) UpdateField(DMAC_INTERFACE_DESCRIPTION_1,"MAX_NUM_FRAMES",x,y) - `define SET_DMAC_INTERFACE_DESCRIPTION_1_DMA_2D_TLAST_MODE(x) SetField(DMAC_INTERFACE_DESCRIPTION_1,"DMA_2D_TLAST_MODE",x) - `define GET_DMAC_INTERFACE_DESCRIPTION_1_DMA_2D_TLAST_MODE(x) GetField(DMAC_INTERFACE_DESCRIPTION_1,"DMA_2D_TLAST_MODE",x) - `define DEFAULT_DMAC_INTERFACE_DESCRIPTION_1_DMA_2D_TLAST_MODE GetResetValue(DMAC_INTERFACE_DESCRIPTION_1,"DMA_2D_TLAST_MODE") - `define UPDATE_DMAC_INTERFACE_DESCRIPTION_1_DMA_2D_TLAST_MODE(x,y) UpdateField(DMAC_INTERFACE_DESCRIPTION_1,"DMA_2D_TLAST_MODE",x,y) - `define SET_DMAC_INTERFACE_DESCRIPTION_1_USE_EXT_SYNC(x) SetField(DMAC_INTERFACE_DESCRIPTION_1,"USE_EXT_SYNC",x) - `define GET_DMAC_INTERFACE_DESCRIPTION_1_USE_EXT_SYNC(x) GetField(DMAC_INTERFACE_DESCRIPTION_1,"USE_EXT_SYNC",x) - `define DEFAULT_DMAC_INTERFACE_DESCRIPTION_1_USE_EXT_SYNC GetResetValue(DMAC_INTERFACE_DESCRIPTION_1,"USE_EXT_SYNC") - `define UPDATE_DMAC_INTERFACE_DESCRIPTION_1_USE_EXT_SYNC(x,y) UpdateField(DMAC_INTERFACE_DESCRIPTION_1,"USE_EXT_SYNC",x,y) - `define SET_DMAC_INTERFACE_DESCRIPTION_1_HAS_AUTORUN(x) SetField(DMAC_INTERFACE_DESCRIPTION_1,"HAS_AUTORUN",x) - `define GET_DMAC_INTERFACE_DESCRIPTION_1_HAS_AUTORUN(x) GetField(DMAC_INTERFACE_DESCRIPTION_1,"HAS_AUTORUN",x) - `define DEFAULT_DMAC_INTERFACE_DESCRIPTION_1_HAS_AUTORUN GetResetValue(DMAC_INTERFACE_DESCRIPTION_1,"HAS_AUTORUN") - `define UPDATE_DMAC_INTERFACE_DESCRIPTION_1_HAS_AUTORUN(x,y) UpdateField(DMAC_INTERFACE_DESCRIPTION_1,"HAS_AUTORUN",x,y) - `define SET_DMAC_INTERFACE_DESCRIPTION_1_BYTES_PER_BURST_WIDTH(x) SetField(DMAC_INTERFACE_DESCRIPTION_1,"BYTES_PER_BURST_WIDTH",x) - `define GET_DMAC_INTERFACE_DESCRIPTION_1_BYTES_PER_BURST_WIDTH(x) GetField(DMAC_INTERFACE_DESCRIPTION_1,"BYTES_PER_BURST_WIDTH",x) - `define DEFAULT_DMAC_INTERFACE_DESCRIPTION_1_BYTES_PER_BURST_WIDTH GetResetValue(DMAC_INTERFACE_DESCRIPTION_1,"BYTES_PER_BURST_WIDTH") - `define UPDATE_DMAC_INTERFACE_DESCRIPTION_1_BYTES_PER_BURST_WIDTH(x,y) UpdateField(DMAC_INTERFACE_DESCRIPTION_1,"BYTES_PER_BURST_WIDTH",x,y) - `define SET_DMAC_INTERFACE_DESCRIPTION_1_DMA_TYPE_SRC(x) SetField(DMAC_INTERFACE_DESCRIPTION_1,"DMA_TYPE_SRC",x) - `define GET_DMAC_INTERFACE_DESCRIPTION_1_DMA_TYPE_SRC(x) GetField(DMAC_INTERFACE_DESCRIPTION_1,"DMA_TYPE_SRC",x) - `define DEFAULT_DMAC_INTERFACE_DESCRIPTION_1_DMA_TYPE_SRC GetResetValue(DMAC_INTERFACE_DESCRIPTION_1,"DMA_TYPE_SRC") - `define UPDATE_DMAC_INTERFACE_DESCRIPTION_1_DMA_TYPE_SRC(x,y) UpdateField(DMAC_INTERFACE_DESCRIPTION_1,"DMA_TYPE_SRC",x,y) - `define SET_DMAC_INTERFACE_DESCRIPTION_1_BYTES_PER_BEAT_SRC_LOG2(x) SetField(DMAC_INTERFACE_DESCRIPTION_1,"BYTES_PER_BEAT_SRC_LOG2",x) - `define GET_DMAC_INTERFACE_DESCRIPTION_1_BYTES_PER_BEAT_SRC_LOG2(x) GetField(DMAC_INTERFACE_DESCRIPTION_1,"BYTES_PER_BEAT_SRC_LOG2",x) - `define DEFAULT_DMAC_INTERFACE_DESCRIPTION_1_BYTES_PER_BEAT_SRC_LOG2 GetResetValue(DMAC_INTERFACE_DESCRIPTION_1,"BYTES_PER_BEAT_SRC_LOG2") - `define UPDATE_DMAC_INTERFACE_DESCRIPTION_1_BYTES_PER_BEAT_SRC_LOG2(x,y) UpdateField(DMAC_INTERFACE_DESCRIPTION_1,"BYTES_PER_BEAT_SRC_LOG2",x,y) - `define SET_DMAC_INTERFACE_DESCRIPTION_1_DMA_TYPE_DEST(x) SetField(DMAC_INTERFACE_DESCRIPTION_1,"DMA_TYPE_DEST",x) - `define GET_DMAC_INTERFACE_DESCRIPTION_1_DMA_TYPE_DEST(x) GetField(DMAC_INTERFACE_DESCRIPTION_1,"DMA_TYPE_DEST",x) - `define DEFAULT_DMAC_INTERFACE_DESCRIPTION_1_DMA_TYPE_DEST GetResetValue(DMAC_INTERFACE_DESCRIPTION_1,"DMA_TYPE_DEST") - `define UPDATE_DMAC_INTERFACE_DESCRIPTION_1_DMA_TYPE_DEST(x,y) UpdateField(DMAC_INTERFACE_DESCRIPTION_1,"DMA_TYPE_DEST",x,y) - `define SET_DMAC_INTERFACE_DESCRIPTION_1_BYTES_PER_BEAT_DEST_LOG2(x) SetField(DMAC_INTERFACE_DESCRIPTION_1,"BYTES_PER_BEAT_DEST_LOG2",x) - `define GET_DMAC_INTERFACE_DESCRIPTION_1_BYTES_PER_BEAT_DEST_LOG2(x) GetField(DMAC_INTERFACE_DESCRIPTION_1,"BYTES_PER_BEAT_DEST_LOG2",x) - `define DEFAULT_DMAC_INTERFACE_DESCRIPTION_1_BYTES_PER_BEAT_DEST_LOG2 GetResetValue(DMAC_INTERFACE_DESCRIPTION_1,"BYTES_PER_BEAT_DEST_LOG2") - `define UPDATE_DMAC_INTERFACE_DESCRIPTION_1_BYTES_PER_BEAT_DEST_LOG2(x,y) UpdateField(DMAC_INTERFACE_DESCRIPTION_1,"BYTES_PER_BEAT_DEST_LOG2",x,y) - - const reg_t DMAC_INTERFACE_DESCRIPTION_2 = '{ 'h0014, "INTERFACE_DESCRIPTION_2" , '{ - "CACHE_COHERENT": '{ 0, 0, R, 0 }, - "AXI_AXCACHE": '{ 7, 4, R, 0 }, - "AXI_AXPROT": '{ 10, 8, R, 0 }}}; - `define SET_DMAC_INTERFACE_DESCRIPTION_2_CACHE_COHERENT(x) SetField(DMAC_INTERFACE_DESCRIPTION_2,"CACHE_COHERENT",x) - `define GET_DMAC_INTERFACE_DESCRIPTION_2_CACHE_COHERENT(x) GetField(DMAC_INTERFACE_DESCRIPTION_2,"CACHE_COHERENT",x) - `define DEFAULT_DMAC_INTERFACE_DESCRIPTION_2_CACHE_COHERENT GetResetValue(DMAC_INTERFACE_DESCRIPTION_2,"CACHE_COHERENT") - `define UPDATE_DMAC_INTERFACE_DESCRIPTION_2_CACHE_COHERENT(x,y) UpdateField(DMAC_INTERFACE_DESCRIPTION_2,"CACHE_COHERENT",x,y) - `define SET_DMAC_INTERFACE_DESCRIPTION_2_AXI_AXCACHE(x) SetField(DMAC_INTERFACE_DESCRIPTION_2,"AXI_AXCACHE",x) - `define GET_DMAC_INTERFACE_DESCRIPTION_2_AXI_AXCACHE(x) GetField(DMAC_INTERFACE_DESCRIPTION_2,"AXI_AXCACHE",x) - `define DEFAULT_DMAC_INTERFACE_DESCRIPTION_2_AXI_AXCACHE GetResetValue(DMAC_INTERFACE_DESCRIPTION_2,"AXI_AXCACHE") - `define UPDATE_DMAC_INTERFACE_DESCRIPTION_2_AXI_AXCACHE(x,y) UpdateField(DMAC_INTERFACE_DESCRIPTION_2,"AXI_AXCACHE",x,y) - `define SET_DMAC_INTERFACE_DESCRIPTION_2_AXI_AXPROT(x) SetField(DMAC_INTERFACE_DESCRIPTION_2,"AXI_AXPROT",x) - `define GET_DMAC_INTERFACE_DESCRIPTION_2_AXI_AXPROT(x) GetField(DMAC_INTERFACE_DESCRIPTION_2,"AXI_AXPROT",x) - `define DEFAULT_DMAC_INTERFACE_DESCRIPTION_2_AXI_AXPROT GetResetValue(DMAC_INTERFACE_DESCRIPTION_2,"AXI_AXPROT") - `define UPDATE_DMAC_INTERFACE_DESCRIPTION_2_AXI_AXPROT(x,y) UpdateField(DMAC_INTERFACE_DESCRIPTION_2,"AXI_AXPROT",x,y) - - const reg_t DMAC_IRQ_MASK = '{ 'h0080, "IRQ_MASK" , '{ - "TRANSFER_COMPLETED": '{ 1, 1, RW, 'h1 }, - "TRANSFER_QUEUED": '{ 0, 0, RW, 'h1 }}}; - `define SET_DMAC_IRQ_MASK_TRANSFER_COMPLETED(x) SetField(DMAC_IRQ_MASK,"TRANSFER_COMPLETED",x) - `define GET_DMAC_IRQ_MASK_TRANSFER_COMPLETED(x) GetField(DMAC_IRQ_MASK,"TRANSFER_COMPLETED",x) - `define DEFAULT_DMAC_IRQ_MASK_TRANSFER_COMPLETED GetResetValue(DMAC_IRQ_MASK,"TRANSFER_COMPLETED") - `define UPDATE_DMAC_IRQ_MASK_TRANSFER_COMPLETED(x,y) UpdateField(DMAC_IRQ_MASK,"TRANSFER_COMPLETED",x,y) - `define SET_DMAC_IRQ_MASK_TRANSFER_QUEUED(x) SetField(DMAC_IRQ_MASK,"TRANSFER_QUEUED",x) - `define GET_DMAC_IRQ_MASK_TRANSFER_QUEUED(x) GetField(DMAC_IRQ_MASK,"TRANSFER_QUEUED",x) - `define DEFAULT_DMAC_IRQ_MASK_TRANSFER_QUEUED GetResetValue(DMAC_IRQ_MASK,"TRANSFER_QUEUED") - `define UPDATE_DMAC_IRQ_MASK_TRANSFER_QUEUED(x,y) UpdateField(DMAC_IRQ_MASK,"TRANSFER_QUEUED",x,y) - - const reg_t DMAC_IRQ_PENDING = '{ 'h0084, "IRQ_PENDING" , '{ - "TRANSFER_COMPLETED": '{ 1, 1, RW1C, 'h0 }, - "TRANSFER_QUEUED": '{ 0, 0, RW1C, 'h0 }}}; - `define SET_DMAC_IRQ_PENDING_TRANSFER_COMPLETED(x) SetField(DMAC_IRQ_PENDING,"TRANSFER_COMPLETED",x) - `define GET_DMAC_IRQ_PENDING_TRANSFER_COMPLETED(x) GetField(DMAC_IRQ_PENDING,"TRANSFER_COMPLETED",x) - `define DEFAULT_DMAC_IRQ_PENDING_TRANSFER_COMPLETED GetResetValue(DMAC_IRQ_PENDING,"TRANSFER_COMPLETED") - `define UPDATE_DMAC_IRQ_PENDING_TRANSFER_COMPLETED(x,y) UpdateField(DMAC_IRQ_PENDING,"TRANSFER_COMPLETED",x,y) - `define SET_DMAC_IRQ_PENDING_TRANSFER_QUEUED(x) SetField(DMAC_IRQ_PENDING,"TRANSFER_QUEUED",x) - `define GET_DMAC_IRQ_PENDING_TRANSFER_QUEUED(x) GetField(DMAC_IRQ_PENDING,"TRANSFER_QUEUED",x) - `define DEFAULT_DMAC_IRQ_PENDING_TRANSFER_QUEUED GetResetValue(DMAC_IRQ_PENDING,"TRANSFER_QUEUED") - `define UPDATE_DMAC_IRQ_PENDING_TRANSFER_QUEUED(x,y) UpdateField(DMAC_IRQ_PENDING,"TRANSFER_QUEUED",x,y) - - const reg_t DMAC_IRQ_SOURCE = '{ 'h0088, "IRQ_SOURCE" , '{ - "TRANSFER_COMPLETED": '{ 1, 1, RO, 'h0 }, - "TRANSFER_QUEUED": '{ 0, 0, RO, 'h0 }}}; - `define SET_DMAC_IRQ_SOURCE_TRANSFER_COMPLETED(x) SetField(DMAC_IRQ_SOURCE,"TRANSFER_COMPLETED",x) - `define GET_DMAC_IRQ_SOURCE_TRANSFER_COMPLETED(x) GetField(DMAC_IRQ_SOURCE,"TRANSFER_COMPLETED",x) - `define DEFAULT_DMAC_IRQ_SOURCE_TRANSFER_COMPLETED GetResetValue(DMAC_IRQ_SOURCE,"TRANSFER_COMPLETED") - `define UPDATE_DMAC_IRQ_SOURCE_TRANSFER_COMPLETED(x,y) UpdateField(DMAC_IRQ_SOURCE,"TRANSFER_COMPLETED",x,y) - `define SET_DMAC_IRQ_SOURCE_TRANSFER_QUEUED(x) SetField(DMAC_IRQ_SOURCE,"TRANSFER_QUEUED",x) - `define GET_DMAC_IRQ_SOURCE_TRANSFER_QUEUED(x) GetField(DMAC_IRQ_SOURCE,"TRANSFER_QUEUED",x) - `define DEFAULT_DMAC_IRQ_SOURCE_TRANSFER_QUEUED GetResetValue(DMAC_IRQ_SOURCE,"TRANSFER_QUEUED") - `define UPDATE_DMAC_IRQ_SOURCE_TRANSFER_QUEUED(x,y) UpdateField(DMAC_IRQ_SOURCE,"TRANSFER_QUEUED",x,y) - - const reg_t DMAC_CONTROL = '{ 'h0400, "CONTROL" , '{ - "FRAMELOCK": '{ 3, 3, RW, 'h0 }, - "HWDESC": '{ 2, 2, RW, 'h0 }, - "PAUSE": '{ 1, 1, RW, 'h0 }, - "ENABLE": '{ 0, 0, RW, 'h0 }}}; - `define SET_DMAC_CONTROL_FRAMELOCK(x) SetField(DMAC_CONTROL,"FRAMELOCK",x) - `define GET_DMAC_CONTROL_FRAMELOCK(x) GetField(DMAC_CONTROL,"FRAMELOCK",x) - `define DEFAULT_DMAC_CONTROL_FRAMELOCK GetResetValue(DMAC_CONTROL,"FRAMELOCK") - `define UPDATE_DMAC_CONTROL_FRAMELOCK(x,y) UpdateField(DMAC_CONTROL,"FRAMELOCK",x,y) - `define SET_DMAC_CONTROL_HWDESC(x) SetField(DMAC_CONTROL,"HWDESC",x) - `define GET_DMAC_CONTROL_HWDESC(x) GetField(DMAC_CONTROL,"HWDESC",x) - `define DEFAULT_DMAC_CONTROL_HWDESC GetResetValue(DMAC_CONTROL,"HWDESC") - `define UPDATE_DMAC_CONTROL_HWDESC(x,y) UpdateField(DMAC_CONTROL,"HWDESC",x,y) - `define SET_DMAC_CONTROL_PAUSE(x) SetField(DMAC_CONTROL,"PAUSE",x) - `define GET_DMAC_CONTROL_PAUSE(x) GetField(DMAC_CONTROL,"PAUSE",x) - `define DEFAULT_DMAC_CONTROL_PAUSE GetResetValue(DMAC_CONTROL,"PAUSE") - `define UPDATE_DMAC_CONTROL_PAUSE(x,y) UpdateField(DMAC_CONTROL,"PAUSE",x,y) - `define SET_DMAC_CONTROL_ENABLE(x) SetField(DMAC_CONTROL,"ENABLE",x) - `define GET_DMAC_CONTROL_ENABLE(x) GetField(DMAC_CONTROL,"ENABLE",x) - `define DEFAULT_DMAC_CONTROL_ENABLE GetResetValue(DMAC_CONTROL,"ENABLE") - `define UPDATE_DMAC_CONTROL_ENABLE(x,y) UpdateField(DMAC_CONTROL,"ENABLE",x,y) - - const reg_t DMAC_TRANSFER_ID = '{ 'h0404, "TRANSFER_ID" , '{ - "TRANSFER_ID": '{ 1, 0, RO, 'h00 }}}; - `define SET_DMAC_TRANSFER_ID_TRANSFER_ID(x) SetField(DMAC_TRANSFER_ID,"TRANSFER_ID",x) - `define GET_DMAC_TRANSFER_ID_TRANSFER_ID(x) GetField(DMAC_TRANSFER_ID,"TRANSFER_ID",x) - `define DEFAULT_DMAC_TRANSFER_ID_TRANSFER_ID GetResetValue(DMAC_TRANSFER_ID,"TRANSFER_ID") - `define UPDATE_DMAC_TRANSFER_ID_TRANSFER_ID(x,y) UpdateField(DMAC_TRANSFER_ID,"TRANSFER_ID",x,y) - - const reg_t DMAC_TRANSFER_SUBMIT = '{ 'h0408, "TRANSFER_SUBMIT" , '{ - "TRANSFER_SUBMIT": '{ 0, 0, RW, 'h0 }}}; - `define SET_DMAC_TRANSFER_SUBMIT_TRANSFER_SUBMIT(x) SetField(DMAC_TRANSFER_SUBMIT,"TRANSFER_SUBMIT",x) - `define GET_DMAC_TRANSFER_SUBMIT_TRANSFER_SUBMIT(x) GetField(DMAC_TRANSFER_SUBMIT,"TRANSFER_SUBMIT",x) - `define DEFAULT_DMAC_TRANSFER_SUBMIT_TRANSFER_SUBMIT GetResetValue(DMAC_TRANSFER_SUBMIT,"TRANSFER_SUBMIT") - `define UPDATE_DMAC_TRANSFER_SUBMIT_TRANSFER_SUBMIT(x,y) UpdateField(DMAC_TRANSFER_SUBMIT,"TRANSFER_SUBMIT",x,y) - - const reg_t DMAC_FLAGS = '{ 'h040c, "FLAGS" , '{ - "PARTIAL_REPORTING_EN": '{ 2, 2, RW, 0 }, - "TLAST": '{ 1, 1, RW, 0 }, - "CYCLIC": '{ 0, 0, RW, 0 }}}; - `define SET_DMAC_FLAGS_PARTIAL_REPORTING_EN(x) SetField(DMAC_FLAGS,"PARTIAL_REPORTING_EN",x) - `define GET_DMAC_FLAGS_PARTIAL_REPORTING_EN(x) GetField(DMAC_FLAGS,"PARTIAL_REPORTING_EN",x) - `define DEFAULT_DMAC_FLAGS_PARTIAL_REPORTING_EN GetResetValue(DMAC_FLAGS,"PARTIAL_REPORTING_EN") - `define UPDATE_DMAC_FLAGS_PARTIAL_REPORTING_EN(x,y) UpdateField(DMAC_FLAGS,"PARTIAL_REPORTING_EN",x,y) - `define SET_DMAC_FLAGS_TLAST(x) SetField(DMAC_FLAGS,"TLAST",x) - `define GET_DMAC_FLAGS_TLAST(x) GetField(DMAC_FLAGS,"TLAST",x) - `define DEFAULT_DMAC_FLAGS_TLAST GetResetValue(DMAC_FLAGS,"TLAST") - `define UPDATE_DMAC_FLAGS_TLAST(x,y) UpdateField(DMAC_FLAGS,"TLAST",x,y) - `define SET_DMAC_FLAGS_CYCLIC(x) SetField(DMAC_FLAGS,"CYCLIC",x) - `define GET_DMAC_FLAGS_CYCLIC(x) GetField(DMAC_FLAGS,"CYCLIC",x) - `define DEFAULT_DMAC_FLAGS_CYCLIC GetResetValue(DMAC_FLAGS,"CYCLIC") - `define UPDATE_DMAC_FLAGS_CYCLIC(x,y) UpdateField(DMAC_FLAGS,"CYCLIC",x,y) - - const reg_t DMAC_DEST_ADDRESS = '{ 'h0410, "DEST_ADDRESS" , '{ - "DEST_ADDRESS": '{ 31, 0, RW, 0 }}}; - `define SET_DMAC_DEST_ADDRESS_DEST_ADDRESS(x) SetField(DMAC_DEST_ADDRESS,"DEST_ADDRESS",x) - `define GET_DMAC_DEST_ADDRESS_DEST_ADDRESS(x) GetField(DMAC_DEST_ADDRESS,"DEST_ADDRESS",x) - `define DEFAULT_DMAC_DEST_ADDRESS_DEST_ADDRESS GetResetValue(DMAC_DEST_ADDRESS,"DEST_ADDRESS") - `define UPDATE_DMAC_DEST_ADDRESS_DEST_ADDRESS(x,y) UpdateField(DMAC_DEST_ADDRESS,"DEST_ADDRESS",x,y) - - const reg_t DMAC_SRC_ADDRESS = '{ 'h0414, "SRC_ADDRESS" , '{ - "SRC_ADDRESS": '{ 31, 0, RW, 0 }}}; - `define SET_DMAC_SRC_ADDRESS_SRC_ADDRESS(x) SetField(DMAC_SRC_ADDRESS,"SRC_ADDRESS",x) - `define GET_DMAC_SRC_ADDRESS_SRC_ADDRESS(x) GetField(DMAC_SRC_ADDRESS,"SRC_ADDRESS",x) - `define DEFAULT_DMAC_SRC_ADDRESS_SRC_ADDRESS GetResetValue(DMAC_SRC_ADDRESS,"SRC_ADDRESS") - `define UPDATE_DMAC_SRC_ADDRESS_SRC_ADDRESS(x,y) UpdateField(DMAC_SRC_ADDRESS,"SRC_ADDRESS",x,y) - - const reg_t DMAC_X_LENGTH = '{ 'h0418, "X_LENGTH" , '{ - "X_LENGTH": '{ 23, 0, RW, 0 }}}; - `define SET_DMAC_X_LENGTH_X_LENGTH(x) SetField(DMAC_X_LENGTH,"X_LENGTH",x) - `define GET_DMAC_X_LENGTH_X_LENGTH(x) GetField(DMAC_X_LENGTH,"X_LENGTH",x) - `define DEFAULT_DMAC_X_LENGTH_X_LENGTH GetResetValue(DMAC_X_LENGTH,"X_LENGTH") - `define UPDATE_DMAC_X_LENGTH_X_LENGTH(x,y) UpdateField(DMAC_X_LENGTH,"X_LENGTH",x,y) - - const reg_t DMAC_Y_LENGTH = '{ 'h041c, "Y_LENGTH" , '{ - "Y_LENGTH": '{ 23, 0, RW, 0 }}}; - `define SET_DMAC_Y_LENGTH_Y_LENGTH(x) SetField(DMAC_Y_LENGTH,"Y_LENGTH",x) - `define GET_DMAC_Y_LENGTH_Y_LENGTH(x) GetField(DMAC_Y_LENGTH,"Y_LENGTH",x) - `define DEFAULT_DMAC_Y_LENGTH_Y_LENGTH GetResetValue(DMAC_Y_LENGTH,"Y_LENGTH") - `define UPDATE_DMAC_Y_LENGTH_Y_LENGTH(x,y) UpdateField(DMAC_Y_LENGTH,"Y_LENGTH",x,y) - - const reg_t DMAC_DEST_STRIDE = '{ 'h0420, "DEST_STRIDE" , '{ - "DEST_STRIDE": '{ 23, 0, RW, 0 }}}; - `define SET_DMAC_DEST_STRIDE_DEST_STRIDE(x) SetField(DMAC_DEST_STRIDE,"DEST_STRIDE",x) - `define GET_DMAC_DEST_STRIDE_DEST_STRIDE(x) GetField(DMAC_DEST_STRIDE,"DEST_STRIDE",x) - `define DEFAULT_DMAC_DEST_STRIDE_DEST_STRIDE GetResetValue(DMAC_DEST_STRIDE,"DEST_STRIDE") - `define UPDATE_DMAC_DEST_STRIDE_DEST_STRIDE(x,y) UpdateField(DMAC_DEST_STRIDE,"DEST_STRIDE",x,y) - - const reg_t DMAC_SRC_STRIDE = '{ 'h0424, "SRC_STRIDE" , '{ - "SRC_STRIDE": '{ 23, 0, RW, 0 }}}; - `define SET_DMAC_SRC_STRIDE_SRC_STRIDE(x) SetField(DMAC_SRC_STRIDE,"SRC_STRIDE",x) - `define GET_DMAC_SRC_STRIDE_SRC_STRIDE(x) GetField(DMAC_SRC_STRIDE,"SRC_STRIDE",x) - `define DEFAULT_DMAC_SRC_STRIDE_SRC_STRIDE GetResetValue(DMAC_SRC_STRIDE,"SRC_STRIDE") - `define UPDATE_DMAC_SRC_STRIDE_SRC_STRIDE(x,y) UpdateField(DMAC_SRC_STRIDE,"SRC_STRIDE",x,y) - - const reg_t DMAC_TRANSFER_DONE = '{ 'h0428, "TRANSFER_DONE" , '{ - "TRANSFER_0_DONE": '{ 0, 0, RO, 'h0 }, - "TRANSFER_1_DONE": '{ 1, 1, RO, 'h0 }, - "TRANSFER_2_DONE": '{ 2, 2, RO, 'h0 }, - "TRANSFER_3_DONE": '{ 3, 3, RO, 'h0 }, - "PARTIAL_TRANSFER_DONE": '{ 31, 31, RO, 'h0 }}}; - `define SET_DMAC_TRANSFER_DONE_TRANSFER_0_DONE(x) SetField(DMAC_TRANSFER_DONE,"TRANSFER_0_DONE",x) - `define GET_DMAC_TRANSFER_DONE_TRANSFER_0_DONE(x) GetField(DMAC_TRANSFER_DONE,"TRANSFER_0_DONE",x) - `define DEFAULT_DMAC_TRANSFER_DONE_TRANSFER_0_DONE GetResetValue(DMAC_TRANSFER_DONE,"TRANSFER_0_DONE") - `define UPDATE_DMAC_TRANSFER_DONE_TRANSFER_0_DONE(x,y) UpdateField(DMAC_TRANSFER_DONE,"TRANSFER_0_DONE",x,y) - `define SET_DMAC_TRANSFER_DONE_TRANSFER_1_DONE(x) SetField(DMAC_TRANSFER_DONE,"TRANSFER_1_DONE",x) - `define GET_DMAC_TRANSFER_DONE_TRANSFER_1_DONE(x) GetField(DMAC_TRANSFER_DONE,"TRANSFER_1_DONE",x) - `define DEFAULT_DMAC_TRANSFER_DONE_TRANSFER_1_DONE GetResetValue(DMAC_TRANSFER_DONE,"TRANSFER_1_DONE") - `define UPDATE_DMAC_TRANSFER_DONE_TRANSFER_1_DONE(x,y) UpdateField(DMAC_TRANSFER_DONE,"TRANSFER_1_DONE",x,y) - `define SET_DMAC_TRANSFER_DONE_TRANSFER_2_DONE(x) SetField(DMAC_TRANSFER_DONE,"TRANSFER_2_DONE",x) - `define GET_DMAC_TRANSFER_DONE_TRANSFER_2_DONE(x) GetField(DMAC_TRANSFER_DONE,"TRANSFER_2_DONE",x) - `define DEFAULT_DMAC_TRANSFER_DONE_TRANSFER_2_DONE GetResetValue(DMAC_TRANSFER_DONE,"TRANSFER_2_DONE") - `define UPDATE_DMAC_TRANSFER_DONE_TRANSFER_2_DONE(x,y) UpdateField(DMAC_TRANSFER_DONE,"TRANSFER_2_DONE",x,y) - `define SET_DMAC_TRANSFER_DONE_TRANSFER_3_DONE(x) SetField(DMAC_TRANSFER_DONE,"TRANSFER_3_DONE",x) - `define GET_DMAC_TRANSFER_DONE_TRANSFER_3_DONE(x) GetField(DMAC_TRANSFER_DONE,"TRANSFER_3_DONE",x) - `define DEFAULT_DMAC_TRANSFER_DONE_TRANSFER_3_DONE GetResetValue(DMAC_TRANSFER_DONE,"TRANSFER_3_DONE") - `define UPDATE_DMAC_TRANSFER_DONE_TRANSFER_3_DONE(x,y) UpdateField(DMAC_TRANSFER_DONE,"TRANSFER_3_DONE",x,y) - `define SET_DMAC_TRANSFER_DONE_PARTIAL_TRANSFER_DONE(x) SetField(DMAC_TRANSFER_DONE,"PARTIAL_TRANSFER_DONE",x) - `define GET_DMAC_TRANSFER_DONE_PARTIAL_TRANSFER_DONE(x) GetField(DMAC_TRANSFER_DONE,"PARTIAL_TRANSFER_DONE",x) - `define DEFAULT_DMAC_TRANSFER_DONE_PARTIAL_TRANSFER_DONE GetResetValue(DMAC_TRANSFER_DONE,"PARTIAL_TRANSFER_DONE") - `define UPDATE_DMAC_TRANSFER_DONE_PARTIAL_TRANSFER_DONE(x,y) UpdateField(DMAC_TRANSFER_DONE,"PARTIAL_TRANSFER_DONE",x,y) - - const reg_t DMAC_ACTIVE_TRANSFER_ID = '{ 'h042c, "ACTIVE_TRANSFER_ID" , '{ - "ACTIVE_TRANSFER_ID": '{ 4, 0, RO, 'h00 }}}; - `define SET_DMAC_ACTIVE_TRANSFER_ID_ACTIVE_TRANSFER_ID(x) SetField(DMAC_ACTIVE_TRANSFER_ID,"ACTIVE_TRANSFER_ID",x) - `define GET_DMAC_ACTIVE_TRANSFER_ID_ACTIVE_TRANSFER_ID(x) GetField(DMAC_ACTIVE_TRANSFER_ID,"ACTIVE_TRANSFER_ID",x) - `define DEFAULT_DMAC_ACTIVE_TRANSFER_ID_ACTIVE_TRANSFER_ID GetResetValue(DMAC_ACTIVE_TRANSFER_ID,"ACTIVE_TRANSFER_ID") - `define UPDATE_DMAC_ACTIVE_TRANSFER_ID_ACTIVE_TRANSFER_ID(x,y) UpdateField(DMAC_ACTIVE_TRANSFER_ID,"ACTIVE_TRANSFER_ID",x,y) - - const reg_t DMAC_STATUS = '{ 'h0430, "STATUS" , '{ - "RESERVED": '{ 31, 0, RO, 'h00000000 }}}; - `define SET_DMAC_STATUS_RESERVED(x) SetField(DMAC_STATUS,"RESERVED",x) - `define GET_DMAC_STATUS_RESERVED(x) GetField(DMAC_STATUS,"RESERVED",x) - `define DEFAULT_DMAC_STATUS_RESERVED GetResetValue(DMAC_STATUS,"RESERVED") - `define UPDATE_DMAC_STATUS_RESERVED(x,y) UpdateField(DMAC_STATUS,"RESERVED",x,y) - - const reg_t DMAC_CURRENT_DEST_ADDRESS = '{ 'h0434, "CURRENT_DEST_ADDRESS" , '{ - "CURRENT_DEST_ADDRESS": '{ 31, 0, RO, 'h00000000 }}}; - `define SET_DMAC_CURRENT_DEST_ADDRESS_CURRENT_DEST_ADDRESS(x) SetField(DMAC_CURRENT_DEST_ADDRESS,"CURRENT_DEST_ADDRESS",x) - `define GET_DMAC_CURRENT_DEST_ADDRESS_CURRENT_DEST_ADDRESS(x) GetField(DMAC_CURRENT_DEST_ADDRESS,"CURRENT_DEST_ADDRESS",x) - `define DEFAULT_DMAC_CURRENT_DEST_ADDRESS_CURRENT_DEST_ADDRESS GetResetValue(DMAC_CURRENT_DEST_ADDRESS,"CURRENT_DEST_ADDRESS") - `define UPDATE_DMAC_CURRENT_DEST_ADDRESS_CURRENT_DEST_ADDRESS(x,y) UpdateField(DMAC_CURRENT_DEST_ADDRESS,"CURRENT_DEST_ADDRESS",x,y) - - const reg_t DMAC_CURRENT_SRC_ADDRESS = '{ 'h0438, "CURRENT_SRC_ADDRESS" , '{ - "CURRENT_SRC_ADDRESS": '{ 31, 0, RO, 'h00000000 }}}; - `define SET_DMAC_CURRENT_SRC_ADDRESS_CURRENT_SRC_ADDRESS(x) SetField(DMAC_CURRENT_SRC_ADDRESS,"CURRENT_SRC_ADDRESS",x) - `define GET_DMAC_CURRENT_SRC_ADDRESS_CURRENT_SRC_ADDRESS(x) GetField(DMAC_CURRENT_SRC_ADDRESS,"CURRENT_SRC_ADDRESS",x) - `define DEFAULT_DMAC_CURRENT_SRC_ADDRESS_CURRENT_SRC_ADDRESS GetResetValue(DMAC_CURRENT_SRC_ADDRESS,"CURRENT_SRC_ADDRESS") - `define UPDATE_DMAC_CURRENT_SRC_ADDRESS_CURRENT_SRC_ADDRESS(x,y) UpdateField(DMAC_CURRENT_SRC_ADDRESS,"CURRENT_SRC_ADDRESS",x,y) - - const reg_t DMAC_TRANSFER_PROGRESS = '{ 'h0448, "TRANSFER_PROGRESS" , '{ - "TRANSFER_PROGRESS": '{ 23, 0, RO, 'h000000 }}}; - `define SET_DMAC_TRANSFER_PROGRESS_TRANSFER_PROGRESS(x) SetField(DMAC_TRANSFER_PROGRESS,"TRANSFER_PROGRESS",x) - `define GET_DMAC_TRANSFER_PROGRESS_TRANSFER_PROGRESS(x) GetField(DMAC_TRANSFER_PROGRESS,"TRANSFER_PROGRESS",x) - `define DEFAULT_DMAC_TRANSFER_PROGRESS_TRANSFER_PROGRESS GetResetValue(DMAC_TRANSFER_PROGRESS,"TRANSFER_PROGRESS") - `define UPDATE_DMAC_TRANSFER_PROGRESS_TRANSFER_PROGRESS(x,y) UpdateField(DMAC_TRANSFER_PROGRESS,"TRANSFER_PROGRESS",x,y) - - const reg_t DMAC_PARTIAL_TRANSFER_LENGTH = '{ 'h044c, "PARTIAL_TRANSFER_LENGTH" , '{ - "PARTIAL_LENGTH": '{ 31, 0, RO, 'h00000000 }}}; - `define SET_DMAC_PARTIAL_TRANSFER_LENGTH_PARTIAL_LENGTH(x) SetField(DMAC_PARTIAL_TRANSFER_LENGTH,"PARTIAL_LENGTH",x) - `define GET_DMAC_PARTIAL_TRANSFER_LENGTH_PARTIAL_LENGTH(x) GetField(DMAC_PARTIAL_TRANSFER_LENGTH,"PARTIAL_LENGTH",x) - `define DEFAULT_DMAC_PARTIAL_TRANSFER_LENGTH_PARTIAL_LENGTH GetResetValue(DMAC_PARTIAL_TRANSFER_LENGTH,"PARTIAL_LENGTH") - `define UPDATE_DMAC_PARTIAL_TRANSFER_LENGTH_PARTIAL_LENGTH(x,y) UpdateField(DMAC_PARTIAL_TRANSFER_LENGTH,"PARTIAL_LENGTH",x,y) - - const reg_t DMAC_PARTIAL_TRANSFER_ID = '{ 'h0450, "PARTIAL_TRANSFER_ID" , '{ - "PARTIAL_TRANSFER_ID": '{ 1, 0, RO, 'h0 }}}; - `define SET_DMAC_PARTIAL_TRANSFER_ID_PARTIAL_TRANSFER_ID(x) SetField(DMAC_PARTIAL_TRANSFER_ID,"PARTIAL_TRANSFER_ID",x) - `define GET_DMAC_PARTIAL_TRANSFER_ID_PARTIAL_TRANSFER_ID(x) GetField(DMAC_PARTIAL_TRANSFER_ID,"PARTIAL_TRANSFER_ID",x) - `define DEFAULT_DMAC_PARTIAL_TRANSFER_ID_PARTIAL_TRANSFER_ID GetResetValue(DMAC_PARTIAL_TRANSFER_ID,"PARTIAL_TRANSFER_ID") - `define UPDATE_DMAC_PARTIAL_TRANSFER_ID_PARTIAL_TRANSFER_ID(x,y) UpdateField(DMAC_PARTIAL_TRANSFER_ID,"PARTIAL_TRANSFER_ID",x,y) - - const reg_t DMAC_DESCRIPTOR_ID = '{ 'h0454, "DESCRIPTOR_ID" , '{ - "DESCRIPTOR_ID": '{ 31, 0, RO, 'h00000000 }}}; - `define SET_DMAC_DESCRIPTOR_ID_DESCRIPTOR_ID(x) SetField(DMAC_DESCRIPTOR_ID,"DESCRIPTOR_ID",x) - `define GET_DMAC_DESCRIPTOR_ID_DESCRIPTOR_ID(x) GetField(DMAC_DESCRIPTOR_ID,"DESCRIPTOR_ID",x) - `define DEFAULT_DMAC_DESCRIPTOR_ID_DESCRIPTOR_ID GetResetValue(DMAC_DESCRIPTOR_ID,"DESCRIPTOR_ID") - `define UPDATE_DMAC_DESCRIPTOR_ID_DESCRIPTOR_ID(x,y) UpdateField(DMAC_DESCRIPTOR_ID,"DESCRIPTOR_ID",x,y) - - const reg_t DMAC_FRAMELOCK_CONFIG = '{ 'h0458, "FRAMELOCK_CONFIG" , '{ - "DISTANCE": '{ 23, 16, RW, 0 }, - "FRAMENUM": '{ 15, 8, RW, 0 }, - "WAIT_WRITER": '{ 1, 1, RW, 0 }, - "MODE": '{ 0, 0, RW, 0 }}}; - `define SET_DMAC_FRAMELOCK_CONFIG_DISTANCE(x) SetField(DMAC_FRAMELOCK_CONFIG,"DISTANCE",x) - `define GET_DMAC_FRAMELOCK_CONFIG_DISTANCE(x) GetField(DMAC_FRAMELOCK_CONFIG,"DISTANCE",x) - `define DEFAULT_DMAC_FRAMELOCK_CONFIG_DISTANCE GetResetValue(DMAC_FRAMELOCK_CONFIG,"DISTANCE") - `define UPDATE_DMAC_FRAMELOCK_CONFIG_DISTANCE(x,y) UpdateField(DMAC_FRAMELOCK_CONFIG,"DISTANCE",x,y) - `define SET_DMAC_FRAMELOCK_CONFIG_FRAMENUM(x) SetField(DMAC_FRAMELOCK_CONFIG,"FRAMENUM",x) - `define GET_DMAC_FRAMELOCK_CONFIG_FRAMENUM(x) GetField(DMAC_FRAMELOCK_CONFIG,"FRAMENUM",x) - `define DEFAULT_DMAC_FRAMELOCK_CONFIG_FRAMENUM GetResetValue(DMAC_FRAMELOCK_CONFIG,"FRAMENUM") - `define UPDATE_DMAC_FRAMELOCK_CONFIG_FRAMENUM(x,y) UpdateField(DMAC_FRAMELOCK_CONFIG,"FRAMENUM",x,y) - `define SET_DMAC_FRAMELOCK_CONFIG_WAIT_WRITER(x) SetField(DMAC_FRAMELOCK_CONFIG,"WAIT_WRITER",x) - `define GET_DMAC_FRAMELOCK_CONFIG_WAIT_WRITER(x) GetField(DMAC_FRAMELOCK_CONFIG,"WAIT_WRITER",x) - `define DEFAULT_DMAC_FRAMELOCK_CONFIG_WAIT_WRITER GetResetValue(DMAC_FRAMELOCK_CONFIG,"WAIT_WRITER") - `define UPDATE_DMAC_FRAMELOCK_CONFIG_WAIT_WRITER(x,y) UpdateField(DMAC_FRAMELOCK_CONFIG,"WAIT_WRITER",x,y) - `define SET_DMAC_FRAMELOCK_CONFIG_MODE(x) SetField(DMAC_FRAMELOCK_CONFIG,"MODE",x) - `define GET_DMAC_FRAMELOCK_CONFIG_MODE(x) GetField(DMAC_FRAMELOCK_CONFIG,"MODE",x) - `define DEFAULT_DMAC_FRAMELOCK_CONFIG_MODE GetResetValue(DMAC_FRAMELOCK_CONFIG,"MODE") - `define UPDATE_DMAC_FRAMELOCK_CONFIG_MODE(x,y) UpdateField(DMAC_FRAMELOCK_CONFIG,"MODE",x,y) - - const reg_t DMAC_FRAMELOCK_STRIDE = '{ 'h045c, "FRAMELOCK_STRIDE" , '{ - "STRIDE": '{ 31, 0, RW, 0 }}}; - `define SET_DMAC_FRAMELOCK_STRIDE_STRIDE(x) SetField(DMAC_FRAMELOCK_STRIDE,"STRIDE",x) - `define GET_DMAC_FRAMELOCK_STRIDE_STRIDE(x) GetField(DMAC_FRAMELOCK_STRIDE,"STRIDE",x) - `define DEFAULT_DMAC_FRAMELOCK_STRIDE_STRIDE GetResetValue(DMAC_FRAMELOCK_STRIDE,"STRIDE") - `define UPDATE_DMAC_FRAMELOCK_STRIDE_STRIDE(x,y) UpdateField(DMAC_FRAMELOCK_STRIDE,"STRIDE",x,y) - - const reg_t DMAC_SG_ADDRESS = '{ 'h047c, "SG_ADDRESS" , '{ - "SG_ADDRESS": '{ 31, 0, RW, 'h00000000 }}}; - `define SET_DMAC_SG_ADDRESS_SG_ADDRESS(x) SetField(DMAC_SG_ADDRESS,"SG_ADDRESS",x) - `define GET_DMAC_SG_ADDRESS_SG_ADDRESS(x) GetField(DMAC_SG_ADDRESS,"SG_ADDRESS",x) - `define DEFAULT_DMAC_SG_ADDRESS_SG_ADDRESS GetResetValue(DMAC_SG_ADDRESS,"SG_ADDRESS") - `define UPDATE_DMAC_SG_ADDRESS_SG_ADDRESS(x,y) UpdateField(DMAC_SG_ADDRESS,"SG_ADDRESS",x,y) - - const reg_t DMAC_DEST_ADDRESS_HIGH = '{ 'h0490, "DEST_ADDRESS_HIGH" , '{ - "DEST_ADDRESS_HIGH": '{ 31, 0, RW, 'h00000000 }}}; - `define SET_DMAC_DEST_ADDRESS_HIGH_DEST_ADDRESS_HIGH(x) SetField(DMAC_DEST_ADDRESS_HIGH,"DEST_ADDRESS_HIGH",x) - `define GET_DMAC_DEST_ADDRESS_HIGH_DEST_ADDRESS_HIGH(x) GetField(DMAC_DEST_ADDRESS_HIGH,"DEST_ADDRESS_HIGH",x) - `define DEFAULT_DMAC_DEST_ADDRESS_HIGH_DEST_ADDRESS_HIGH GetResetValue(DMAC_DEST_ADDRESS_HIGH,"DEST_ADDRESS_HIGH") - `define UPDATE_DMAC_DEST_ADDRESS_HIGH_DEST_ADDRESS_HIGH(x,y) UpdateField(DMAC_DEST_ADDRESS_HIGH,"DEST_ADDRESS_HIGH",x,y) - - const reg_t DMAC_SRC_ADDRESS_HIGH = '{ 'h0494, "SRC_ADDRESS_HIGH" , '{ - "SRC_ADDRESS_HIGH": '{ 31, 0, RW, 'h00000000 }}}; - `define SET_DMAC_SRC_ADDRESS_HIGH_SRC_ADDRESS_HIGH(x) SetField(DMAC_SRC_ADDRESS_HIGH,"SRC_ADDRESS_HIGH",x) - `define GET_DMAC_SRC_ADDRESS_HIGH_SRC_ADDRESS_HIGH(x) GetField(DMAC_SRC_ADDRESS_HIGH,"SRC_ADDRESS_HIGH",x) - `define DEFAULT_DMAC_SRC_ADDRESS_HIGH_SRC_ADDRESS_HIGH GetResetValue(DMAC_SRC_ADDRESS_HIGH,"SRC_ADDRESS_HIGH") - `define UPDATE_DMAC_SRC_ADDRESS_HIGH_SRC_ADDRESS_HIGH(x,y) UpdateField(DMAC_SRC_ADDRESS_HIGH,"SRC_ADDRESS_HIGH",x,y) - - const reg_t DMAC_CURRENT_DEST_ADDRESS_HIGH = '{ 'h0498, "CURRENT_DEST_ADDRESS_HIGH" , '{ - "CURRENT_DEST_ADDRESS_HIGH": '{ 31, 0, RO, 'h00000000 }}}; - `define SET_DMAC_CURRENT_DEST_ADDRESS_HIGH_CURRENT_DEST_ADDRESS_HIGH(x) SetField(DMAC_CURRENT_DEST_ADDRESS_HIGH,"CURRENT_DEST_ADDRESS_HIGH",x) - `define GET_DMAC_CURRENT_DEST_ADDRESS_HIGH_CURRENT_DEST_ADDRESS_HIGH(x) GetField(DMAC_CURRENT_DEST_ADDRESS_HIGH,"CURRENT_DEST_ADDRESS_HIGH",x) - `define DEFAULT_DMAC_CURRENT_DEST_ADDRESS_HIGH_CURRENT_DEST_ADDRESS_HIGH GetResetValue(DMAC_CURRENT_DEST_ADDRESS_HIGH,"CURRENT_DEST_ADDRESS_HIGH") - `define UPDATE_DMAC_CURRENT_DEST_ADDRESS_HIGH_CURRENT_DEST_ADDRESS_HIGH(x,y) UpdateField(DMAC_CURRENT_DEST_ADDRESS_HIGH,"CURRENT_DEST_ADDRESS_HIGH",x,y) - - const reg_t DMAC_CURRENT_SRC_ADDRESS_HIGH = '{ 'h049c, "CURRENT_SRC_ADDRESS_HIGH" , '{ - "CURRENT_SRC_ADDRESS_HIGH": '{ 31, 0, RO, 'h00000000 }}}; - `define SET_DMAC_CURRENT_SRC_ADDRESS_HIGH_CURRENT_SRC_ADDRESS_HIGH(x) SetField(DMAC_CURRENT_SRC_ADDRESS_HIGH,"CURRENT_SRC_ADDRESS_HIGH",x) - `define GET_DMAC_CURRENT_SRC_ADDRESS_HIGH_CURRENT_SRC_ADDRESS_HIGH(x) GetField(DMAC_CURRENT_SRC_ADDRESS_HIGH,"CURRENT_SRC_ADDRESS_HIGH",x) - `define DEFAULT_DMAC_CURRENT_SRC_ADDRESS_HIGH_CURRENT_SRC_ADDRESS_HIGH GetResetValue(DMAC_CURRENT_SRC_ADDRESS_HIGH,"CURRENT_SRC_ADDRESS_HIGH") - `define UPDATE_DMAC_CURRENT_SRC_ADDRESS_HIGH_CURRENT_SRC_ADDRESS_HIGH(x,y) UpdateField(DMAC_CURRENT_SRC_ADDRESS_HIGH,"CURRENT_SRC_ADDRESS_HIGH",x,y) - - const reg_t DMAC_SG_ADDRESS_HIGH = '{ 'h04bc, "SG_ADDRESS_HIGH" , '{ - "SG_ADDRESS_HIGH": '{ 31, 0, RW, 'h00000000 }}}; - `define SET_DMAC_SG_ADDRESS_HIGH_SG_ADDRESS_HIGH(x) SetField(DMAC_SG_ADDRESS_HIGH,"SG_ADDRESS_HIGH",x) - `define GET_DMAC_SG_ADDRESS_HIGH_SG_ADDRESS_HIGH(x) GetField(DMAC_SG_ADDRESS_HIGH,"SG_ADDRESS_HIGH",x) - `define DEFAULT_DMAC_SG_ADDRESS_HIGH_SG_ADDRESS_HIGH GetResetValue(DMAC_SG_ADDRESS_HIGH,"SG_ADDRESS_HIGH") - `define UPDATE_DMAC_SG_ADDRESS_HIGH_SG_ADDRESS_HIGH(x,y) UpdateField(DMAC_SG_ADDRESS_HIGH,"SG_ADDRESS_HIGH",x,y) - - -endpackage + import logger_pkg::*; + import adi_api_pkg::*; + + class adi_regmap_dmac extends adi_regmap; + + /* DMA Controller (axi_dmac) */ + class VERSION_CLASS extends register_base; + field_base VERSION_MAJOR_F; + field_base VERSION_MINOR_F; + field_base VERSION_PATCH_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.VERSION_MAJOR_F = new("VERSION_MAJOR", 31, 16, RO, 'h4, this); + this.VERSION_MINOR_F = new("VERSION_MINOR", 15, 8, RO, 'h5, this); + this.VERSION_PATCH_F = new("VERSION_PATCH", 7, 0, RO, 'h64, this); + + this.initialization_done = 1; + endfunction: new + endclass: VERSION_CLASS + + class PERIPHERAL_ID_CLASS extends register_base; + field_base PERIPHERAL_ID_F; + + function new( + input string name, + input int address, + input int ID, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.PERIPHERAL_ID_F = new("PERIPHERAL_ID", 31, 0, RO, ID, this); + + this.initialization_done = 1; + endfunction: new + endclass: PERIPHERAL_ID_CLASS + + class SCRATCH_CLASS extends register_base; + field_base SCRATCH_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.SCRATCH_F = new("SCRATCH", 31, 0, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: SCRATCH_CLASS + + class IDENTIFICATION_CLASS extends register_base; + field_base IDENTIFICATION_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.IDENTIFICATION_F = new("IDENTIFICATION", 31, 0, RO, 'h444d4143, this); + + this.initialization_done = 1; + endfunction: new + endclass: IDENTIFICATION_CLASS + + class INTERFACE_DESCRIPTION_1_CLASS extends register_base; + field_base BYTES_PER_BEAT_DEST_LOG2_F; + field_base DMA_TYPE_DEST_F; + field_base BYTES_PER_BEAT_SRC_LOG2_F; + field_base DMA_TYPE_SRC_F; + field_base BYTES_PER_BURST_WIDTH_F; + field_base AUTORUN_F; + field_base USE_EXT_SYNC_F; + field_base DMA_2D_TLAST_MODE_F; + field_base MAX_NUM_FRAMES_F; + + function new( + input string name, + input int address, + input int AUTORUN, + input int BYTES_PER_BURST_WIDTH, + input int DMA_2D_TLAST_MODE, + input int DMA_DATA_WIDTH_DEST, + input int DMA_DATA_WIDTH_SRC, + input int DMA_TYPE_DEST, + input int DMA_TYPE_SRC, + input int MAX_NUM_FRAMES, + input int USE_EXT_SYNC, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.BYTES_PER_BEAT_DEST_LOG2_F = new("BYTES_PER_BEAT_DEST_LOG2", 3, 0, RO, $clog2(DMA_DATA_WIDTH_DEST/8), this); + this.DMA_TYPE_DEST_F = new("DMA_TYPE_DEST", 5, 4, RO, DMA_TYPE_DEST, this); + this.BYTES_PER_BEAT_SRC_LOG2_F = new("BYTES_PER_BEAT_SRC_LOG2", 11, 8, RO, $clog2(DMA_DATA_WIDTH_SRC/8), this); + this.DMA_TYPE_SRC_F = new("DMA_TYPE_SRC", 13, 12, RO, DMA_TYPE_SRC, this); + this.BYTES_PER_BURST_WIDTH_F = new("BYTES_PER_BURST_WIDTH", 19, 16, RO, BYTES_PER_BURST_WIDTH, this); + this.AUTORUN_F = new("AUTORUN", 24, 24, RO, AUTORUN, this); + this.USE_EXT_SYNC_F = new("USE_EXT_SYNC", 25, 25, RO, USE_EXT_SYNC, this); + this.DMA_2D_TLAST_MODE_F = new("DMA_2D_TLAST_MODE", 26, 26, RO, DMA_2D_TLAST_MODE, this); + this.MAX_NUM_FRAMES_F = new("MAX_NUM_FRAMES", 31, 27, RO, MAX_NUM_FRAMES, this); + + this.initialization_done = 1; + endfunction: new + endclass: INTERFACE_DESCRIPTION_1_CLASS + + class INTERFACE_DESCRIPTION_2_CLASS extends register_base; + field_base CACHE_COHERENT_F; + field_base AXI_AXCACHE_F; + field_base AXI_AXPROT_F; + + function new( + input string name, + input int address, + input int AXI_AXCACHE, + input int AXI_AXPROT, + input int CACHE_COHERENT, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.CACHE_COHERENT_F = new("CACHE_COHERENT", 0, 0, RO, CACHE_COHERENT, this); + this.AXI_AXCACHE_F = new("AXI_AXCACHE", 7, 4, RO, AXI_AXCACHE, this); + this.AXI_AXPROT_F = new("AXI_AXPROT", 10, 8, RO, AXI_AXPROT, this); + + this.initialization_done = 1; + endfunction: new + endclass: INTERFACE_DESCRIPTION_2_CLASS + + class IRQ_MASK_CLASS extends register_base; + field_base TRANSFER_COMPLETED_F; + field_base TRANSFER_QUEUED_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.TRANSFER_COMPLETED_F = new("TRANSFER_COMPLETED", 1, 1, RW, 'h1, this); + this.TRANSFER_QUEUED_F = new("TRANSFER_QUEUED", 0, 0, RW, 'h1, this); + + this.initialization_done = 1; + endfunction: new + endclass: IRQ_MASK_CLASS + + class IRQ_PENDING_CLASS extends register_base; + field_base TRANSFER_COMPLETED_F; + field_base TRANSFER_QUEUED_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.TRANSFER_COMPLETED_F = new("TRANSFER_COMPLETED", 1, 1, RW1C, 'h0, this); + this.TRANSFER_QUEUED_F = new("TRANSFER_QUEUED", 0, 0, RW1C, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: IRQ_PENDING_CLASS + + class IRQ_SOURCE_CLASS extends register_base; + field_base TRANSFER_COMPLETED_F; + field_base TRANSFER_QUEUED_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.TRANSFER_COMPLETED_F = new("TRANSFER_COMPLETED", 1, 1, RO, 'h0, this); + this.TRANSFER_QUEUED_F = new("TRANSFER_QUEUED", 0, 0, RO, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: IRQ_SOURCE_CLASS + + class CONTROL_CLASS extends register_base; + field_base FRAMELOCK_F; + field_base HWDESC_F; + field_base PAUSE_F; + field_base ENABLE_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.FRAMELOCK_F = new("FRAMELOCK", 3, 3, RW, 'h0, this); + this.HWDESC_F = new("HWDESC", 2, 2, RW, 'h0, this); + this.PAUSE_F = new("PAUSE", 1, 1, RW, 'h0, this); + this.ENABLE_F = new("ENABLE", 0, 0, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: CONTROL_CLASS + + class TRANSFER_ID_CLASS extends register_base; + field_base TRANSFER_ID_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.TRANSFER_ID_F = new("TRANSFER_ID", 1, 0, RO, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: TRANSFER_ID_CLASS + + class TRANSFER_SUBMIT_CLASS extends register_base; + field_base TRANSFER_SUBMIT_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.TRANSFER_SUBMIT_F = new("TRANSFER_SUBMIT", 0, 0, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: TRANSFER_SUBMIT_CLASS + + class FLAGS_CLASS extends register_base; + field_base CYCLIC_F; + field_base TLAST_F; + field_base PARTIAL_REPORTING_EN_F; + + function new( + input string name, + input int address, + input int CYCLIC, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.CYCLIC_F = new("CYCLIC", 0, 0, RW, CYCLIC, this); + this.TLAST_F = new("TLAST", 1, 1, RW, 'h1, this); + this.PARTIAL_REPORTING_EN_F = new("PARTIAL_REPORTING_EN", 2, 2, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: FLAGS_CLASS + + class DEST_ADDRESS_CLASS extends register_base; + field_base DEST_ADDRESS_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.DEST_ADDRESS_F = new("DEST_ADDRESS", 31, 0, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: DEST_ADDRESS_CLASS + + class SRC_ADDRESS_CLASS extends register_base; + field_base SRC_ADDRESS_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.SRC_ADDRESS_F = new("SRC_ADDRESS", 31, 0, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: SRC_ADDRESS_CLASS + + class X_LENGTH_CLASS extends register_base; + field_base X_LENGTH_F; + + function new( + input string name, + input int address, + input int DMA_DATA_WIDTH_DEST, + input int DMA_DATA_WIDTH_SRC, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.X_LENGTH_F = new("X_LENGTH", 31, 0, RW, 2**$clog2(`MAX(DMA_DATA_WIDTH_SRC, DMA_DATA_WIDTH_DEST)/8)-1, this); + + this.initialization_done = 1; + endfunction: new + endclass: X_LENGTH_CLASS + + class Y_LENGTH_CLASS extends register_base; + field_base Y_LENGTH_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.Y_LENGTH_F = new("Y_LENGTH", 31, 0, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: Y_LENGTH_CLASS + + class DEST_STRIDE_CLASS extends register_base; + field_base DEST_STRIDE_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.DEST_STRIDE_F = new("DEST_STRIDE", 31, 0, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: DEST_STRIDE_CLASS + + class SRC_STRIDE_CLASS extends register_base; + field_base SRC_STRIDE_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.SRC_STRIDE_F = new("SRC_STRIDE", 31, 0, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: SRC_STRIDE_CLASS + + class TRANSFER_DONE_CLASS extends register_base; + field_base TRANSFER_0_DONE_F; + field_base TRANSFER_1_DONE_F; + field_base TRANSFER_2_DONE_F; + field_base TRANSFER_3_DONE_F; + field_base PARTIAL_TRANSFER_DONE_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.TRANSFER_0_DONE_F = new("TRANSFER_0_DONE", 0, 0, RO, 'h0, this); + this.TRANSFER_1_DONE_F = new("TRANSFER_1_DONE", 1, 1, RO, 'h0, this); + this.TRANSFER_2_DONE_F = new("TRANSFER_2_DONE", 2, 2, RO, 'h0, this); + this.TRANSFER_3_DONE_F = new("TRANSFER_3_DONE", 3, 3, RO, 'h0, this); + this.PARTIAL_TRANSFER_DONE_F = new("PARTIAL_TRANSFER_DONE", 31, 31, RO, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: TRANSFER_DONE_CLASS + + class ACTIVE_TRANSFER_ID_CLASS extends register_base; + field_base ACTIVE_TRANSFER_ID_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.ACTIVE_TRANSFER_ID_F = new("ACTIVE_TRANSFER_ID", 4, 0, RO, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: ACTIVE_TRANSFER_ID_CLASS + + class STATUS_CLASS extends register_base; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + + this.initialization_done = 1; + endfunction: new + endclass: STATUS_CLASS + + class CURRENT_DEST_ADDRESS_CLASS extends register_base; + field_base CURRENT_DEST_ADDRESS_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.CURRENT_DEST_ADDRESS_F = new("CURRENT_DEST_ADDRESS", 31, 0, RO, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: CURRENT_DEST_ADDRESS_CLASS + + class CURRENT_SRC_ADDRESS_CLASS extends register_base; + field_base CURRENT_SRC_ADDRESS_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.CURRENT_SRC_ADDRESS_F = new("CURRENT_SRC_ADDRESS", 31, 0, RO, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: CURRENT_SRC_ADDRESS_CLASS + + class TRANSFER_PROGRESS_CLASS extends register_base; + field_base TRANSFER_PROGRESS_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.TRANSFER_PROGRESS_F = new("TRANSFER_PROGRESS", 31, 0, RO, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: TRANSFER_PROGRESS_CLASS + + class PARTIAL_TRANSFER_LENGTH_CLASS extends register_base; + field_base PARTIAL_LENGTH_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.PARTIAL_LENGTH_F = new("PARTIAL_LENGTH", 31, 0, RO, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: PARTIAL_TRANSFER_LENGTH_CLASS + + class PARTIAL_TRANSFER_ID_CLASS extends register_base; + field_base PARTIAL_TRANSFER_ID_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.PARTIAL_TRANSFER_ID_F = new("PARTIAL_TRANSFER_ID", 1, 0, RO, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: PARTIAL_TRANSFER_ID_CLASS + + class DESCRIPTOR_ID_CLASS extends register_base; + field_base DESCRIPTOR_ID_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.DESCRIPTOR_ID_F = new("DESCRIPTOR_ID", 31, 0, RO, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: DESCRIPTOR_ID_CLASS + + class FRAMELOCK_CONFIG_CLASS extends register_base; + field_base DISTANCE_F; + field_base FRAMENUM_F; + field_base WAIT_WRITER_F; + field_base MODE_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.DISTANCE_F = new("DISTANCE", 23, 16, RW, 'h0, this); + this.FRAMENUM_F = new("FRAMENUM", 15, 8, RW, 'h0, this); + this.WAIT_WRITER_F = new("WAIT_WRITER", 1, 1, RW, 'h0, this); + this.MODE_F = new("MODE", 0, 0, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: FRAMELOCK_CONFIG_CLASS + + class FRAMELOCK_STRIDE_CLASS extends register_base; + field_base STRIDE_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.STRIDE_F = new("STRIDE", 31, 0, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: FRAMELOCK_STRIDE_CLASS + + class SG_ADDRESS_CLASS extends register_base; + field_base SG_ADDRESS_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.SG_ADDRESS_F = new("SG_ADDRESS", 31, 0, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: SG_ADDRESS_CLASS + + class DEST_ADDRESS_HIGH_CLASS extends register_base; + field_base DEST_ADDRESS_HIGH_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.DEST_ADDRESS_HIGH_F = new("DEST_ADDRESS_HIGH", 31, 0, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: DEST_ADDRESS_HIGH_CLASS + + class SRC_ADDRESS_HIGH_CLASS extends register_base; + field_base SRC_ADDRESS_HIGH_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.SRC_ADDRESS_HIGH_F = new("SRC_ADDRESS_HIGH", 31, 0, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: SRC_ADDRESS_HIGH_CLASS + + class CURRENT_DEST_ADDRESS_HIGH_CLASS extends register_base; + field_base CURRENT_DEST_ADDRESS_HIGH_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.CURRENT_DEST_ADDRESS_HIGH_F = new("CURRENT_DEST_ADDRESS_HIGH", 31, 0, RO, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: CURRENT_DEST_ADDRESS_HIGH_CLASS + + class CURRENT_SRC_ADDRESS_HIGH_CLASS extends register_base; + field_base CURRENT_SRC_ADDRESS_HIGH_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.CURRENT_SRC_ADDRESS_HIGH_F = new("CURRENT_SRC_ADDRESS_HIGH", 31, 0, RO, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: CURRENT_SRC_ADDRESS_HIGH_CLASS + + class SG_ADDRESS_HIGH_CLASS extends register_base; + field_base SG_ADDRESS_HIGH_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.SG_ADDRESS_HIGH_F = new("SG_ADDRESS_HIGH", 31, 0, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: SG_ADDRESS_HIGH_CLASS + + VERSION_CLASS VERSION_R; + PERIPHERAL_ID_CLASS PERIPHERAL_ID_R; + SCRATCH_CLASS SCRATCH_R; + IDENTIFICATION_CLASS IDENTIFICATION_R; + INTERFACE_DESCRIPTION_1_CLASS INTERFACE_DESCRIPTION_1_R; + INTERFACE_DESCRIPTION_2_CLASS INTERFACE_DESCRIPTION_2_R; + IRQ_MASK_CLASS IRQ_MASK_R; + IRQ_PENDING_CLASS IRQ_PENDING_R; + IRQ_SOURCE_CLASS IRQ_SOURCE_R; + CONTROL_CLASS CONTROL_R; + TRANSFER_ID_CLASS TRANSFER_ID_R; + TRANSFER_SUBMIT_CLASS TRANSFER_SUBMIT_R; + FLAGS_CLASS FLAGS_R; + DEST_ADDRESS_CLASS DEST_ADDRESS_R; + SRC_ADDRESS_CLASS SRC_ADDRESS_R; + X_LENGTH_CLASS X_LENGTH_R; + Y_LENGTH_CLASS Y_LENGTH_R; + DEST_STRIDE_CLASS DEST_STRIDE_R; + SRC_STRIDE_CLASS SRC_STRIDE_R; + TRANSFER_DONE_CLASS TRANSFER_DONE_R; + ACTIVE_TRANSFER_ID_CLASS ACTIVE_TRANSFER_ID_R; + STATUS_CLASS STATUS_R; + CURRENT_DEST_ADDRESS_CLASS CURRENT_DEST_ADDRESS_R; + CURRENT_SRC_ADDRESS_CLASS CURRENT_SRC_ADDRESS_R; + TRANSFER_PROGRESS_CLASS TRANSFER_PROGRESS_R; + PARTIAL_TRANSFER_LENGTH_CLASS PARTIAL_TRANSFER_LENGTH_R; + PARTIAL_TRANSFER_ID_CLASS PARTIAL_TRANSFER_ID_R; + DESCRIPTOR_ID_CLASS DESCRIPTOR_ID_R; + FRAMELOCK_CONFIG_CLASS FRAMELOCK_CONFIG_R; + FRAMELOCK_STRIDE_CLASS FRAMELOCK_STRIDE_R; + SG_ADDRESS_CLASS SG_ADDRESS_R; + DEST_ADDRESS_HIGH_CLASS DEST_ADDRESS_HIGH_R; + SRC_ADDRESS_HIGH_CLASS SRC_ADDRESS_HIGH_R; + CURRENT_DEST_ADDRESS_HIGH_CLASS CURRENT_DEST_ADDRESS_HIGH_R; + CURRENT_SRC_ADDRESS_HIGH_CLASS CURRENT_SRC_ADDRESS_HIGH_R; + SG_ADDRESS_HIGH_CLASS SG_ADDRESS_HIGH_R; + + function new( + input string name, + input int address, + input int AUTORUN, + input int AXI_AXCACHE, + input int AXI_AXPROT, + input int BYTES_PER_BURST_WIDTH, + input int CACHE_COHERENT, + input int CYCLIC, + input int DMA_2D_TLAST_MODE, + input int DMA_DATA_WIDTH_DEST, + input int DMA_DATA_WIDTH_SRC, + input int DMA_TYPE_DEST, + input int DMA_TYPE_SRC, + input int ID, + input int MAX_NUM_FRAMES, + input int USE_EXT_SYNC, + input adi_api parent = null); + + super.new(name, address, parent); + + this.VERSION_R = new("VERSION", 'h0, this); + this.PERIPHERAL_ID_R = new("PERIPHERAL_ID", 'h4, ID, this); + this.SCRATCH_R = new("SCRATCH", 'h8, this); + this.IDENTIFICATION_R = new("IDENTIFICATION", 'hc, this); + this.INTERFACE_DESCRIPTION_1_R = new("INTERFACE_DESCRIPTION_1", 'h10, AUTORUN, BYTES_PER_BURST_WIDTH, DMA_2D_TLAST_MODE, DMA_DATA_WIDTH_DEST, DMA_DATA_WIDTH_SRC, DMA_TYPE_DEST, DMA_TYPE_SRC, MAX_NUM_FRAMES, USE_EXT_SYNC, this); + this.INTERFACE_DESCRIPTION_2_R = new("INTERFACE_DESCRIPTION_2", 'h14, AXI_AXCACHE, AXI_AXPROT, CACHE_COHERENT, this); + this.IRQ_MASK_R = new("IRQ_MASK", 'h80, this); + this.IRQ_PENDING_R = new("IRQ_PENDING", 'h84, this); + this.IRQ_SOURCE_R = new("IRQ_SOURCE", 'h88, this); + this.CONTROL_R = new("CONTROL", 'h400, this); + this.TRANSFER_ID_R = new("TRANSFER_ID", 'h404, this); + this.TRANSFER_SUBMIT_R = new("TRANSFER_SUBMIT", 'h408, this); + this.FLAGS_R = new("FLAGS", 'h40c, CYCLIC, this); + this.DEST_ADDRESS_R = new("DEST_ADDRESS", 'h410, this); + this.SRC_ADDRESS_R = new("SRC_ADDRESS", 'h414, this); + this.X_LENGTH_R = new("X_LENGTH", 'h418, DMA_DATA_WIDTH_DEST, DMA_DATA_WIDTH_SRC, this); + this.Y_LENGTH_R = new("Y_LENGTH", 'h41c, this); + this.DEST_STRIDE_R = new("DEST_STRIDE", 'h420, this); + this.SRC_STRIDE_R = new("SRC_STRIDE", 'h424, this); + this.TRANSFER_DONE_R = new("TRANSFER_DONE", 'h428, this); + this.ACTIVE_TRANSFER_ID_R = new("ACTIVE_TRANSFER_ID", 'h42c, this); + this.STATUS_R = new("STATUS", 'h430, this); + this.CURRENT_DEST_ADDRESS_R = new("CURRENT_DEST_ADDRESS", 'h434, this); + this.CURRENT_SRC_ADDRESS_R = new("CURRENT_SRC_ADDRESS", 'h438, this); + this.TRANSFER_PROGRESS_R = new("TRANSFER_PROGRESS", 'h448, this); + this.PARTIAL_TRANSFER_LENGTH_R = new("PARTIAL_TRANSFER_LENGTH", 'h44c, this); + this.PARTIAL_TRANSFER_ID_R = new("PARTIAL_TRANSFER_ID", 'h450, this); + this.DESCRIPTOR_ID_R = new("DESCRIPTOR_ID", 'h454, this); + this.FRAMELOCK_CONFIG_R = new("FRAMELOCK_CONFIG", 'h458, this); + this.FRAMELOCK_STRIDE_R = new("FRAMELOCK_STRIDE", 'h45c, this); + this.SG_ADDRESS_R = new("SG_ADDRESS", 'h47c, this); + this.DEST_ADDRESS_HIGH_R = new("DEST_ADDRESS_HIGH", 'h490, this); + this.SRC_ADDRESS_HIGH_R = new("SRC_ADDRESS_HIGH", 'h494, this); + this.CURRENT_DEST_ADDRESS_HIGH_R = new("CURRENT_DEST_ADDRESS_HIGH", 'h498, this); + this.CURRENT_SRC_ADDRESS_HIGH_R = new("CURRENT_SRC_ADDRESS_HIGH", 'h49c, this); + this.SG_ADDRESS_HIGH_R = new("SG_ADDRESS_HIGH", 'h4bc, this); + + this.info($sformatf("Initialized"), ADI_VERBOSITY_HIGH); + endfunction: new + + endclass: adi_regmap_dmac + +endpackage: adi_regmap_dmac_pkg diff --git a/library/regmaps/adi_regmap_fan_control_pkg.sv b/library/regmaps/adi_regmap_fan_control_pkg.sv index e0f39185..f49769c2 100644 --- a/library/regmaps/adi_regmap_fan_control_pkg.sv +++ b/library/regmaps/adi_regmap_fan_control_pkg.sv @@ -1,6 +1,6 @@ // *************************************************************************** // *************************************************************************** -// Copyright (C) 2014 - 2024 Analog Devices, Inc. All rights reserved. +// Copyright (C) 2014 - 2025 Analog Devices, Inc. All rights reserved. // // In this HDL repository, there are many different and unique modules, consisting // of various HDL (Verilog or VHDL) components. The individual modules are @@ -33,285 +33,646 @@ // *************************************************************************** // *************************************************************************** /* Auto generated Register Map */ -/* Thu Mar 28 13:22:23 2024 */ +/* Jan 28 13:30:16 2025 v0.3.55 */ package adi_regmap_fan_control_pkg; - import adi_regmap_pkg::*; - - -/* Fan Controller (axi_fan_control) */ - - const reg_t AXI_FAN_CONTROL_VERSION = '{ 'h0000, "VERSION" , '{ - "VERSION_MAJOR": '{ 31, 16, RO, 'h0001 }, - "VERSION_MINOR": '{ 15, 8, RO, 'h00 }, - "VERSION_PATCH": '{ 7, 0, RO, 'h61 }}}; - `define SET_AXI_FAN_CONTROL_VERSION_VERSION_MAJOR(x) SetField(AXI_FAN_CONTROL_VERSION,"VERSION_MAJOR",x) - `define GET_AXI_FAN_CONTROL_VERSION_VERSION_MAJOR(x) GetField(AXI_FAN_CONTROL_VERSION,"VERSION_MAJOR",x) - `define DEFAULT_AXI_FAN_CONTROL_VERSION_VERSION_MAJOR GetResetValue(AXI_FAN_CONTROL_VERSION,"VERSION_MAJOR") - `define UPDATE_AXI_FAN_CONTROL_VERSION_VERSION_MAJOR(x,y) UpdateField(AXI_FAN_CONTROL_VERSION,"VERSION_MAJOR",x,y) - `define SET_AXI_FAN_CONTROL_VERSION_VERSION_MINOR(x) SetField(AXI_FAN_CONTROL_VERSION,"VERSION_MINOR",x) - `define GET_AXI_FAN_CONTROL_VERSION_VERSION_MINOR(x) GetField(AXI_FAN_CONTROL_VERSION,"VERSION_MINOR",x) - `define DEFAULT_AXI_FAN_CONTROL_VERSION_VERSION_MINOR GetResetValue(AXI_FAN_CONTROL_VERSION,"VERSION_MINOR") - `define UPDATE_AXI_FAN_CONTROL_VERSION_VERSION_MINOR(x,y) UpdateField(AXI_FAN_CONTROL_VERSION,"VERSION_MINOR",x,y) - `define SET_AXI_FAN_CONTROL_VERSION_VERSION_PATCH(x) SetField(AXI_FAN_CONTROL_VERSION,"VERSION_PATCH",x) - `define GET_AXI_FAN_CONTROL_VERSION_VERSION_PATCH(x) GetField(AXI_FAN_CONTROL_VERSION,"VERSION_PATCH",x) - `define DEFAULT_AXI_FAN_CONTROL_VERSION_VERSION_PATCH GetResetValue(AXI_FAN_CONTROL_VERSION,"VERSION_PATCH") - `define UPDATE_AXI_FAN_CONTROL_VERSION_VERSION_PATCH(x,y) UpdateField(AXI_FAN_CONTROL_VERSION,"VERSION_PATCH",x,y) - - const reg_t AXI_FAN_CONTROL_PERIPHERAL_ID = '{ 'h0004, "PERIPHERAL_ID" , '{ - "PERIPHERAL_ID": '{ 31, 0, RO, 0 }}}; - `define SET_AXI_FAN_CONTROL_PERIPHERAL_ID_PERIPHERAL_ID(x) SetField(AXI_FAN_CONTROL_PERIPHERAL_ID,"PERIPHERAL_ID",x) - `define GET_AXI_FAN_CONTROL_PERIPHERAL_ID_PERIPHERAL_ID(x) GetField(AXI_FAN_CONTROL_PERIPHERAL_ID,"PERIPHERAL_ID",x) - `define DEFAULT_AXI_FAN_CONTROL_PERIPHERAL_ID_PERIPHERAL_ID GetResetValue(AXI_FAN_CONTROL_PERIPHERAL_ID,"PERIPHERAL_ID") - `define UPDATE_AXI_FAN_CONTROL_PERIPHERAL_ID_PERIPHERAL_ID(x,y) UpdateField(AXI_FAN_CONTROL_PERIPHERAL_ID,"PERIPHERAL_ID",x,y) - - const reg_t AXI_FAN_CONTROL_SCRATCH = '{ 'h0008, "SCRATCH" , '{ - "SCRATCH": '{ 31, 0, RW, 'h00000000 }}}; - `define SET_AXI_FAN_CONTROL_SCRATCH_SCRATCH(x) SetField(AXI_FAN_CONTROL_SCRATCH,"SCRATCH",x) - `define GET_AXI_FAN_CONTROL_SCRATCH_SCRATCH(x) GetField(AXI_FAN_CONTROL_SCRATCH,"SCRATCH",x) - `define DEFAULT_AXI_FAN_CONTROL_SCRATCH_SCRATCH GetResetValue(AXI_FAN_CONTROL_SCRATCH,"SCRATCH") - `define UPDATE_AXI_FAN_CONTROL_SCRATCH_SCRATCH(x,y) UpdateField(AXI_FAN_CONTROL_SCRATCH,"SCRATCH",x,y) - - const reg_t AXI_FAN_CONTROL_IDENTIFICATION = '{ 'h000c, "IDENTIFICATION" , '{ - "IDENTIFICATION": '{ 31, 0, RO, 'h46414E43 }}}; - `define SET_AXI_FAN_CONTROL_IDENTIFICATION_IDENTIFICATION(x) SetField(AXI_FAN_CONTROL_IDENTIFICATION,"IDENTIFICATION",x) - `define GET_AXI_FAN_CONTROL_IDENTIFICATION_IDENTIFICATION(x) GetField(AXI_FAN_CONTROL_IDENTIFICATION,"IDENTIFICATION",x) - `define DEFAULT_AXI_FAN_CONTROL_IDENTIFICATION_IDENTIFICATION GetResetValue(AXI_FAN_CONTROL_IDENTIFICATION,"IDENTIFICATION") - `define UPDATE_AXI_FAN_CONTROL_IDENTIFICATION_IDENTIFICATION(x,y) UpdateField(AXI_FAN_CONTROL_IDENTIFICATION,"IDENTIFICATION",x,y) - - const reg_t AXI_FAN_CONTROL_IRQ_MASK = '{ 'h0040, "IRQ_MASK" , '{ - "NEW_TACHO_MEASUREMENT": '{ 3, 3, RW, 'h1 }, - "TEMP_INCREASE": '{ 2, 2, RW, 'h1 }, - "TACHO_ERR": '{ 1, 1, RW, 'h1 }, - "PWM_CHANGED": '{ 0, 0, RW, 'h1 }}}; - `define SET_AXI_FAN_CONTROL_IRQ_MASK_NEW_TACHO_MEASUREMENT(x) SetField(AXI_FAN_CONTROL_IRQ_MASK,"NEW_TACHO_MEASUREMENT",x) - `define GET_AXI_FAN_CONTROL_IRQ_MASK_NEW_TACHO_MEASUREMENT(x) GetField(AXI_FAN_CONTROL_IRQ_MASK,"NEW_TACHO_MEASUREMENT",x) - `define DEFAULT_AXI_FAN_CONTROL_IRQ_MASK_NEW_TACHO_MEASUREMENT GetResetValue(AXI_FAN_CONTROL_IRQ_MASK,"NEW_TACHO_MEASUREMENT") - `define UPDATE_AXI_FAN_CONTROL_IRQ_MASK_NEW_TACHO_MEASUREMENT(x,y) UpdateField(AXI_FAN_CONTROL_IRQ_MASK,"NEW_TACHO_MEASUREMENT",x,y) - `define SET_AXI_FAN_CONTROL_IRQ_MASK_TEMP_INCREASE(x) SetField(AXI_FAN_CONTROL_IRQ_MASK,"TEMP_INCREASE",x) - `define GET_AXI_FAN_CONTROL_IRQ_MASK_TEMP_INCREASE(x) GetField(AXI_FAN_CONTROL_IRQ_MASK,"TEMP_INCREASE",x) - `define DEFAULT_AXI_FAN_CONTROL_IRQ_MASK_TEMP_INCREASE GetResetValue(AXI_FAN_CONTROL_IRQ_MASK,"TEMP_INCREASE") - `define UPDATE_AXI_FAN_CONTROL_IRQ_MASK_TEMP_INCREASE(x,y) UpdateField(AXI_FAN_CONTROL_IRQ_MASK,"TEMP_INCREASE",x,y) - `define SET_AXI_FAN_CONTROL_IRQ_MASK_TACHO_ERR(x) SetField(AXI_FAN_CONTROL_IRQ_MASK,"TACHO_ERR",x) - `define GET_AXI_FAN_CONTROL_IRQ_MASK_TACHO_ERR(x) GetField(AXI_FAN_CONTROL_IRQ_MASK,"TACHO_ERR",x) - `define DEFAULT_AXI_FAN_CONTROL_IRQ_MASK_TACHO_ERR GetResetValue(AXI_FAN_CONTROL_IRQ_MASK,"TACHO_ERR") - `define UPDATE_AXI_FAN_CONTROL_IRQ_MASK_TACHO_ERR(x,y) UpdateField(AXI_FAN_CONTROL_IRQ_MASK,"TACHO_ERR",x,y) - `define SET_AXI_FAN_CONTROL_IRQ_MASK_PWM_CHANGED(x) SetField(AXI_FAN_CONTROL_IRQ_MASK,"PWM_CHANGED",x) - `define GET_AXI_FAN_CONTROL_IRQ_MASK_PWM_CHANGED(x) GetField(AXI_FAN_CONTROL_IRQ_MASK,"PWM_CHANGED",x) - `define DEFAULT_AXI_FAN_CONTROL_IRQ_MASK_PWM_CHANGED GetResetValue(AXI_FAN_CONTROL_IRQ_MASK,"PWM_CHANGED") - `define UPDATE_AXI_FAN_CONTROL_IRQ_MASK_PWM_CHANGED(x,y) UpdateField(AXI_FAN_CONTROL_IRQ_MASK,"PWM_CHANGED",x,y) - - const reg_t AXI_FAN_CONTROL_IRQ_PENDING = '{ 'h0044, "IRQ_PENDING" , '{ - "NEW_TACHO_MEASUREMENT": '{ 3, 3, RW1C, 'h0 }, - "TEMP_INCREASE": '{ 2, 2, RW1C, 'h0 }, - "TACHO_ERR": '{ 1, 1, RW1C, 'h0 }, - "PWM_CHANGED": '{ 0, 0, RW1C, 'h0 }}}; - `define SET_AXI_FAN_CONTROL_IRQ_PENDING_NEW_TACHO_MEASUREMENT(x) SetField(AXI_FAN_CONTROL_IRQ_PENDING,"NEW_TACHO_MEASUREMENT",x) - `define GET_AXI_FAN_CONTROL_IRQ_PENDING_NEW_TACHO_MEASUREMENT(x) GetField(AXI_FAN_CONTROL_IRQ_PENDING,"NEW_TACHO_MEASUREMENT",x) - `define DEFAULT_AXI_FAN_CONTROL_IRQ_PENDING_NEW_TACHO_MEASUREMENT GetResetValue(AXI_FAN_CONTROL_IRQ_PENDING,"NEW_TACHO_MEASUREMENT") - `define UPDATE_AXI_FAN_CONTROL_IRQ_PENDING_NEW_TACHO_MEASUREMENT(x,y) UpdateField(AXI_FAN_CONTROL_IRQ_PENDING,"NEW_TACHO_MEASUREMENT",x,y) - `define SET_AXI_FAN_CONTROL_IRQ_PENDING_TEMP_INCREASE(x) SetField(AXI_FAN_CONTROL_IRQ_PENDING,"TEMP_INCREASE",x) - `define GET_AXI_FAN_CONTROL_IRQ_PENDING_TEMP_INCREASE(x) GetField(AXI_FAN_CONTROL_IRQ_PENDING,"TEMP_INCREASE",x) - `define DEFAULT_AXI_FAN_CONTROL_IRQ_PENDING_TEMP_INCREASE GetResetValue(AXI_FAN_CONTROL_IRQ_PENDING,"TEMP_INCREASE") - `define UPDATE_AXI_FAN_CONTROL_IRQ_PENDING_TEMP_INCREASE(x,y) UpdateField(AXI_FAN_CONTROL_IRQ_PENDING,"TEMP_INCREASE",x,y) - `define SET_AXI_FAN_CONTROL_IRQ_PENDING_TACHO_ERR(x) SetField(AXI_FAN_CONTROL_IRQ_PENDING,"TACHO_ERR",x) - `define GET_AXI_FAN_CONTROL_IRQ_PENDING_TACHO_ERR(x) GetField(AXI_FAN_CONTROL_IRQ_PENDING,"TACHO_ERR",x) - `define DEFAULT_AXI_FAN_CONTROL_IRQ_PENDING_TACHO_ERR GetResetValue(AXI_FAN_CONTROL_IRQ_PENDING,"TACHO_ERR") - `define UPDATE_AXI_FAN_CONTROL_IRQ_PENDING_TACHO_ERR(x,y) UpdateField(AXI_FAN_CONTROL_IRQ_PENDING,"TACHO_ERR",x,y) - `define SET_AXI_FAN_CONTROL_IRQ_PENDING_PWM_CHANGED(x) SetField(AXI_FAN_CONTROL_IRQ_PENDING,"PWM_CHANGED",x) - `define GET_AXI_FAN_CONTROL_IRQ_PENDING_PWM_CHANGED(x) GetField(AXI_FAN_CONTROL_IRQ_PENDING,"PWM_CHANGED",x) - `define DEFAULT_AXI_FAN_CONTROL_IRQ_PENDING_PWM_CHANGED GetResetValue(AXI_FAN_CONTROL_IRQ_PENDING,"PWM_CHANGED") - `define UPDATE_AXI_FAN_CONTROL_IRQ_PENDING_PWM_CHANGED(x,y) UpdateField(AXI_FAN_CONTROL_IRQ_PENDING,"PWM_CHANGED",x,y) - - const reg_t AXI_FAN_CONTROL_IRQ_SOURCE = '{ 'h0048, "IRQ_SOURCE" , '{ - "NEW_TACHO_MEASUREMENT": '{ 3, 3, RO, 'h0 }, - "TEMP_INCREASE": '{ 2, 2, RO, 'h0 }, - "TACHO_ERR": '{ 1, 1, RO, 'h0 }, - "PWM_CHANGED": '{ 0, 0, RO, 'h0 }}}; - `define SET_AXI_FAN_CONTROL_IRQ_SOURCE_NEW_TACHO_MEASUREMENT(x) SetField(AXI_FAN_CONTROL_IRQ_SOURCE,"NEW_TACHO_MEASUREMENT",x) - `define GET_AXI_FAN_CONTROL_IRQ_SOURCE_NEW_TACHO_MEASUREMENT(x) GetField(AXI_FAN_CONTROL_IRQ_SOURCE,"NEW_TACHO_MEASUREMENT",x) - `define DEFAULT_AXI_FAN_CONTROL_IRQ_SOURCE_NEW_TACHO_MEASUREMENT GetResetValue(AXI_FAN_CONTROL_IRQ_SOURCE,"NEW_TACHO_MEASUREMENT") - `define UPDATE_AXI_FAN_CONTROL_IRQ_SOURCE_NEW_TACHO_MEASUREMENT(x,y) UpdateField(AXI_FAN_CONTROL_IRQ_SOURCE,"NEW_TACHO_MEASUREMENT",x,y) - `define SET_AXI_FAN_CONTROL_IRQ_SOURCE_TEMP_INCREASE(x) SetField(AXI_FAN_CONTROL_IRQ_SOURCE,"TEMP_INCREASE",x) - `define GET_AXI_FAN_CONTROL_IRQ_SOURCE_TEMP_INCREASE(x) GetField(AXI_FAN_CONTROL_IRQ_SOURCE,"TEMP_INCREASE",x) - `define DEFAULT_AXI_FAN_CONTROL_IRQ_SOURCE_TEMP_INCREASE GetResetValue(AXI_FAN_CONTROL_IRQ_SOURCE,"TEMP_INCREASE") - `define UPDATE_AXI_FAN_CONTROL_IRQ_SOURCE_TEMP_INCREASE(x,y) UpdateField(AXI_FAN_CONTROL_IRQ_SOURCE,"TEMP_INCREASE",x,y) - `define SET_AXI_FAN_CONTROL_IRQ_SOURCE_TACHO_ERR(x) SetField(AXI_FAN_CONTROL_IRQ_SOURCE,"TACHO_ERR",x) - `define GET_AXI_FAN_CONTROL_IRQ_SOURCE_TACHO_ERR(x) GetField(AXI_FAN_CONTROL_IRQ_SOURCE,"TACHO_ERR",x) - `define DEFAULT_AXI_FAN_CONTROL_IRQ_SOURCE_TACHO_ERR GetResetValue(AXI_FAN_CONTROL_IRQ_SOURCE,"TACHO_ERR") - `define UPDATE_AXI_FAN_CONTROL_IRQ_SOURCE_TACHO_ERR(x,y) UpdateField(AXI_FAN_CONTROL_IRQ_SOURCE,"TACHO_ERR",x,y) - `define SET_AXI_FAN_CONTROL_IRQ_SOURCE_PWM_CHANGED(x) SetField(AXI_FAN_CONTROL_IRQ_SOURCE,"PWM_CHANGED",x) - `define GET_AXI_FAN_CONTROL_IRQ_SOURCE_PWM_CHANGED(x) GetField(AXI_FAN_CONTROL_IRQ_SOURCE,"PWM_CHANGED",x) - `define DEFAULT_AXI_FAN_CONTROL_IRQ_SOURCE_PWM_CHANGED GetResetValue(AXI_FAN_CONTROL_IRQ_SOURCE,"PWM_CHANGED") - `define UPDATE_AXI_FAN_CONTROL_IRQ_SOURCE_PWM_CHANGED(x,y) UpdateField(AXI_FAN_CONTROL_IRQ_SOURCE,"PWM_CHANGED",x,y) - - const reg_t AXI_FAN_CONTROL_REG_RSTN = '{ 'h0080, "REG_RSTN" , '{ - "RSTN": '{ 0, 0, RW, 'h0 }}}; - `define SET_AXI_FAN_CONTROL_REG_RSTN_RSTN(x) SetField(AXI_FAN_CONTROL_REG_RSTN,"RSTN",x) - `define GET_AXI_FAN_CONTROL_REG_RSTN_RSTN(x) GetField(AXI_FAN_CONTROL_REG_RSTN,"RSTN",x) - `define DEFAULT_AXI_FAN_CONTROL_REG_RSTN_RSTN GetResetValue(AXI_FAN_CONTROL_REG_RSTN,"RSTN") - `define UPDATE_AXI_FAN_CONTROL_REG_RSTN_RSTN(x,y) UpdateField(AXI_FAN_CONTROL_REG_RSTN,"RSTN",x,y) - - const reg_t AXI_FAN_CONTROL_PWM_WIDTH = '{ 'h0084, "PWM_WIDTH" , '{ - "PWM_WIDTH": '{ 31, 0, RW, 0 }}}; - `define SET_AXI_FAN_CONTROL_PWM_WIDTH_PWM_WIDTH(x) SetField(AXI_FAN_CONTROL_PWM_WIDTH,"PWM_WIDTH",x) - `define GET_AXI_FAN_CONTROL_PWM_WIDTH_PWM_WIDTH(x) GetField(AXI_FAN_CONTROL_PWM_WIDTH,"PWM_WIDTH",x) - `define DEFAULT_AXI_FAN_CONTROL_PWM_WIDTH_PWM_WIDTH GetResetValue(AXI_FAN_CONTROL_PWM_WIDTH,"PWM_WIDTH") - `define UPDATE_AXI_FAN_CONTROL_PWM_WIDTH_PWM_WIDTH(x,y) UpdateField(AXI_FAN_CONTROL_PWM_WIDTH,"PWM_WIDTH",x,y) - - const reg_t AXI_FAN_CONTROL_TACHO_PERIOD = '{ 'h0088, "TACHO_PERIOD" , '{ - "TACHO_PERIOD": '{ 31, 0, RW, 'h00000000 }}}; - `define SET_AXI_FAN_CONTROL_TACHO_PERIOD_TACHO_PERIOD(x) SetField(AXI_FAN_CONTROL_TACHO_PERIOD,"TACHO_PERIOD",x) - `define GET_AXI_FAN_CONTROL_TACHO_PERIOD_TACHO_PERIOD(x) GetField(AXI_FAN_CONTROL_TACHO_PERIOD,"TACHO_PERIOD",x) - `define DEFAULT_AXI_FAN_CONTROL_TACHO_PERIOD_TACHO_PERIOD GetResetValue(AXI_FAN_CONTROL_TACHO_PERIOD,"TACHO_PERIOD") - `define UPDATE_AXI_FAN_CONTROL_TACHO_PERIOD_TACHO_PERIOD(x,y) UpdateField(AXI_FAN_CONTROL_TACHO_PERIOD,"TACHO_PERIOD",x,y) - - const reg_t AXI_FAN_CONTROL_TACHO_TOLERANCE = '{ 'h008c, "TACHO_TOLERANCE" , '{ - "TACHO_TOLERANCE": '{ 31, 0, RW, 'h00000000 }}}; - `define SET_AXI_FAN_CONTROL_TACHO_TOLERANCE_TACHO_TOLERANCE(x) SetField(AXI_FAN_CONTROL_TACHO_TOLERANCE,"TACHO_TOLERANCE",x) - `define GET_AXI_FAN_CONTROL_TACHO_TOLERANCE_TACHO_TOLERANCE(x) GetField(AXI_FAN_CONTROL_TACHO_TOLERANCE,"TACHO_TOLERANCE",x) - `define DEFAULT_AXI_FAN_CONTROL_TACHO_TOLERANCE_TACHO_TOLERANCE GetResetValue(AXI_FAN_CONTROL_TACHO_TOLERANCE,"TACHO_TOLERANCE") - `define UPDATE_AXI_FAN_CONTROL_TACHO_TOLERANCE_TACHO_TOLERANCE(x,y) UpdateField(AXI_FAN_CONTROL_TACHO_TOLERANCE,"TACHO_TOLERANCE",x,y) - - const reg_t AXI_FAN_CONTROL_TEMP_DATA_SOURCE = '{ 'h0090, "TEMP_DATA_SOURCE" , '{ - "TEMP_DATA_SOURCE": '{ 31, 0, RO, 0 }}}; - `define SET_AXI_FAN_CONTROL_TEMP_DATA_SOURCE_TEMP_DATA_SOURCE(x) SetField(AXI_FAN_CONTROL_TEMP_DATA_SOURCE,"TEMP_DATA_SOURCE",x) - `define GET_AXI_FAN_CONTROL_TEMP_DATA_SOURCE_TEMP_DATA_SOURCE(x) GetField(AXI_FAN_CONTROL_TEMP_DATA_SOURCE,"TEMP_DATA_SOURCE",x) - `define DEFAULT_AXI_FAN_CONTROL_TEMP_DATA_SOURCE_TEMP_DATA_SOURCE GetResetValue(AXI_FAN_CONTROL_TEMP_DATA_SOURCE,"TEMP_DATA_SOURCE") - `define UPDATE_AXI_FAN_CONTROL_TEMP_DATA_SOURCE_TEMP_DATA_SOURCE(x,y) UpdateField(AXI_FAN_CONTROL_TEMP_DATA_SOURCE,"TEMP_DATA_SOURCE",x,y) - - const reg_t AXI_FAN_CONTROL_PWM_PERIOD = '{ 'h00c0, "PWM_PERIOD" , '{ - "PWM_PERIOD": '{ 31, 0, RO, 'h4E20 }}}; - `define SET_AXI_FAN_CONTROL_PWM_PERIOD_PWM_PERIOD(x) SetField(AXI_FAN_CONTROL_PWM_PERIOD,"PWM_PERIOD",x) - `define GET_AXI_FAN_CONTROL_PWM_PERIOD_PWM_PERIOD(x) GetField(AXI_FAN_CONTROL_PWM_PERIOD,"PWM_PERIOD",x) - `define DEFAULT_AXI_FAN_CONTROL_PWM_PERIOD_PWM_PERIOD GetResetValue(AXI_FAN_CONTROL_PWM_PERIOD,"PWM_PERIOD") - `define UPDATE_AXI_FAN_CONTROL_PWM_PERIOD_PWM_PERIOD(x,y) UpdateField(AXI_FAN_CONTROL_PWM_PERIOD,"PWM_PERIOD",x,y) - - const reg_t AXI_FAN_CONTROL_TACHO_MEASUREMENT = '{ 'h00c4, "TACHO_MEASUREMENT" , '{ - "TACHO_MEASUREMENT": '{ 31, 0, RO, 'h00000000 }}}; - `define SET_AXI_FAN_CONTROL_TACHO_MEASUREMENT_TACHO_MEASUREMENT(x) SetField(AXI_FAN_CONTROL_TACHO_MEASUREMENT,"TACHO_MEASUREMENT",x) - `define GET_AXI_FAN_CONTROL_TACHO_MEASUREMENT_TACHO_MEASUREMENT(x) GetField(AXI_FAN_CONTROL_TACHO_MEASUREMENT,"TACHO_MEASUREMENT",x) - `define DEFAULT_AXI_FAN_CONTROL_TACHO_MEASUREMENT_TACHO_MEASUREMENT GetResetValue(AXI_FAN_CONTROL_TACHO_MEASUREMENT,"TACHO_MEASUREMENT") - `define UPDATE_AXI_FAN_CONTROL_TACHO_MEASUREMENT_TACHO_MEASUREMENT(x,y) UpdateField(AXI_FAN_CONTROL_TACHO_MEASUREMENT,"TACHO_MEASUREMENT",x,y) - - const reg_t AXI_FAN_CONTROL_TEMPERATURE = '{ 'h00c8, "TEMPERATURE" , '{ - "TEMPERATURE": '{ 31, 0, RO, 'h00000000 }}}; - `define SET_AXI_FAN_CONTROL_TEMPERATURE_TEMPERATURE(x) SetField(AXI_FAN_CONTROL_TEMPERATURE,"TEMPERATURE",x) - `define GET_AXI_FAN_CONTROL_TEMPERATURE_TEMPERATURE(x) GetField(AXI_FAN_CONTROL_TEMPERATURE,"TEMPERATURE",x) - `define DEFAULT_AXI_FAN_CONTROL_TEMPERATURE_TEMPERATURE GetResetValue(AXI_FAN_CONTROL_TEMPERATURE,"TEMPERATURE") - `define UPDATE_AXI_FAN_CONTROL_TEMPERATURE_TEMPERATURE(x,y) UpdateField(AXI_FAN_CONTROL_TEMPERATURE,"TEMPERATURE",x,y) - - const reg_t AXI_FAN_CONTROL_TEMP_00_H = '{ 'h0100, "TEMP_00_H" , '{ - "TEMP_00_H": '{ 31, 0, RW, 0 }}}; - `define SET_AXI_FAN_CONTROL_TEMP_00_H_TEMP_00_H(x) SetField(AXI_FAN_CONTROL_TEMP_00_H,"TEMP_00_H",x) - `define GET_AXI_FAN_CONTROL_TEMP_00_H_TEMP_00_H(x) GetField(AXI_FAN_CONTROL_TEMP_00_H,"TEMP_00_H",x) - `define DEFAULT_AXI_FAN_CONTROL_TEMP_00_H_TEMP_00_H GetResetValue(AXI_FAN_CONTROL_TEMP_00_H,"TEMP_00_H") - `define UPDATE_AXI_FAN_CONTROL_TEMP_00_H_TEMP_00_H(x,y) UpdateField(AXI_FAN_CONTROL_TEMP_00_H,"TEMP_00_H",x,y) - - const reg_t AXI_FAN_CONTROL_TEMP_25_L = '{ 'h0104, "TEMP_25_L" , '{ - "TEMP_25_L": '{ 31, 0, RW, 0 }}}; - `define SET_AXI_FAN_CONTROL_TEMP_25_L_TEMP_25_L(x) SetField(AXI_FAN_CONTROL_TEMP_25_L,"TEMP_25_L",x) - `define GET_AXI_FAN_CONTROL_TEMP_25_L_TEMP_25_L(x) GetField(AXI_FAN_CONTROL_TEMP_25_L,"TEMP_25_L",x) - `define DEFAULT_AXI_FAN_CONTROL_TEMP_25_L_TEMP_25_L GetResetValue(AXI_FAN_CONTROL_TEMP_25_L,"TEMP_25_L") - `define UPDATE_AXI_FAN_CONTROL_TEMP_25_L_TEMP_25_L(x,y) UpdateField(AXI_FAN_CONTROL_TEMP_25_L,"TEMP_25_L",x,y) - - const reg_t AXI_FAN_CONTROL_TEMP_25_H = '{ 'h0108, "TEMP_25_H" , '{ - "TEMP_25_H": '{ 31, 0, RW, 0 }}}; - `define SET_AXI_FAN_CONTROL_TEMP_25_H_TEMP_25_H(x) SetField(AXI_FAN_CONTROL_TEMP_25_H,"TEMP_25_H",x) - `define GET_AXI_FAN_CONTROL_TEMP_25_H_TEMP_25_H(x) GetField(AXI_FAN_CONTROL_TEMP_25_H,"TEMP_25_H",x) - `define DEFAULT_AXI_FAN_CONTROL_TEMP_25_H_TEMP_25_H GetResetValue(AXI_FAN_CONTROL_TEMP_25_H,"TEMP_25_H") - `define UPDATE_AXI_FAN_CONTROL_TEMP_25_H_TEMP_25_H(x,y) UpdateField(AXI_FAN_CONTROL_TEMP_25_H,"TEMP_25_H",x,y) - - const reg_t AXI_FAN_CONTROL_TEMP_50_L = '{ 'h010c, "TEMP_50_L" , '{ - "TEMP_50_L": '{ 31, 0, RW, 0 }}}; - `define SET_AXI_FAN_CONTROL_TEMP_50_L_TEMP_50_L(x) SetField(AXI_FAN_CONTROL_TEMP_50_L,"TEMP_50_L",x) - `define GET_AXI_FAN_CONTROL_TEMP_50_L_TEMP_50_L(x) GetField(AXI_FAN_CONTROL_TEMP_50_L,"TEMP_50_L",x) - `define DEFAULT_AXI_FAN_CONTROL_TEMP_50_L_TEMP_50_L GetResetValue(AXI_FAN_CONTROL_TEMP_50_L,"TEMP_50_L") - `define UPDATE_AXI_FAN_CONTROL_TEMP_50_L_TEMP_50_L(x,y) UpdateField(AXI_FAN_CONTROL_TEMP_50_L,"TEMP_50_L",x,y) - - const reg_t AXI_FAN_CONTROL_TEMP_50_H = '{ 'h0110, "TEMP_50_H" , '{ - "TEMP_50_H": '{ 31, 0, RW, 0 }}}; - `define SET_AXI_FAN_CONTROL_TEMP_50_H_TEMP_50_H(x) SetField(AXI_FAN_CONTROL_TEMP_50_H,"TEMP_50_H",x) - `define GET_AXI_FAN_CONTROL_TEMP_50_H_TEMP_50_H(x) GetField(AXI_FAN_CONTROL_TEMP_50_H,"TEMP_50_H",x) - `define DEFAULT_AXI_FAN_CONTROL_TEMP_50_H_TEMP_50_H GetResetValue(AXI_FAN_CONTROL_TEMP_50_H,"TEMP_50_H") - `define UPDATE_AXI_FAN_CONTROL_TEMP_50_H_TEMP_50_H(x,y) UpdateField(AXI_FAN_CONTROL_TEMP_50_H,"TEMP_50_H",x,y) - - const reg_t AXI_FAN_CONTROL_TEMP_75_L = '{ 'h0114, "TEMP_75_L" , '{ - "TEMP_75_L": '{ 31, 0, RW, 0 }}}; - `define SET_AXI_FAN_CONTROL_TEMP_75_L_TEMP_75_L(x) SetField(AXI_FAN_CONTROL_TEMP_75_L,"TEMP_75_L",x) - `define GET_AXI_FAN_CONTROL_TEMP_75_L_TEMP_75_L(x) GetField(AXI_FAN_CONTROL_TEMP_75_L,"TEMP_75_L",x) - `define DEFAULT_AXI_FAN_CONTROL_TEMP_75_L_TEMP_75_L GetResetValue(AXI_FAN_CONTROL_TEMP_75_L,"TEMP_75_L") - `define UPDATE_AXI_FAN_CONTROL_TEMP_75_L_TEMP_75_L(x,y) UpdateField(AXI_FAN_CONTROL_TEMP_75_L,"TEMP_75_L",x,y) - - const reg_t AXI_FAN_CONTROL_TEMP_75_H = '{ 'h0118, "TEMP_75_H" , '{ - "TEMP_75_H": '{ 31, 0, RW, 0 }}}; - `define SET_AXI_FAN_CONTROL_TEMP_75_H_TEMP_75_H(x) SetField(AXI_FAN_CONTROL_TEMP_75_H,"TEMP_75_H",x) - `define GET_AXI_FAN_CONTROL_TEMP_75_H_TEMP_75_H(x) GetField(AXI_FAN_CONTROL_TEMP_75_H,"TEMP_75_H",x) - `define DEFAULT_AXI_FAN_CONTROL_TEMP_75_H_TEMP_75_H GetResetValue(AXI_FAN_CONTROL_TEMP_75_H,"TEMP_75_H") - `define UPDATE_AXI_FAN_CONTROL_TEMP_75_H_TEMP_75_H(x,y) UpdateField(AXI_FAN_CONTROL_TEMP_75_H,"TEMP_75_H",x,y) - - const reg_t AXI_FAN_CONTROL_TEMP_100_L = '{ 'h011c, "TEMP_100_L" , '{ - "TEMP_100_L": '{ 31, 0, RW, 0 }}}; - `define SET_AXI_FAN_CONTROL_TEMP_100_L_TEMP_100_L(x) SetField(AXI_FAN_CONTROL_TEMP_100_L,"TEMP_100_L",x) - `define GET_AXI_FAN_CONTROL_TEMP_100_L_TEMP_100_L(x) GetField(AXI_FAN_CONTROL_TEMP_100_L,"TEMP_100_L",x) - `define DEFAULT_AXI_FAN_CONTROL_TEMP_100_L_TEMP_100_L GetResetValue(AXI_FAN_CONTROL_TEMP_100_L,"TEMP_100_L") - `define UPDATE_AXI_FAN_CONTROL_TEMP_100_L_TEMP_100_L(x,y) UpdateField(AXI_FAN_CONTROL_TEMP_100_L,"TEMP_100_L",x,y) - - const reg_t AXI_FAN_CONTROL_TACHO_25 = '{ 'h0140, "TACHO_25" , '{ - "TACHO_25": '{ 31, 0, RW, 0 }}}; - `define SET_AXI_FAN_CONTROL_TACHO_25_TACHO_25(x) SetField(AXI_FAN_CONTROL_TACHO_25,"TACHO_25",x) - `define GET_AXI_FAN_CONTROL_TACHO_25_TACHO_25(x) GetField(AXI_FAN_CONTROL_TACHO_25,"TACHO_25",x) - `define DEFAULT_AXI_FAN_CONTROL_TACHO_25_TACHO_25 GetResetValue(AXI_FAN_CONTROL_TACHO_25,"TACHO_25") - `define UPDATE_AXI_FAN_CONTROL_TACHO_25_TACHO_25(x,y) UpdateField(AXI_FAN_CONTROL_TACHO_25,"TACHO_25",x,y) - - const reg_t AXI_FAN_CONTROL_TACHO_50 = '{ 'h0144, "TACHO_50" , '{ - "TACHO_50": '{ 31, 0, RW, 0 }}}; - `define SET_AXI_FAN_CONTROL_TACHO_50_TACHO_50(x) SetField(AXI_FAN_CONTROL_TACHO_50,"TACHO_50",x) - `define GET_AXI_FAN_CONTROL_TACHO_50_TACHO_50(x) GetField(AXI_FAN_CONTROL_TACHO_50,"TACHO_50",x) - `define DEFAULT_AXI_FAN_CONTROL_TACHO_50_TACHO_50 GetResetValue(AXI_FAN_CONTROL_TACHO_50,"TACHO_50") - `define UPDATE_AXI_FAN_CONTROL_TACHO_50_TACHO_50(x,y) UpdateField(AXI_FAN_CONTROL_TACHO_50,"TACHO_50",x,y) - - const reg_t AXI_FAN_CONTROL_TACHO_75 = '{ 'h0148, "TACHO_75" , '{ - "TACHO_75": '{ 31, 0, RW, 0 }}}; - `define SET_AXI_FAN_CONTROL_TACHO_75_TACHO_75(x) SetField(AXI_FAN_CONTROL_TACHO_75,"TACHO_75",x) - `define GET_AXI_FAN_CONTROL_TACHO_75_TACHO_75(x) GetField(AXI_FAN_CONTROL_TACHO_75,"TACHO_75",x) - `define DEFAULT_AXI_FAN_CONTROL_TACHO_75_TACHO_75 GetResetValue(AXI_FAN_CONTROL_TACHO_75,"TACHO_75") - `define UPDATE_AXI_FAN_CONTROL_TACHO_75_TACHO_75(x,y) UpdateField(AXI_FAN_CONTROL_TACHO_75,"TACHO_75",x,y) - - const reg_t AXI_FAN_CONTROL_TACHO_100 = '{ 'h014c, "TACHO_100" , '{ - "TACHO_100": '{ 31, 0, RW, 0 }}}; - `define SET_AXI_FAN_CONTROL_TACHO_100_TACHO_100(x) SetField(AXI_FAN_CONTROL_TACHO_100,"TACHO_100",x) - `define GET_AXI_FAN_CONTROL_TACHO_100_TACHO_100(x) GetField(AXI_FAN_CONTROL_TACHO_100,"TACHO_100",x) - `define DEFAULT_AXI_FAN_CONTROL_TACHO_100_TACHO_100 GetResetValue(AXI_FAN_CONTROL_TACHO_100,"TACHO_100") - `define UPDATE_AXI_FAN_CONTROL_TACHO_100_TACHO_100(x,y) UpdateField(AXI_FAN_CONTROL_TACHO_100,"TACHO_100",x,y) - - const reg_t AXI_FAN_CONTROL_TACHO_25_TOL = '{ 'h0150, "TACHO_25_TOL" , '{ - "TACHO_25_TOL": '{ 31, 0, RW, 0 }}}; - `define SET_AXI_FAN_CONTROL_TACHO_25_TOL_TACHO_25_TOL(x) SetField(AXI_FAN_CONTROL_TACHO_25_TOL,"TACHO_25_TOL",x) - `define GET_AXI_FAN_CONTROL_TACHO_25_TOL_TACHO_25_TOL(x) GetField(AXI_FAN_CONTROL_TACHO_25_TOL,"TACHO_25_TOL",x) - `define DEFAULT_AXI_FAN_CONTROL_TACHO_25_TOL_TACHO_25_TOL GetResetValue(AXI_FAN_CONTROL_TACHO_25_TOL,"TACHO_25_TOL") - `define UPDATE_AXI_FAN_CONTROL_TACHO_25_TOL_TACHO_25_TOL(x,y) UpdateField(AXI_FAN_CONTROL_TACHO_25_TOL,"TACHO_25_TOL",x,y) - - const reg_t AXI_FAN_CONTROL_TACHO_50_TOL = '{ 'h0154, "TACHO_50_TOL" , '{ - "TACHO_50_TOL": '{ 31, 0, RW, 0 }}}; - `define SET_AXI_FAN_CONTROL_TACHO_50_TOL_TACHO_50_TOL(x) SetField(AXI_FAN_CONTROL_TACHO_50_TOL,"TACHO_50_TOL",x) - `define GET_AXI_FAN_CONTROL_TACHO_50_TOL_TACHO_50_TOL(x) GetField(AXI_FAN_CONTROL_TACHO_50_TOL,"TACHO_50_TOL",x) - `define DEFAULT_AXI_FAN_CONTROL_TACHO_50_TOL_TACHO_50_TOL GetResetValue(AXI_FAN_CONTROL_TACHO_50_TOL,"TACHO_50_TOL") - `define UPDATE_AXI_FAN_CONTROL_TACHO_50_TOL_TACHO_50_TOL(x,y) UpdateField(AXI_FAN_CONTROL_TACHO_50_TOL,"TACHO_50_TOL",x,y) - - const reg_t AXI_FAN_CONTROL_TACHO_75_TOL = '{ 'h0158, "TACHO_75_TOL" , '{ - "TACHO_75_TOL": '{ 31, 0, RW, 0 }}}; - `define SET_AXI_FAN_CONTROL_TACHO_75_TOL_TACHO_75_TOL(x) SetField(AXI_FAN_CONTROL_TACHO_75_TOL,"TACHO_75_TOL",x) - `define GET_AXI_FAN_CONTROL_TACHO_75_TOL_TACHO_75_TOL(x) GetField(AXI_FAN_CONTROL_TACHO_75_TOL,"TACHO_75_TOL",x) - `define DEFAULT_AXI_FAN_CONTROL_TACHO_75_TOL_TACHO_75_TOL GetResetValue(AXI_FAN_CONTROL_TACHO_75_TOL,"TACHO_75_TOL") - `define UPDATE_AXI_FAN_CONTROL_TACHO_75_TOL_TACHO_75_TOL(x,y) UpdateField(AXI_FAN_CONTROL_TACHO_75_TOL,"TACHO_75_TOL",x,y) - - const reg_t AXI_FAN_CONTROL_TACHO_100_TOL = '{ 'h015c, "TACHO_100_TOL" , '{ - "TACHO_100_TOL": '{ 31, 0, RW, 0 }}}; - `define SET_AXI_FAN_CONTROL_TACHO_100_TOL_TACHO_100_TOL(x) SetField(AXI_FAN_CONTROL_TACHO_100_TOL,"TACHO_100_TOL",x) - `define GET_AXI_FAN_CONTROL_TACHO_100_TOL_TACHO_100_TOL(x) GetField(AXI_FAN_CONTROL_TACHO_100_TOL,"TACHO_100_TOL",x) - `define DEFAULT_AXI_FAN_CONTROL_TACHO_100_TOL_TACHO_100_TOL GetResetValue(AXI_FAN_CONTROL_TACHO_100_TOL,"TACHO_100_TOL") - `define UPDATE_AXI_FAN_CONTROL_TACHO_100_TOL_TACHO_100_TOL(x,y) UpdateField(AXI_FAN_CONTROL_TACHO_100_TOL,"TACHO_100_TOL",x,y) - - -endpackage + import logger_pkg::*; + import adi_api_pkg::*; + + class adi_regmap_fan_control extends adi_regmap; + + /* Fan Controller (axi_fan_control) */ + class VERSION_CLASS extends register_base; + field_base VERSION_MAJOR_F; + field_base VERSION_MINOR_F; + field_base VERSION_PATCH_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.VERSION_MAJOR_F = new("VERSION_MAJOR", 31, 16, RO, 'h1, this); + this.VERSION_MINOR_F = new("VERSION_MINOR", 15, 8, RO, 'h0, this); + this.VERSION_PATCH_F = new("VERSION_PATCH", 7, 0, RO, 'h61, this); + + this.initialization_done = 1; + endfunction: new + endclass: VERSION_CLASS + + class PERIPHERAL_ID_CLASS extends register_base; + field_base PERIPHERAL_ID_F; + + function new( + input string name, + input int address, + input int ID, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.PERIPHERAL_ID_F = new("PERIPHERAL_ID", 31, 0, RO, ID, this); + + this.initialization_done = 1; + endfunction: new + endclass: PERIPHERAL_ID_CLASS + + class SCRATCH_CLASS extends register_base; + field_base SCRATCH_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.SCRATCH_F = new("SCRATCH", 31, 0, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: SCRATCH_CLASS + + class IDENTIFICATION_CLASS extends register_base; + field_base IDENTIFICATION_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.IDENTIFICATION_F = new("IDENTIFICATION", 31, 0, RO, 'h46414e43, this); + + this.initialization_done = 1; + endfunction: new + endclass: IDENTIFICATION_CLASS + + class IRQ_MASK_CLASS extends register_base; + field_base NEW_TACHO_MEASUREMENT_F; + field_base TEMP_INCREASE_F; + field_base TACHO_ERR_F; + field_base PWM_CHANGED_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.NEW_TACHO_MEASUREMENT_F = new("NEW_TACHO_MEASUREMENT", 3, 3, RW, 'h1, this); + this.TEMP_INCREASE_F = new("TEMP_INCREASE", 2, 2, RW, 'h1, this); + this.TACHO_ERR_F = new("TACHO_ERR", 1, 1, RW, 'h1, this); + this.PWM_CHANGED_F = new("PWM_CHANGED", 0, 0, RW, 'h1, this); + + this.initialization_done = 1; + endfunction: new + endclass: IRQ_MASK_CLASS + + class IRQ_PENDING_CLASS extends register_base; + field_base NEW_TACHO_MEASUREMENT_F; + field_base TEMP_INCREASE_F; + field_base TACHO_ERR_F; + field_base PWM_CHANGED_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.NEW_TACHO_MEASUREMENT_F = new("NEW_TACHO_MEASUREMENT", 3, 3, RW1C, 'h0, this); + this.TEMP_INCREASE_F = new("TEMP_INCREASE", 2, 2, RW1C, 'h0, this); + this.TACHO_ERR_F = new("TACHO_ERR", 1, 1, RW1C, 'h0, this); + this.PWM_CHANGED_F = new("PWM_CHANGED", 0, 0, RW1C, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: IRQ_PENDING_CLASS + + class IRQ_SOURCE_CLASS extends register_base; + field_base NEW_TACHO_MEASUREMENT_F; + field_base TEMP_INCREASE_F; + field_base TACHO_ERR_F; + field_base PWM_CHANGED_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.NEW_TACHO_MEASUREMENT_F = new("NEW_TACHO_MEASUREMENT", 3, 3, RO, 'h0, this); + this.TEMP_INCREASE_F = new("TEMP_INCREASE", 2, 2, RO, 'h0, this); + this.TACHO_ERR_F = new("TACHO_ERR", 1, 1, RO, 'h0, this); + this.PWM_CHANGED_F = new("PWM_CHANGED", 0, 0, RO, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: IRQ_SOURCE_CLASS + + class RSTN_CLASS extends register_base; + field_base RSTN_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.RSTN_F = new("RSTN", 0, 0, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: RSTN_CLASS + + class PWM_WIDTH_CLASS extends register_base; + field_base PWM_WIDTH_F; + + function new( + input string name, + input int address, + input int PWM_PERIOD, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.PWM_WIDTH_F = new("PWM_WIDTH", 31, 0, RW, PWM_PERIOD, this); + + this.initialization_done = 1; + endfunction: new + endclass: PWM_WIDTH_CLASS + + class TACHO_PERIOD_CLASS extends register_base; + field_base TACHO_PERIOD_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.TACHO_PERIOD_F = new("TACHO_PERIOD", 31, 0, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: TACHO_PERIOD_CLASS + + class TACHO_TOLERANCE_CLASS extends register_base; + field_base TACHO_TOLERANCE_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.TACHO_TOLERANCE_F = new("TACHO_TOLERANCE", 31, 0, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: TACHO_TOLERANCE_CLASS + + class TEMP_DATA_SOURCE_CLASS extends register_base; + field_base TEMP_DATA_SOURCE_F; + + function new( + input string name, + input int address, + input int INTERNAL_SYSMONE, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.TEMP_DATA_SOURCE_F = new("TEMP_DATA_SOURCE", 31, 0, RO, INTERNAL_SYSMONE, this); + + this.initialization_done = 1; + endfunction: new + endclass: TEMP_DATA_SOURCE_CLASS + + class PWM_PERIOD_CLASS extends register_base; + field_base PWM_PERIOD_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.PWM_PERIOD_F = new("PWM_PERIOD", 31, 0, RO, 'h4e20, this); + + this.initialization_done = 1; + endfunction: new + endclass: PWM_PERIOD_CLASS + + class TACHO_MEASUREMENT_CLASS extends register_base; + field_base TACHO_MEASUREMENT_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.TACHO_MEASUREMENT_F = new("TACHO_MEASUREMENT", 31, 0, RO, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: TACHO_MEASUREMENT_CLASS + + class TEMPERATURE_CLASS extends register_base; + field_base TEMPERATURE_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.TEMPERATURE_F = new("TEMPERATURE", 31, 0, RO, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: TEMPERATURE_CLASS + + class TEMP_00_H_CLASS extends register_base; + field_base TEMP_00_H_F; + + function new( + input string name, + input int address, + input int TEMP_00_H, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.TEMP_00_H_F = new("TEMP_00_H", 31, 0, RW, TEMP_00_H, this); + + this.initialization_done = 1; + endfunction: new + endclass: TEMP_00_H_CLASS + + class TEMP_25_L_CLASS extends register_base; + field_base TEMP_25_L_F; + + function new( + input string name, + input int address, + input int TEMP_25_L, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.TEMP_25_L_F = new("TEMP_25_L", 31, 0, RW, TEMP_25_L, this); + + this.initialization_done = 1; + endfunction: new + endclass: TEMP_25_L_CLASS + + class TEMP_25_H_CLASS extends register_base; + field_base TEMP_25_H_F; + + function new( + input string name, + input int address, + input int TEMP_25_H, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.TEMP_25_H_F = new("TEMP_25_H", 31, 0, RW, TEMP_25_H, this); + + this.initialization_done = 1; + endfunction: new + endclass: TEMP_25_H_CLASS + + class TEMP_50_L_CLASS extends register_base; + field_base TEMP_50_L_F; + + function new( + input string name, + input int address, + input int TEMP_50_L, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.TEMP_50_L_F = new("TEMP_50_L", 31, 0, RW, TEMP_50_L, this); + + this.initialization_done = 1; + endfunction: new + endclass: TEMP_50_L_CLASS + + class TEMP_50_H_CLASS extends register_base; + field_base TEMP_50_H_F; + + function new( + input string name, + input int address, + input int TEMP_50_H, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.TEMP_50_H_F = new("TEMP_50_H", 31, 0, RW, TEMP_50_H, this); + + this.initialization_done = 1; + endfunction: new + endclass: TEMP_50_H_CLASS + + class TEMP_75_L_CLASS extends register_base; + field_base TEMP_75_L_F; + + function new( + input string name, + input int address, + input int TEMP_75_L, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.TEMP_75_L_F = new("TEMP_75_L", 31, 0, RW, TEMP_75_L, this); + + this.initialization_done = 1; + endfunction: new + endclass: TEMP_75_L_CLASS + + class TEMP_75_H_CLASS extends register_base; + field_base TEMP_75_H_F; + + function new( + input string name, + input int address, + input int TEMP_75_H, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.TEMP_75_H_F = new("TEMP_75_H", 31, 0, RW, TEMP_75_H, this); + + this.initialization_done = 1; + endfunction: new + endclass: TEMP_75_H_CLASS + + class TEMP_100_L_CLASS extends register_base; + field_base TEMP_100_L_F; + + function new( + input string name, + input int address, + input int TEMP_100_L, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.TEMP_100_L_F = new("TEMP_100_L", 31, 0, RW, TEMP_100_L, this); + + this.initialization_done = 1; + endfunction: new + endclass: TEMP_100_L_CLASS + + class TACHO_25_CLASS extends register_base; + field_base TACHO_25_F; + + function new( + input string name, + input int address, + input int TACHO_T25, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.TACHO_25_F = new("TACHO_25", 31, 0, RW, TACHO_T25, this); + + this.initialization_done = 1; + endfunction: new + endclass: TACHO_25_CLASS + + class TACHO_50_CLASS extends register_base; + field_base TACHO_50_F; + + function new( + input string name, + input int address, + input int TACHO_T50, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.TACHO_50_F = new("TACHO_50", 31, 0, RW, TACHO_T50, this); + + this.initialization_done = 1; + endfunction: new + endclass: TACHO_50_CLASS + + class TACHO_75_CLASS extends register_base; + field_base TACHO_75_F; + + function new( + input string name, + input int address, + input int TACHO_T75, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.TACHO_75_F = new("TACHO_75", 31, 0, RW, TACHO_T75, this); + + this.initialization_done = 1; + endfunction: new + endclass: TACHO_75_CLASS + + class TACHO_100_CLASS extends register_base; + field_base TACHO_100_F; + + function new( + input string name, + input int address, + input int TACHO_T100, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.TACHO_100_F = new("TACHO_100", 31, 0, RW, TACHO_T100, this); + + this.initialization_done = 1; + endfunction: new + endclass: TACHO_100_CLASS + + class TACHO_25_TOL_CLASS extends register_base; + field_base TACHO_25_TOL_F; + + function new( + input string name, + input int address, + input int TACHO_T25, + input int TACHO_TOL_PERCENT, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.TACHO_25_TOL_F = new("TACHO_25_TOL", 31, 0, RW, TACHO_T25*TACHO_TOL_PERCENT/100, this); + + this.initialization_done = 1; + endfunction: new + endclass: TACHO_25_TOL_CLASS + + class TACHO_50_TOL_CLASS extends register_base; + field_base TACHO_50_TOL_F; + + function new( + input string name, + input int address, + input int TACHO_T50, + input int TACHO_TOL_PERCENT, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.TACHO_50_TOL_F = new("TACHO_50_TOL", 31, 0, RW, TACHO_T50*TACHO_TOL_PERCENT/100, this); + + this.initialization_done = 1; + endfunction: new + endclass: TACHO_50_TOL_CLASS + + class TACHO_75_TOL_CLASS extends register_base; + field_base TACHO_75_TOL_F; + + function new( + input string name, + input int address, + input int TACHO_T75, + input int TACHO_TOL_PERCENT, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.TACHO_75_TOL_F = new("TACHO_75_TOL", 31, 0, RW, TACHO_T75*TACHO_TOL_PERCENT/100, this); + + this.initialization_done = 1; + endfunction: new + endclass: TACHO_75_TOL_CLASS + + class TACHO_100_TOL_CLASS extends register_base; + field_base TACHO_100_TOL_F; + + function new( + input string name, + input int address, + input int TACHO_T100, + input int TACHO_TOL_PERCENT, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.TACHO_100_TOL_F = new("TACHO_100_TOL", 31, 0, RW, TACHO_T100*TACHO_TOL_PERCENT/100, this); + + this.initialization_done = 1; + endfunction: new + endclass: TACHO_100_TOL_CLASS + + VERSION_CLASS VERSION_R; + PERIPHERAL_ID_CLASS PERIPHERAL_ID_R; + SCRATCH_CLASS SCRATCH_R; + IDENTIFICATION_CLASS IDENTIFICATION_R; + IRQ_MASK_CLASS IRQ_MASK_R; + IRQ_PENDING_CLASS IRQ_PENDING_R; + IRQ_SOURCE_CLASS IRQ_SOURCE_R; + RSTN_CLASS RSTN_R; + PWM_WIDTH_CLASS PWM_WIDTH_R; + TACHO_PERIOD_CLASS TACHO_PERIOD_R; + TACHO_TOLERANCE_CLASS TACHO_TOLERANCE_R; + TEMP_DATA_SOURCE_CLASS TEMP_DATA_SOURCE_R; + PWM_PERIOD_CLASS PWM_PERIOD_R; + TACHO_MEASUREMENT_CLASS TACHO_MEASUREMENT_R; + TEMPERATURE_CLASS TEMPERATURE_R; + TEMP_00_H_CLASS TEMP_00_H_R; + TEMP_25_L_CLASS TEMP_25_L_R; + TEMP_25_H_CLASS TEMP_25_H_R; + TEMP_50_L_CLASS TEMP_50_L_R; + TEMP_50_H_CLASS TEMP_50_H_R; + TEMP_75_L_CLASS TEMP_75_L_R; + TEMP_75_H_CLASS TEMP_75_H_R; + TEMP_100_L_CLASS TEMP_100_L_R; + TACHO_25_CLASS TACHO_25_R; + TACHO_50_CLASS TACHO_50_R; + TACHO_75_CLASS TACHO_75_R; + TACHO_100_CLASS TACHO_100_R; + TACHO_25_TOL_CLASS TACHO_25_TOL_R; + TACHO_50_TOL_CLASS TACHO_50_TOL_R; + TACHO_75_TOL_CLASS TACHO_75_TOL_R; + TACHO_100_TOL_CLASS TACHO_100_TOL_R; + + function new( + input string name, + input int address, + input int ID, + input int INTERNAL_SYSMONE, + input int PWM_PERIOD, + input int TACHO_T100, + input int TACHO_T25, + input int TACHO_T50, + input int TACHO_T75, + input int TACHO_TOL_PERCENT, + input int TEMP_00_H, + input int TEMP_100_L, + input int TEMP_25_H, + input int TEMP_25_L, + input int TEMP_50_H, + input int TEMP_50_L, + input int TEMP_75_H, + input int TEMP_75_L, + input adi_api parent = null); + + super.new(name, address, parent); + + this.VERSION_R = new("VERSION", 'h0, this); + this.PERIPHERAL_ID_R = new("PERIPHERAL_ID", 'h4, ID, this); + this.SCRATCH_R = new("SCRATCH", 'h8, this); + this.IDENTIFICATION_R = new("IDENTIFICATION", 'hc, this); + this.IRQ_MASK_R = new("IRQ_MASK", 'h40, this); + this.IRQ_PENDING_R = new("IRQ_PENDING", 'h44, this); + this.IRQ_SOURCE_R = new("IRQ_SOURCE", 'h48, this); + this.RSTN_R = new("RSTN", 'h80, this); + this.PWM_WIDTH_R = new("PWM_WIDTH", 'h84, PWM_PERIOD, this); + this.TACHO_PERIOD_R = new("TACHO_PERIOD", 'h88, this); + this.TACHO_TOLERANCE_R = new("TACHO_TOLERANCE", 'h8c, this); + this.TEMP_DATA_SOURCE_R = new("TEMP_DATA_SOURCE", 'h90, INTERNAL_SYSMONE, this); + this.PWM_PERIOD_R = new("PWM_PERIOD", 'hc0, this); + this.TACHO_MEASUREMENT_R = new("TACHO_MEASUREMENT", 'hc4, this); + this.TEMPERATURE_R = new("TEMPERATURE", 'hc8, this); + this.TEMP_00_H_R = new("TEMP_00_H", 'h100, TEMP_00_H, this); + this.TEMP_25_L_R = new("TEMP_25_L", 'h104, TEMP_25_L, this); + this.TEMP_25_H_R = new("TEMP_25_H", 'h108, TEMP_25_H, this); + this.TEMP_50_L_R = new("TEMP_50_L", 'h10c, TEMP_50_L, this); + this.TEMP_50_H_R = new("TEMP_50_H", 'h110, TEMP_50_H, this); + this.TEMP_75_L_R = new("TEMP_75_L", 'h114, TEMP_75_L, this); + this.TEMP_75_H_R = new("TEMP_75_H", 'h118, TEMP_75_H, this); + this.TEMP_100_L_R = new("TEMP_100_L", 'h11c, TEMP_100_L, this); + this.TACHO_25_R = new("TACHO_25", 'h140, TACHO_T25, this); + this.TACHO_50_R = new("TACHO_50", 'h144, TACHO_T50, this); + this.TACHO_75_R = new("TACHO_75", 'h148, TACHO_T75, this); + this.TACHO_100_R = new("TACHO_100", 'h14c, TACHO_T100, this); + this.TACHO_25_TOL_R = new("TACHO_25_TOL", 'h150, TACHO_T25, TACHO_TOL_PERCENT, this); + this.TACHO_50_TOL_R = new("TACHO_50_TOL", 'h154, TACHO_T50, TACHO_TOL_PERCENT, this); + this.TACHO_75_TOL_R = new("TACHO_75_TOL", 'h158, TACHO_T75, TACHO_TOL_PERCENT, this); + this.TACHO_100_TOL_R = new("TACHO_100_TOL", 'h15c, TACHO_T100, TACHO_TOL_PERCENT, this); + + this.info($sformatf("Initialized"), ADI_VERBOSITY_HIGH); + endfunction: new + + endclass: adi_regmap_fan_control + +endpackage: adi_regmap_fan_control_pkg diff --git a/library/regmaps/adi_regmap_gpreg_pkg.sv b/library/regmaps/adi_regmap_gpreg_pkg.sv index 6ecb347a..cf045eee 100644 --- a/library/regmaps/adi_regmap_gpreg_pkg.sv +++ b/library/regmaps/adi_regmap_gpreg_pkg.sv @@ -1,6 +1,6 @@ // *************************************************************************** // *************************************************************************** -// Copyright (C) 2014 - 2024 Analog Devices, Inc. All rights reserved. +// Copyright (C) 2014 - 2025 Analog Devices, Inc. All rights reserved. // // In this HDL repository, there are many different and unique modules, consisting // of various HDL (Verilog or VHDL) components. The individual modules are @@ -33,48 +33,267 @@ // *************************************************************************** // *************************************************************************** /* Auto generated Register Map */ -/* Thu Mar 28 13:22:23 2024 */ +/* Jan 28 13:30:16 2025 v0.3.55 */ package adi_regmap_gpreg_pkg; - import adi_regmap_pkg::*; - - -/* General Purpose Registers (axi_gpreg) */ - - const reg_t AXI_GPREG_REG_IO_ENB = '{ 'h0400, "REG_IO_ENB" , '{ - "IO_ENB": '{ 31, 0, RW, 'h00000000 }}}; - `define SET_AXI_GPREG_REG_IO_ENB_IO_ENB(x) SetField(AXI_GPREG_REG_IO_ENB,"IO_ENB",x) - `define GET_AXI_GPREG_REG_IO_ENB_IO_ENB(x) GetField(AXI_GPREG_REG_IO_ENB,"IO_ENB",x) - `define DEFAULT_AXI_GPREG_REG_IO_ENB_IO_ENB GetResetValue(AXI_GPREG_REG_IO_ENB,"IO_ENB") - `define UPDATE_AXI_GPREG_REG_IO_ENB_IO_ENB(x,y) UpdateField(AXI_GPREG_REG_IO_ENB,"IO_ENB",x,y) - - const reg_t AXI_GPREG_REG_IO_OUT = '{ 'h0404, "REG_IO_OUT" , '{ - "IO_ENB": '{ 31, 0, RW, 'h00000000 }}}; - `define SET_AXI_GPREG_REG_IO_OUT_IO_ENB(x) SetField(AXI_GPREG_REG_IO_OUT,"IO_ENB",x) - `define GET_AXI_GPREG_REG_IO_OUT_IO_ENB(x) GetField(AXI_GPREG_REG_IO_OUT,"IO_ENB",x) - `define DEFAULT_AXI_GPREG_REG_IO_OUT_IO_ENB GetResetValue(AXI_GPREG_REG_IO_OUT,"IO_ENB") - `define UPDATE_AXI_GPREG_REG_IO_OUT_IO_ENB(x,y) UpdateField(AXI_GPREG_REG_IO_OUT,"IO_ENB",x,y) - - const reg_t AXI_GPREG_REG_IO_IN = '{ 'h0408, "REG_IO_IN" , '{ - "IO_IN": '{ 31, 0, RO, 'h00000000 }}}; - `define SET_AXI_GPREG_REG_IO_IN_IO_IN(x) SetField(AXI_GPREG_REG_IO_IN,"IO_IN",x) - `define GET_AXI_GPREG_REG_IO_IN_IO_IN(x) GetField(AXI_GPREG_REG_IO_IN,"IO_IN",x) - `define DEFAULT_AXI_GPREG_REG_IO_IN_IO_IN GetResetValue(AXI_GPREG_REG_IO_IN,"IO_IN") - `define UPDATE_AXI_GPREG_REG_IO_IN_IO_IN(x,y) UpdateField(AXI_GPREG_REG_IO_IN,"IO_IN",x,y) - - const reg_t AXI_GPREG_REG_CM_RESET = '{ 'h0800, "REG_CM_RESET" , '{ - "CM_RESET_N": '{ 0, 0, RW, 'h0 }}}; - `define SET_AXI_GPREG_REG_CM_RESET_CM_RESET_N(x) SetField(AXI_GPREG_REG_CM_RESET,"CM_RESET_N",x) - `define GET_AXI_GPREG_REG_CM_RESET_CM_RESET_N(x) GetField(AXI_GPREG_REG_CM_RESET,"CM_RESET_N",x) - `define DEFAULT_AXI_GPREG_REG_CM_RESET_CM_RESET_N GetResetValue(AXI_GPREG_REG_CM_RESET,"CM_RESET_N") - `define UPDATE_AXI_GPREG_REG_CM_RESET_CM_RESET_N(x,y) UpdateField(AXI_GPREG_REG_CM_RESET,"CM_RESET_N",x,y) - - const reg_t AXI_GPREG_REG_CM_COUNT = '{ 'h0808, "REG_CM_COUNT" , '{ - "CM_CLK_COUNT": '{ 31, 0, RO, 'h00000000 }}}; - `define SET_AXI_GPREG_REG_CM_COUNT_CM_CLK_COUNT(x) SetField(AXI_GPREG_REG_CM_COUNT,"CM_CLK_COUNT",x) - `define GET_AXI_GPREG_REG_CM_COUNT_CM_CLK_COUNT(x) GetField(AXI_GPREG_REG_CM_COUNT,"CM_CLK_COUNT",x) - `define DEFAULT_AXI_GPREG_REG_CM_COUNT_CM_CLK_COUNT GetResetValue(AXI_GPREG_REG_CM_COUNT,"CM_CLK_COUNT") - `define UPDATE_AXI_GPREG_REG_CM_COUNT_CM_CLK_COUNT(x,y) UpdateField(AXI_GPREG_REG_CM_COUNT,"CM_CLK_COUNT",x,y) - - -endpackage + import logger_pkg::*; + import adi_api_pkg::*; + + class adi_regmap_gpreg extends adi_regmap; + + /* General Purpose Registers (axi_gpreg) */ + class IO_ENBn_CLASS extends register_base; + field_base IO_ENB_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.IO_ENB_F = new("IO_ENB", 31, 0, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: IO_ENBn_CLASS + + class IO_OUTn_CLASS extends register_base; + field_base IO_OUT_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.IO_OUT_F = new("IO_OUT", 31, 0, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: IO_OUTn_CLASS + + class IO_INn_CLASS extends register_base; + field_base IO_IN_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.IO_IN_F = new("IO_IN", 31, 0, RO, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: IO_INn_CLASS + + class CM_RESETn_CLASS extends register_base; + field_base CM_RESET_N_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.CM_RESET_N_F = new("CM_RESET_N", 0, 0, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: CM_RESETn_CLASS + + class CM_COUNTn_CLASS extends register_base; + field_base CM_CLK_COUNT_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.CM_CLK_COUNT_F = new("CM_CLK_COUNT", 31, 0, RO, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: CM_COUNTn_CLASS + + IO_ENBn_CLASS IO_ENB0_R; + IO_ENBn_CLASS IO_ENB1_R; + IO_ENBn_CLASS IO_ENB2_R; + IO_ENBn_CLASS IO_ENB3_R; + IO_ENBn_CLASS IO_ENB4_R; + IO_ENBn_CLASS IO_ENB5_R; + IO_ENBn_CLASS IO_ENB6_R; + IO_ENBn_CLASS IO_ENB7_R; + IO_ENBn_CLASS IO_ENB8_R; + IO_ENBn_CLASS IO_ENB9_R; + IO_ENBn_CLASS IO_ENB10_R; + IO_ENBn_CLASS IO_ENB11_R; + IO_ENBn_CLASS IO_ENB12_R; + IO_ENBn_CLASS IO_ENB13_R; + IO_ENBn_CLASS IO_ENB14_R; + IO_ENBn_CLASS IO_ENB15_R; + IO_OUTn_CLASS IO_OUT0_R; + IO_OUTn_CLASS IO_OUT1_R; + IO_OUTn_CLASS IO_OUT2_R; + IO_OUTn_CLASS IO_OUT3_R; + IO_OUTn_CLASS IO_OUT4_R; + IO_OUTn_CLASS IO_OUT5_R; + IO_OUTn_CLASS IO_OUT6_R; + IO_OUTn_CLASS IO_OUT7_R; + IO_OUTn_CLASS IO_OUT8_R; + IO_OUTn_CLASS IO_OUT9_R; + IO_OUTn_CLASS IO_OUT10_R; + IO_OUTn_CLASS IO_OUT11_R; + IO_OUTn_CLASS IO_OUT12_R; + IO_OUTn_CLASS IO_OUT13_R; + IO_OUTn_CLASS IO_OUT14_R; + IO_OUTn_CLASS IO_OUT15_R; + IO_INn_CLASS IO_IN0_R; + IO_INn_CLASS IO_IN1_R; + IO_INn_CLASS IO_IN2_R; + IO_INn_CLASS IO_IN3_R; + IO_INn_CLASS IO_IN4_R; + IO_INn_CLASS IO_IN5_R; + IO_INn_CLASS IO_IN6_R; + IO_INn_CLASS IO_IN7_R; + IO_INn_CLASS IO_IN8_R; + IO_INn_CLASS IO_IN9_R; + IO_INn_CLASS IO_IN10_R; + IO_INn_CLASS IO_IN11_R; + IO_INn_CLASS IO_IN12_R; + IO_INn_CLASS IO_IN13_R; + IO_INn_CLASS IO_IN14_R; + IO_INn_CLASS IO_IN15_R; + CM_RESETn_CLASS CM_RESET0_R; + CM_RESETn_CLASS CM_RESET1_R; + CM_RESETn_CLASS CM_RESET2_R; + CM_RESETn_CLASS CM_RESET3_R; + CM_RESETn_CLASS CM_RESET4_R; + CM_RESETn_CLASS CM_RESET5_R; + CM_RESETn_CLASS CM_RESET6_R; + CM_RESETn_CLASS CM_RESET7_R; + CM_RESETn_CLASS CM_RESET8_R; + CM_RESETn_CLASS CM_RESET9_R; + CM_RESETn_CLASS CM_RESET10_R; + CM_RESETn_CLASS CM_RESET11_R; + CM_RESETn_CLASS CM_RESET12_R; + CM_RESETn_CLASS CM_RESET13_R; + CM_RESETn_CLASS CM_RESET14_R; + CM_RESETn_CLASS CM_RESET15_R; + CM_COUNTn_CLASS CM_COUNT0_R; + CM_COUNTn_CLASS CM_COUNT1_R; + CM_COUNTn_CLASS CM_COUNT2_R; + CM_COUNTn_CLASS CM_COUNT3_R; + CM_COUNTn_CLASS CM_COUNT4_R; + CM_COUNTn_CLASS CM_COUNT5_R; + CM_COUNTn_CLASS CM_COUNT6_R; + CM_COUNTn_CLASS CM_COUNT7_R; + CM_COUNTn_CLASS CM_COUNT8_R; + CM_COUNTn_CLASS CM_COUNT9_R; + CM_COUNTn_CLASS CM_COUNT10_R; + CM_COUNTn_CLASS CM_COUNT11_R; + CM_COUNTn_CLASS CM_COUNT12_R; + CM_COUNTn_CLASS CM_COUNT13_R; + CM_COUNTn_CLASS CM_COUNT14_R; + CM_COUNTn_CLASS CM_COUNT15_R; + + function new( + input string name, + input int address, + input adi_api parent = null); + + super.new(name, address, parent); + + this.IO_ENB0_R = new("IO_ENB0", 'h400, this); + this.IO_ENB1_R = new("IO_ENB1", 'h458, this); + this.IO_ENB2_R = new("IO_ENB2", 'h4b0, this); + this.IO_ENB3_R = new("IO_ENB3", 'h508, this); + this.IO_ENB4_R = new("IO_ENB4", 'h560, this); + this.IO_ENB5_R = new("IO_ENB5", 'h5b8, this); + this.IO_ENB6_R = new("IO_ENB6", 'h610, this); + this.IO_ENB7_R = new("IO_ENB7", 'h668, this); + this.IO_ENB8_R = new("IO_ENB8", 'h6c0, this); + this.IO_ENB9_R = new("IO_ENB9", 'h718, this); + this.IO_ENB10_R = new("IO_ENB10", 'h770, this); + this.IO_ENB11_R = new("IO_ENB11", 'h7c8, this); + this.IO_ENB12_R = new("IO_ENB12", 'h820, this); + this.IO_ENB13_R = new("IO_ENB13", 'h878, this); + this.IO_ENB14_R = new("IO_ENB14", 'h8d0, this); + this.IO_ENB15_R = new("IO_ENB15", 'h928, this); + this.IO_OUT0_R = new("IO_OUT0", 'h404, this); + this.IO_OUT1_R = new("IO_OUT1", 'h45c, this); + this.IO_OUT2_R = new("IO_OUT2", 'h4b4, this); + this.IO_OUT3_R = new("IO_OUT3", 'h50c, this); + this.IO_OUT4_R = new("IO_OUT4", 'h564, this); + this.IO_OUT5_R = new("IO_OUT5", 'h5bc, this); + this.IO_OUT6_R = new("IO_OUT6", 'h614, this); + this.IO_OUT7_R = new("IO_OUT7", 'h66c, this); + this.IO_OUT8_R = new("IO_OUT8", 'h6c4, this); + this.IO_OUT9_R = new("IO_OUT9", 'h71c, this); + this.IO_OUT10_R = new("IO_OUT10", 'h774, this); + this.IO_OUT11_R = new("IO_OUT11", 'h7cc, this); + this.IO_OUT12_R = new("IO_OUT12", 'h824, this); + this.IO_OUT13_R = new("IO_OUT13", 'h87c, this); + this.IO_OUT14_R = new("IO_OUT14", 'h8d4, this); + this.IO_OUT15_R = new("IO_OUT15", 'h92c, this); + this.IO_IN0_R = new("IO_IN0", 'h408, this); + this.IO_IN1_R = new("IO_IN1", 'h460, this); + this.IO_IN2_R = new("IO_IN2", 'h4b8, this); + this.IO_IN3_R = new("IO_IN3", 'h510, this); + this.IO_IN4_R = new("IO_IN4", 'h568, this); + this.IO_IN5_R = new("IO_IN5", 'h5c0, this); + this.IO_IN6_R = new("IO_IN6", 'h618, this); + this.IO_IN7_R = new("IO_IN7", 'h670, this); + this.IO_IN8_R = new("IO_IN8", 'h6c8, this); + this.IO_IN9_R = new("IO_IN9", 'h720, this); + this.IO_IN10_R = new("IO_IN10", 'h778, this); + this.IO_IN11_R = new("IO_IN11", 'h7d0, this); + this.IO_IN12_R = new("IO_IN12", 'h828, this); + this.IO_IN13_R = new("IO_IN13", 'h880, this); + this.IO_IN14_R = new("IO_IN14", 'h8d8, this); + this.IO_IN15_R = new("IO_IN15", 'h930, this); + this.CM_RESET0_R = new("CM_RESET0", 'h800, this); + this.CM_RESET1_R = new("CM_RESET1", 'h858, this); + this.CM_RESET2_R = new("CM_RESET2", 'h8b0, this); + this.CM_RESET3_R = new("CM_RESET3", 'h908, this); + this.CM_RESET4_R = new("CM_RESET4", 'h960, this); + this.CM_RESET5_R = new("CM_RESET5", 'h9b8, this); + this.CM_RESET6_R = new("CM_RESET6", 'ha10, this); + this.CM_RESET7_R = new("CM_RESET7", 'ha68, this); + this.CM_RESET8_R = new("CM_RESET8", 'hac0, this); + this.CM_RESET9_R = new("CM_RESET9", 'hb18, this); + this.CM_RESET10_R = new("CM_RESET10", 'hb70, this); + this.CM_RESET11_R = new("CM_RESET11", 'hbc8, this); + this.CM_RESET12_R = new("CM_RESET12", 'hc20, this); + this.CM_RESET13_R = new("CM_RESET13", 'hc78, this); + this.CM_RESET14_R = new("CM_RESET14", 'hcd0, this); + this.CM_RESET15_R = new("CM_RESET15", 'hd28, this); + this.CM_COUNT0_R = new("CM_COUNT0", 'h808, this); + this.CM_COUNT1_R = new("CM_COUNT1", 'h860, this); + this.CM_COUNT2_R = new("CM_COUNT2", 'h8b8, this); + this.CM_COUNT3_R = new("CM_COUNT3", 'h910, this); + this.CM_COUNT4_R = new("CM_COUNT4", 'h968, this); + this.CM_COUNT5_R = new("CM_COUNT5", 'h9c0, this); + this.CM_COUNT6_R = new("CM_COUNT6", 'ha18, this); + this.CM_COUNT7_R = new("CM_COUNT7", 'ha70, this); + this.CM_COUNT8_R = new("CM_COUNT8", 'hac8, this); + this.CM_COUNT9_R = new("CM_COUNT9", 'hb20, this); + this.CM_COUNT10_R = new("CM_COUNT10", 'hb78, this); + this.CM_COUNT11_R = new("CM_COUNT11", 'hbd0, this); + this.CM_COUNT12_R = new("CM_COUNT12", 'hc28, this); + this.CM_COUNT13_R = new("CM_COUNT13", 'hc80, this); + this.CM_COUNT14_R = new("CM_COUNT14", 'hcd8, this); + this.CM_COUNT15_R = new("CM_COUNT15", 'hd30, this); + + this.info($sformatf("Initialized"), ADI_VERBOSITY_HIGH); + endfunction: new + + endclass: adi_regmap_gpreg + +endpackage: adi_regmap_gpreg_pkg diff --git a/library/regmaps/adi_regmap_hdmi_pkg.sv b/library/regmaps/adi_regmap_hdmi_pkg.sv index 028114b3..d32eed4b 100644 --- a/library/regmaps/adi_regmap_hdmi_pkg.sv +++ b/library/regmaps/adi_regmap_hdmi_pkg.sv @@ -1,6 +1,6 @@ // *************************************************************************** // *************************************************************************** -// Copyright (C) 2014 - 2024 Analog Devices, Inc. All rights reserved. +// Copyright (C) 2014 - 2025 Analog Devices, Inc. All rights reserved. // // In this HDL repository, there are many different and unique modules, consisting // of various HDL (Verilog or VHDL) components. The individual modules are @@ -33,298 +33,536 @@ // *************************************************************************** // *************************************************************************** /* Auto generated Register Map */ -/* Thu Mar 28 13:22:23 2024 */ +/* Jan 28 13:30:17 2025 v0.3.55 */ package adi_regmap_hdmi_pkg; - import adi_regmap_pkg::*; - - -/* HDMI Transmit (axi_hdmi_tx) */ - - const reg_t HDMI_TX_REG_RSTN = '{ 'h0040, "REG_RSTN" , '{ - "RSTN": '{ 0, 0, RW, 'h0 }}}; - `define SET_HDMI_TX_REG_RSTN_RSTN(x) SetField(HDMI_TX_REG_RSTN,"RSTN",x) - `define GET_HDMI_TX_REG_RSTN_RSTN(x) GetField(HDMI_TX_REG_RSTN,"RSTN",x) - `define DEFAULT_HDMI_TX_REG_RSTN_RSTN GetResetValue(HDMI_TX_REG_RSTN,"RSTN") - `define UPDATE_HDMI_TX_REG_RSTN_RSTN(x,y) UpdateField(HDMI_TX_REG_RSTN,"RSTN",x,y) - - const reg_t HDMI_TX_REG_CNTRL1 = '{ 'h0044, "REG_CNTRL1" , '{ - "SS_BYPASS": '{ 2, 2, RW, 'h0 }, - "CSC_BYPASS": '{ 0, 0, RW, 'h0 }}}; - `define SET_HDMI_TX_REG_CNTRL1_SS_BYPASS(x) SetField(HDMI_TX_REG_CNTRL1,"SS_BYPASS",x) - `define GET_HDMI_TX_REG_CNTRL1_SS_BYPASS(x) GetField(HDMI_TX_REG_CNTRL1,"SS_BYPASS",x) - `define DEFAULT_HDMI_TX_REG_CNTRL1_SS_BYPASS GetResetValue(HDMI_TX_REG_CNTRL1,"SS_BYPASS") - `define UPDATE_HDMI_TX_REG_CNTRL1_SS_BYPASS(x,y) UpdateField(HDMI_TX_REG_CNTRL1,"SS_BYPASS",x,y) - `define SET_HDMI_TX_REG_CNTRL1_CSC_BYPASS(x) SetField(HDMI_TX_REG_CNTRL1,"CSC_BYPASS",x) - `define GET_HDMI_TX_REG_CNTRL1_CSC_BYPASS(x) GetField(HDMI_TX_REG_CNTRL1,"CSC_BYPASS",x) - `define DEFAULT_HDMI_TX_REG_CNTRL1_CSC_BYPASS GetResetValue(HDMI_TX_REG_CNTRL1,"CSC_BYPASS") - `define UPDATE_HDMI_TX_REG_CNTRL1_CSC_BYPASS(x,y) UpdateField(HDMI_TX_REG_CNTRL1,"CSC_BYPASS",x,y) - - const reg_t HDMI_TX_REG_CNTRL2 = '{ 'h0048, "REG_CNTRL2" , '{ - "SOURCE_SEL": '{ 1, 0, RW, 'h0 }}}; - `define SET_HDMI_TX_REG_CNTRL2_SOURCE_SEL(x) SetField(HDMI_TX_REG_CNTRL2,"SOURCE_SEL",x) - `define GET_HDMI_TX_REG_CNTRL2_SOURCE_SEL(x) GetField(HDMI_TX_REG_CNTRL2,"SOURCE_SEL",x) - `define DEFAULT_HDMI_TX_REG_CNTRL2_SOURCE_SEL GetResetValue(HDMI_TX_REG_CNTRL2,"SOURCE_SEL") - `define UPDATE_HDMI_TX_REG_CNTRL2_SOURCE_SEL(x,y) UpdateField(HDMI_TX_REG_CNTRL2,"SOURCE_SEL",x,y) - - const reg_t HDMI_TX_REG_CNTRL3 = '{ 'h004c, "REG_CNTRL3" , '{ - "CONST_RGB": '{ 23, 0, RW, 'h000000 }}}; - `define SET_HDMI_TX_REG_CNTRL3_CONST_RGB(x) SetField(HDMI_TX_REG_CNTRL3,"CONST_RGB",x) - `define GET_HDMI_TX_REG_CNTRL3_CONST_RGB(x) GetField(HDMI_TX_REG_CNTRL3,"CONST_RGB",x) - `define DEFAULT_HDMI_TX_REG_CNTRL3_CONST_RGB GetResetValue(HDMI_TX_REG_CNTRL3,"CONST_RGB") - `define UPDATE_HDMI_TX_REG_CNTRL3_CONST_RGB(x,y) UpdateField(HDMI_TX_REG_CNTRL3,"CONST_RGB",x,y) - - const reg_t HDMI_TX_REG_CLK_FREQ = '{ 'h0054, "REG_CLK_FREQ" , '{ - "CLK_FREQ": '{ 31, 0, RO, 'h00000000 }}}; - `define SET_HDMI_TX_REG_CLK_FREQ_CLK_FREQ(x) SetField(HDMI_TX_REG_CLK_FREQ,"CLK_FREQ",x) - `define GET_HDMI_TX_REG_CLK_FREQ_CLK_FREQ(x) GetField(HDMI_TX_REG_CLK_FREQ,"CLK_FREQ",x) - `define DEFAULT_HDMI_TX_REG_CLK_FREQ_CLK_FREQ GetResetValue(HDMI_TX_REG_CLK_FREQ,"CLK_FREQ") - `define UPDATE_HDMI_TX_REG_CLK_FREQ_CLK_FREQ(x,y) UpdateField(HDMI_TX_REG_CLK_FREQ,"CLK_FREQ",x,y) - - const reg_t HDMI_TX_REG_CLK_RATIO = '{ 'h0058, "REG_CLK_RATIO" , '{ - "CLK_RATIO": '{ 31, 0, RO, 'h00000000 }}}; - `define SET_HDMI_TX_REG_CLK_RATIO_CLK_RATIO(x) SetField(HDMI_TX_REG_CLK_RATIO,"CLK_RATIO",x) - `define GET_HDMI_TX_REG_CLK_RATIO_CLK_RATIO(x) GetField(HDMI_TX_REG_CLK_RATIO,"CLK_RATIO",x) - `define DEFAULT_HDMI_TX_REG_CLK_RATIO_CLK_RATIO GetResetValue(HDMI_TX_REG_CLK_RATIO,"CLK_RATIO") - `define UPDATE_HDMI_TX_REG_CLK_RATIO_CLK_RATIO(x,y) UpdateField(HDMI_TX_REG_CLK_RATIO,"CLK_RATIO",x,y) - - const reg_t HDMI_TX_REG_STATUS = '{ 'h005c, "REG_STATUS" , '{ - "STATUS": '{ 0, 0, RO, 'h0 }}}; - `define SET_HDMI_TX_REG_STATUS_STATUS(x) SetField(HDMI_TX_REG_STATUS,"STATUS",x) - `define GET_HDMI_TX_REG_STATUS_STATUS(x) GetField(HDMI_TX_REG_STATUS,"STATUS",x) - `define DEFAULT_HDMI_TX_REG_STATUS_STATUS GetResetValue(HDMI_TX_REG_STATUS,"STATUS") - `define UPDATE_HDMI_TX_REG_STATUS_STATUS(x,y) UpdateField(HDMI_TX_REG_STATUS,"STATUS",x,y) - - const reg_t HDMI_TX_REG_VDMA_STATUS = '{ 'h0060, "REG_VDMA_STATUS" , '{ - "VDMA_OVF": '{ 1, 1, RW1C, 'h0 }, - "VDMA_UNF": '{ 0, 0, RW1C, 'h0 }}}; - `define SET_HDMI_TX_REG_VDMA_STATUS_VDMA_OVF(x) SetField(HDMI_TX_REG_VDMA_STATUS,"VDMA_OVF",x) - `define GET_HDMI_TX_REG_VDMA_STATUS_VDMA_OVF(x) GetField(HDMI_TX_REG_VDMA_STATUS,"VDMA_OVF",x) - `define DEFAULT_HDMI_TX_REG_VDMA_STATUS_VDMA_OVF GetResetValue(HDMI_TX_REG_VDMA_STATUS,"VDMA_OVF") - `define UPDATE_HDMI_TX_REG_VDMA_STATUS_VDMA_OVF(x,y) UpdateField(HDMI_TX_REG_VDMA_STATUS,"VDMA_OVF",x,y) - `define SET_HDMI_TX_REG_VDMA_STATUS_VDMA_UNF(x) SetField(HDMI_TX_REG_VDMA_STATUS,"VDMA_UNF",x) - `define GET_HDMI_TX_REG_VDMA_STATUS_VDMA_UNF(x) GetField(HDMI_TX_REG_VDMA_STATUS,"VDMA_UNF",x) - `define DEFAULT_HDMI_TX_REG_VDMA_STATUS_VDMA_UNF GetResetValue(HDMI_TX_REG_VDMA_STATUS,"VDMA_UNF") - `define UPDATE_HDMI_TX_REG_VDMA_STATUS_VDMA_UNF(x,y) UpdateField(HDMI_TX_REG_VDMA_STATUS,"VDMA_UNF",x,y) - - const reg_t HDMI_TX_REG_TPM_STATUS = '{ 'h0064, "REG_TPM_STATUS" , '{ - "HDMI_TPM_OOS": '{ 1, 1, RW1C, 'h0 }, - "VDMA_TPM_OOS": '{ 0, 0, RW1C, 'h0 }}}; - `define SET_HDMI_TX_REG_TPM_STATUS_HDMI_TPM_OOS(x) SetField(HDMI_TX_REG_TPM_STATUS,"HDMI_TPM_OOS",x) - `define GET_HDMI_TX_REG_TPM_STATUS_HDMI_TPM_OOS(x) GetField(HDMI_TX_REG_TPM_STATUS,"HDMI_TPM_OOS",x) - `define DEFAULT_HDMI_TX_REG_TPM_STATUS_HDMI_TPM_OOS GetResetValue(HDMI_TX_REG_TPM_STATUS,"HDMI_TPM_OOS") - `define UPDATE_HDMI_TX_REG_TPM_STATUS_HDMI_TPM_OOS(x,y) UpdateField(HDMI_TX_REG_TPM_STATUS,"HDMI_TPM_OOS",x,y) - `define SET_HDMI_TX_REG_TPM_STATUS_VDMA_TPM_OOS(x) SetField(HDMI_TX_REG_TPM_STATUS,"VDMA_TPM_OOS",x) - `define GET_HDMI_TX_REG_TPM_STATUS_VDMA_TPM_OOS(x) GetField(HDMI_TX_REG_TPM_STATUS,"VDMA_TPM_OOS",x) - `define DEFAULT_HDMI_TX_REG_TPM_STATUS_VDMA_TPM_OOS GetResetValue(HDMI_TX_REG_TPM_STATUS,"VDMA_TPM_OOS") - `define UPDATE_HDMI_TX_REG_TPM_STATUS_VDMA_TPM_OOS(x,y) UpdateField(HDMI_TX_REG_TPM_STATUS,"VDMA_TPM_OOS",x,y) - - const reg_t HDMI_TX_REG_CLIPP_MAX = '{ 'h0068, "REG_CLIPP_MAX" , '{ - "R_MAX/Cr_MAX": '{ 23, 16, RW, 'hF0 }, - "G_MAX/Y_MAX": '{ 16, 8, RW, 'hEB }, - "B_MAX/Cb_MAX": '{ 7, 0, RW, 'hF0 }}}; - `define SET_HDMI_TX_REG_CLIPP_MAX_R_MAX/Cr_MAX(x) SetField(HDMI_TX_REG_CLIPP_MAX,"R_MAX/Cr_MAX",x) - `define GET_HDMI_TX_REG_CLIPP_MAX_R_MAX/Cr_MAX(x) GetField(HDMI_TX_REG_CLIPP_MAX,"R_MAX/Cr_MAX",x) - `define DEFAULT_HDMI_TX_REG_CLIPP_MAX_R_MAX/Cr_MAX GetResetValue(HDMI_TX_REG_CLIPP_MAX,"R_MAX/Cr_MAX") - `define UPDATE_HDMI_TX_REG_CLIPP_MAX_R_MAX/Cr_MAX(x,y) UpdateField(HDMI_TX_REG_CLIPP_MAX,"R_MAX/Cr_MAX",x,y) - `define SET_HDMI_TX_REG_CLIPP_MAX_G_MAX/Y_MAX(x) SetField(HDMI_TX_REG_CLIPP_MAX,"G_MAX/Y_MAX",x) - `define GET_HDMI_TX_REG_CLIPP_MAX_G_MAX/Y_MAX(x) GetField(HDMI_TX_REG_CLIPP_MAX,"G_MAX/Y_MAX",x) - `define DEFAULT_HDMI_TX_REG_CLIPP_MAX_G_MAX/Y_MAX GetResetValue(HDMI_TX_REG_CLIPP_MAX,"G_MAX/Y_MAX") - `define UPDATE_HDMI_TX_REG_CLIPP_MAX_G_MAX/Y_MAX(x,y) UpdateField(HDMI_TX_REG_CLIPP_MAX,"G_MAX/Y_MAX",x,y) - `define SET_HDMI_TX_REG_CLIPP_MAX_B_MAX/Cb_MAX(x) SetField(HDMI_TX_REG_CLIPP_MAX,"B_MAX/Cb_MAX",x) - `define GET_HDMI_TX_REG_CLIPP_MAX_B_MAX/Cb_MAX(x) GetField(HDMI_TX_REG_CLIPP_MAX,"B_MAX/Cb_MAX",x) - `define DEFAULT_HDMI_TX_REG_CLIPP_MAX_B_MAX/Cb_MAX GetResetValue(HDMI_TX_REG_CLIPP_MAX,"B_MAX/Cb_MAX") - `define UPDATE_HDMI_TX_REG_CLIPP_MAX_B_MAX/Cb_MAX(x,y) UpdateField(HDMI_TX_REG_CLIPP_MAX,"B_MAX/Cb_MAX",x,y) - - const reg_t HDMI_TX_REG_CLIPP_MIN = '{ 'h006c, "REG_CLIPP_MIN" , '{ - "R_MIN/Cr_MIN": '{ 23, 16, RW, 'h10 }, - "G_MIN/Y_MIN": '{ 16, 8, RW, 'h10 }, - "B_MIN/Cb_MIN": '{ 7, 0, RW, 'h10 }}}; - `define SET_HDMI_TX_REG_CLIPP_MIN_R_MIN/Cr_MIN(x) SetField(HDMI_TX_REG_CLIPP_MIN,"R_MIN/Cr_MIN",x) - `define GET_HDMI_TX_REG_CLIPP_MIN_R_MIN/Cr_MIN(x) GetField(HDMI_TX_REG_CLIPP_MIN,"R_MIN/Cr_MIN",x) - `define DEFAULT_HDMI_TX_REG_CLIPP_MIN_R_MIN/Cr_MIN GetResetValue(HDMI_TX_REG_CLIPP_MIN,"R_MIN/Cr_MIN") - `define UPDATE_HDMI_TX_REG_CLIPP_MIN_R_MIN/Cr_MIN(x,y) UpdateField(HDMI_TX_REG_CLIPP_MIN,"R_MIN/Cr_MIN",x,y) - `define SET_HDMI_TX_REG_CLIPP_MIN_G_MIN/Y_MIN(x) SetField(HDMI_TX_REG_CLIPP_MIN,"G_MIN/Y_MIN",x) - `define GET_HDMI_TX_REG_CLIPP_MIN_G_MIN/Y_MIN(x) GetField(HDMI_TX_REG_CLIPP_MIN,"G_MIN/Y_MIN",x) - `define DEFAULT_HDMI_TX_REG_CLIPP_MIN_G_MIN/Y_MIN GetResetValue(HDMI_TX_REG_CLIPP_MIN,"G_MIN/Y_MIN") - `define UPDATE_HDMI_TX_REG_CLIPP_MIN_G_MIN/Y_MIN(x,y) UpdateField(HDMI_TX_REG_CLIPP_MIN,"G_MIN/Y_MIN",x,y) - `define SET_HDMI_TX_REG_CLIPP_MIN_B_MIN/Cb_MIN(x) SetField(HDMI_TX_REG_CLIPP_MIN,"B_MIN/Cb_MIN",x) - `define GET_HDMI_TX_REG_CLIPP_MIN_B_MIN/Cb_MIN(x) GetField(HDMI_TX_REG_CLIPP_MIN,"B_MIN/Cb_MIN",x) - `define DEFAULT_HDMI_TX_REG_CLIPP_MIN_B_MIN/Cb_MIN GetResetValue(HDMI_TX_REG_CLIPP_MIN,"B_MIN/Cb_MIN") - `define UPDATE_HDMI_TX_REG_CLIPP_MIN_B_MIN/Cb_MIN(x,y) UpdateField(HDMI_TX_REG_CLIPP_MIN,"B_MIN/Cb_MIN",x,y) - - const reg_t HDMI_TX_REG_HSYNC_1 = '{ 'h0400, "REG_HSYNC_1" , '{ - "H_LINE_ACTIVE": '{ 31, 16, RW, 'h0000 }, - "H_LINE_WIDTH": '{ 15, 0, RW, 'h0000 }}}; - `define SET_HDMI_TX_REG_HSYNC_1_H_LINE_ACTIVE(x) SetField(HDMI_TX_REG_HSYNC_1,"H_LINE_ACTIVE",x) - `define GET_HDMI_TX_REG_HSYNC_1_H_LINE_ACTIVE(x) GetField(HDMI_TX_REG_HSYNC_1,"H_LINE_ACTIVE",x) - `define DEFAULT_HDMI_TX_REG_HSYNC_1_H_LINE_ACTIVE GetResetValue(HDMI_TX_REG_HSYNC_1,"H_LINE_ACTIVE") - `define UPDATE_HDMI_TX_REG_HSYNC_1_H_LINE_ACTIVE(x,y) UpdateField(HDMI_TX_REG_HSYNC_1,"H_LINE_ACTIVE",x,y) - `define SET_HDMI_TX_REG_HSYNC_1_H_LINE_WIDTH(x) SetField(HDMI_TX_REG_HSYNC_1,"H_LINE_WIDTH",x) - `define GET_HDMI_TX_REG_HSYNC_1_H_LINE_WIDTH(x) GetField(HDMI_TX_REG_HSYNC_1,"H_LINE_WIDTH",x) - `define DEFAULT_HDMI_TX_REG_HSYNC_1_H_LINE_WIDTH GetResetValue(HDMI_TX_REG_HSYNC_1,"H_LINE_WIDTH") - `define UPDATE_HDMI_TX_REG_HSYNC_1_H_LINE_WIDTH(x,y) UpdateField(HDMI_TX_REG_HSYNC_1,"H_LINE_WIDTH",x,y) - - const reg_t HDMI_TX_REG_HSYNC_2 = '{ 'h0404, "REG_HSYNC_2" , '{ - "H_SYNC_WIDTH": '{ 15, 0, RW, 'h0000 }}}; - `define SET_HDMI_TX_REG_HSYNC_2_H_SYNC_WIDTH(x) SetField(HDMI_TX_REG_HSYNC_2,"H_SYNC_WIDTH",x) - `define GET_HDMI_TX_REG_HSYNC_2_H_SYNC_WIDTH(x) GetField(HDMI_TX_REG_HSYNC_2,"H_SYNC_WIDTH",x) - `define DEFAULT_HDMI_TX_REG_HSYNC_2_H_SYNC_WIDTH GetResetValue(HDMI_TX_REG_HSYNC_2,"H_SYNC_WIDTH") - `define UPDATE_HDMI_TX_REG_HSYNC_2_H_SYNC_WIDTH(x,y) UpdateField(HDMI_TX_REG_HSYNC_2,"H_SYNC_WIDTH",x,y) - - const reg_t HDMI_TX_REG_HSYNC_3 = '{ 'h0408, "REG_HSYNC_3" , '{ - "H_ENABLE_MAX": '{ 31, 16, RW, 'h0000 }, - "H_ENABLE_MIN": '{ 15, 0, RW, 'h0000 }}}; - `define SET_HDMI_TX_REG_HSYNC_3_H_ENABLE_MAX(x) SetField(HDMI_TX_REG_HSYNC_3,"H_ENABLE_MAX",x) - `define GET_HDMI_TX_REG_HSYNC_3_H_ENABLE_MAX(x) GetField(HDMI_TX_REG_HSYNC_3,"H_ENABLE_MAX",x) - `define DEFAULT_HDMI_TX_REG_HSYNC_3_H_ENABLE_MAX GetResetValue(HDMI_TX_REG_HSYNC_3,"H_ENABLE_MAX") - `define UPDATE_HDMI_TX_REG_HSYNC_3_H_ENABLE_MAX(x,y) UpdateField(HDMI_TX_REG_HSYNC_3,"H_ENABLE_MAX",x,y) - `define SET_HDMI_TX_REG_HSYNC_3_H_ENABLE_MIN(x) SetField(HDMI_TX_REG_HSYNC_3,"H_ENABLE_MIN",x) - `define GET_HDMI_TX_REG_HSYNC_3_H_ENABLE_MIN(x) GetField(HDMI_TX_REG_HSYNC_3,"H_ENABLE_MIN",x) - `define DEFAULT_HDMI_TX_REG_HSYNC_3_H_ENABLE_MIN GetResetValue(HDMI_TX_REG_HSYNC_3,"H_ENABLE_MIN") - `define UPDATE_HDMI_TX_REG_HSYNC_3_H_ENABLE_MIN(x,y) UpdateField(HDMI_TX_REG_HSYNC_3,"H_ENABLE_MIN",x,y) - - const reg_t HDMI_TX_REG_VSYNC_1 = '{ 'h0440, "REG_VSYNC_1" , '{ - "V_FRAME_ACTIVE": '{ 31, 16, RW, 'h0000 }, - "V_FRAME_WIDTH": '{ 15, 0, RW, 'h0000 }}}; - `define SET_HDMI_TX_REG_VSYNC_1_V_FRAME_ACTIVE(x) SetField(HDMI_TX_REG_VSYNC_1,"V_FRAME_ACTIVE",x) - `define GET_HDMI_TX_REG_VSYNC_1_V_FRAME_ACTIVE(x) GetField(HDMI_TX_REG_VSYNC_1,"V_FRAME_ACTIVE",x) - `define DEFAULT_HDMI_TX_REG_VSYNC_1_V_FRAME_ACTIVE GetResetValue(HDMI_TX_REG_VSYNC_1,"V_FRAME_ACTIVE") - `define UPDATE_HDMI_TX_REG_VSYNC_1_V_FRAME_ACTIVE(x,y) UpdateField(HDMI_TX_REG_VSYNC_1,"V_FRAME_ACTIVE",x,y) - `define SET_HDMI_TX_REG_VSYNC_1_V_FRAME_WIDTH(x) SetField(HDMI_TX_REG_VSYNC_1,"V_FRAME_WIDTH",x) - `define GET_HDMI_TX_REG_VSYNC_1_V_FRAME_WIDTH(x) GetField(HDMI_TX_REG_VSYNC_1,"V_FRAME_WIDTH",x) - `define DEFAULT_HDMI_TX_REG_VSYNC_1_V_FRAME_WIDTH GetResetValue(HDMI_TX_REG_VSYNC_1,"V_FRAME_WIDTH") - `define UPDATE_HDMI_TX_REG_VSYNC_1_V_FRAME_WIDTH(x,y) UpdateField(HDMI_TX_REG_VSYNC_1,"V_FRAME_WIDTH",x,y) - - const reg_t HDMI_TX_REG_VSYNC_2 = '{ 'h0444, "REG_VSYNC_2" , '{ - "V_SYNC_WIDTH": '{ 15, 0, RW, 'h0000 }}}; - `define SET_HDMI_TX_REG_VSYNC_2_V_SYNC_WIDTH(x) SetField(HDMI_TX_REG_VSYNC_2,"V_SYNC_WIDTH",x) - `define GET_HDMI_TX_REG_VSYNC_2_V_SYNC_WIDTH(x) GetField(HDMI_TX_REG_VSYNC_2,"V_SYNC_WIDTH",x) - `define DEFAULT_HDMI_TX_REG_VSYNC_2_V_SYNC_WIDTH GetResetValue(HDMI_TX_REG_VSYNC_2,"V_SYNC_WIDTH") - `define UPDATE_HDMI_TX_REG_VSYNC_2_V_SYNC_WIDTH(x,y) UpdateField(HDMI_TX_REG_VSYNC_2,"V_SYNC_WIDTH",x,y) - - const reg_t HDMI_TX_REG_VSYNC_3 = '{ 'h0448, "REG_VSYNC_3" , '{ - "V_ENABLE_MAX": '{ 31, 16, RW, 'h0000 }, - "V_ENABLE_MIN": '{ 15, 0, RW, 'h0000 }}}; - `define SET_HDMI_TX_REG_VSYNC_3_V_ENABLE_MAX(x) SetField(HDMI_TX_REG_VSYNC_3,"V_ENABLE_MAX",x) - `define GET_HDMI_TX_REG_VSYNC_3_V_ENABLE_MAX(x) GetField(HDMI_TX_REG_VSYNC_3,"V_ENABLE_MAX",x) - `define DEFAULT_HDMI_TX_REG_VSYNC_3_V_ENABLE_MAX GetResetValue(HDMI_TX_REG_VSYNC_3,"V_ENABLE_MAX") - `define UPDATE_HDMI_TX_REG_VSYNC_3_V_ENABLE_MAX(x,y) UpdateField(HDMI_TX_REG_VSYNC_3,"V_ENABLE_MAX",x,y) - `define SET_HDMI_TX_REG_VSYNC_3_V_ENABLE_MIN(x) SetField(HDMI_TX_REG_VSYNC_3,"V_ENABLE_MIN",x) - `define GET_HDMI_TX_REG_VSYNC_3_V_ENABLE_MIN(x) GetField(HDMI_TX_REG_VSYNC_3,"V_ENABLE_MIN",x) - `define DEFAULT_HDMI_TX_REG_VSYNC_3_V_ENABLE_MIN GetResetValue(HDMI_TX_REG_VSYNC_3,"V_ENABLE_MIN") - `define UPDATE_HDMI_TX_REG_VSYNC_3_V_ENABLE_MIN(x,y) UpdateField(HDMI_TX_REG_VSYNC_3,"V_ENABLE_MIN",x,y) - - -/* HDMI Receive (axi_hdmi_rx) */ - - const reg_t hdmi_rx_REG_RSTN = '{ 'h0040, "REG_RSTN" , '{ - "RSTN": '{ 0, 0, RW, 'h0 }}}; - `define SET_hdmi_rx_REG_RSTN_RSTN(x) SetField(hdmi_rx_REG_RSTN,"RSTN",x) - `define GET_hdmi_rx_REG_RSTN_RSTN(x) GetField(hdmi_rx_REG_RSTN,"RSTN",x) - `define DEFAULT_hdmi_rx_REG_RSTN_RSTN GetResetValue(hdmi_rx_REG_RSTN,"RSTN") - `define UPDATE_hdmi_rx_REG_RSTN_RSTN(x,y) UpdateField(hdmi_rx_REG_RSTN,"RSTN",x,y) - - const reg_t hdmi_rx_REG_CNTRL = '{ 'h0044, "REG_CNTRL" , '{ - "EDGE_SEL": '{ 3, 3, RW, 'h0 }, - "BGR": '{ 2, 2, RW, 'h0 }, - "PACKED": '{ 1, 1, RW, 'h0 }, - "CSC_BYPASS": '{ 0, 0, RW, 'h0 }}}; - `define SET_hdmi_rx_REG_CNTRL_EDGE_SEL(x) SetField(hdmi_rx_REG_CNTRL,"EDGE_SEL",x) - `define GET_hdmi_rx_REG_CNTRL_EDGE_SEL(x) GetField(hdmi_rx_REG_CNTRL,"EDGE_SEL",x) - `define DEFAULT_hdmi_rx_REG_CNTRL_EDGE_SEL GetResetValue(hdmi_rx_REG_CNTRL,"EDGE_SEL") - `define UPDATE_hdmi_rx_REG_CNTRL_EDGE_SEL(x,y) UpdateField(hdmi_rx_REG_CNTRL,"EDGE_SEL",x,y) - `define SET_hdmi_rx_REG_CNTRL_BGR(x) SetField(hdmi_rx_REG_CNTRL,"BGR",x) - `define GET_hdmi_rx_REG_CNTRL_BGR(x) GetField(hdmi_rx_REG_CNTRL,"BGR",x) - `define DEFAULT_hdmi_rx_REG_CNTRL_BGR GetResetValue(hdmi_rx_REG_CNTRL,"BGR") - `define UPDATE_hdmi_rx_REG_CNTRL_BGR(x,y) UpdateField(hdmi_rx_REG_CNTRL,"BGR",x,y) - `define SET_hdmi_rx_REG_CNTRL_PACKED(x) SetField(hdmi_rx_REG_CNTRL,"PACKED",x) - `define GET_hdmi_rx_REG_CNTRL_PACKED(x) GetField(hdmi_rx_REG_CNTRL,"PACKED",x) - `define DEFAULT_hdmi_rx_REG_CNTRL_PACKED GetResetValue(hdmi_rx_REG_CNTRL,"PACKED") - `define UPDATE_hdmi_rx_REG_CNTRL_PACKED(x,y) UpdateField(hdmi_rx_REG_CNTRL,"PACKED",x,y) - `define SET_hdmi_rx_REG_CNTRL_CSC_BYPASS(x) SetField(hdmi_rx_REG_CNTRL,"CSC_BYPASS",x) - `define GET_hdmi_rx_REG_CNTRL_CSC_BYPASS(x) GetField(hdmi_rx_REG_CNTRL,"CSC_BYPASS",x) - `define DEFAULT_hdmi_rx_REG_CNTRL_CSC_BYPASS GetResetValue(hdmi_rx_REG_CNTRL,"CSC_BYPASS") - `define UPDATE_hdmi_rx_REG_CNTRL_CSC_BYPASS(x,y) UpdateField(hdmi_rx_REG_CNTRL,"CSC_BYPASS",x,y) - - const reg_t hdmi_rx_REG_CLK_FREQ = '{ 'h0054, "REG_CLK_FREQ" , '{ - "CLK_FREQ": '{ 31, 0, RO, 'h00000000 }}}; - `define SET_hdmi_rx_REG_CLK_FREQ_CLK_FREQ(x) SetField(hdmi_rx_REG_CLK_FREQ,"CLK_FREQ",x) - `define GET_hdmi_rx_REG_CLK_FREQ_CLK_FREQ(x) GetField(hdmi_rx_REG_CLK_FREQ,"CLK_FREQ",x) - `define DEFAULT_hdmi_rx_REG_CLK_FREQ_CLK_FREQ GetResetValue(hdmi_rx_REG_CLK_FREQ,"CLK_FREQ") - `define UPDATE_hdmi_rx_REG_CLK_FREQ_CLK_FREQ(x,y) UpdateField(hdmi_rx_REG_CLK_FREQ,"CLK_FREQ",x,y) - - const reg_t hdmi_rx_REG_CLK_RATIO = '{ 'h0058, "REG_CLK_RATIO" , '{ - "CLK_RATIO": '{ 31, 0, RO, 'h00000000 }}}; - `define SET_hdmi_rx_REG_CLK_RATIO_CLK_RATIO(x) SetField(hdmi_rx_REG_CLK_RATIO,"CLK_RATIO",x) - `define GET_hdmi_rx_REG_CLK_RATIO_CLK_RATIO(x) GetField(hdmi_rx_REG_CLK_RATIO,"CLK_RATIO",x) - `define DEFAULT_hdmi_rx_REG_CLK_RATIO_CLK_RATIO GetResetValue(hdmi_rx_REG_CLK_RATIO,"CLK_RATIO") - `define UPDATE_hdmi_rx_REG_CLK_RATIO_CLK_RATIO(x,y) UpdateField(hdmi_rx_REG_CLK_RATIO,"CLK_RATIO",x,y) - - const reg_t hdmi_rx_REG_VDMA_STATUS = '{ 'h0060, "REG_VDMA_STATUS" , '{ - "VDMA_OVF": '{ 1, 1, RW1C, 'h0 }, - "VDMA_UNF": '{ 0, 0, RW1C, 'h0 }}}; - `define SET_hdmi_rx_REG_VDMA_STATUS_VDMA_OVF(x) SetField(hdmi_rx_REG_VDMA_STATUS,"VDMA_OVF",x) - `define GET_hdmi_rx_REG_VDMA_STATUS_VDMA_OVF(x) GetField(hdmi_rx_REG_VDMA_STATUS,"VDMA_OVF",x) - `define DEFAULT_hdmi_rx_REG_VDMA_STATUS_VDMA_OVF GetResetValue(hdmi_rx_REG_VDMA_STATUS,"VDMA_OVF") - `define UPDATE_hdmi_rx_REG_VDMA_STATUS_VDMA_OVF(x,y) UpdateField(hdmi_rx_REG_VDMA_STATUS,"VDMA_OVF",x,y) - `define SET_hdmi_rx_REG_VDMA_STATUS_VDMA_UNF(x) SetField(hdmi_rx_REG_VDMA_STATUS,"VDMA_UNF",x) - `define GET_hdmi_rx_REG_VDMA_STATUS_VDMA_UNF(x) GetField(hdmi_rx_REG_VDMA_STATUS,"VDMA_UNF",x) - `define DEFAULT_hdmi_rx_REG_VDMA_STATUS_VDMA_UNF GetResetValue(hdmi_rx_REG_VDMA_STATUS,"VDMA_UNF") - `define UPDATE_hdmi_rx_REG_VDMA_STATUS_VDMA_UNF(x,y) UpdateField(hdmi_rx_REG_VDMA_STATUS,"VDMA_UNF",x,y) - - const reg_t hdmi_rx_REG_TPM_STATUS1 = '{ 'h0064, "REG_TPM_STATUS1" , '{ - "HDMI_TPM_OOS": '{ 1, 1, RW1C, 'h0 }}}; - `define SET_hdmi_rx_REG_TPM_STATUS1_HDMI_TPM_OOS(x) SetField(hdmi_rx_REG_TPM_STATUS1,"HDMI_TPM_OOS",x) - `define GET_hdmi_rx_REG_TPM_STATUS1_HDMI_TPM_OOS(x) GetField(hdmi_rx_REG_TPM_STATUS1,"HDMI_TPM_OOS",x) - `define DEFAULT_hdmi_rx_REG_TPM_STATUS1_HDMI_TPM_OOS GetResetValue(hdmi_rx_REG_TPM_STATUS1,"HDMI_TPM_OOS") - `define UPDATE_hdmi_rx_REG_TPM_STATUS1_HDMI_TPM_OOS(x,y) UpdateField(hdmi_rx_REG_TPM_STATUS1,"HDMI_TPM_OOS",x,y) - - const reg_t hdmi_rx_REG_TPM_STATUS2 = '{ 'h0080, "REG_TPM_STATUS2" , '{ - "VS_OOS": '{ 3, 3, RW1C, 'h0 }, - "HS_OOS": '{ 2, 2, RW1C, 'h0 }, - "VS_MISMATCH": '{ 1, 1, RW1C, 'h0 }, - "HS_MISMATCH": '{ 0, 0, RW1C, 'h0 }}}; - `define SET_hdmi_rx_REG_TPM_STATUS2_VS_OOS(x) SetField(hdmi_rx_REG_TPM_STATUS2,"VS_OOS",x) - `define GET_hdmi_rx_REG_TPM_STATUS2_VS_OOS(x) GetField(hdmi_rx_REG_TPM_STATUS2,"VS_OOS",x) - `define DEFAULT_hdmi_rx_REG_TPM_STATUS2_VS_OOS GetResetValue(hdmi_rx_REG_TPM_STATUS2,"VS_OOS") - `define UPDATE_hdmi_rx_REG_TPM_STATUS2_VS_OOS(x,y) UpdateField(hdmi_rx_REG_TPM_STATUS2,"VS_OOS",x,y) - `define SET_hdmi_rx_REG_TPM_STATUS2_HS_OOS(x) SetField(hdmi_rx_REG_TPM_STATUS2,"HS_OOS",x) - `define GET_hdmi_rx_REG_TPM_STATUS2_HS_OOS(x) GetField(hdmi_rx_REG_TPM_STATUS2,"HS_OOS",x) - `define DEFAULT_hdmi_rx_REG_TPM_STATUS2_HS_OOS GetResetValue(hdmi_rx_REG_TPM_STATUS2,"HS_OOS") - `define UPDATE_hdmi_rx_REG_TPM_STATUS2_HS_OOS(x,y) UpdateField(hdmi_rx_REG_TPM_STATUS2,"HS_OOS",x,y) - `define SET_hdmi_rx_REG_TPM_STATUS2_VS_MISMATCH(x) SetField(hdmi_rx_REG_TPM_STATUS2,"VS_MISMATCH",x) - `define GET_hdmi_rx_REG_TPM_STATUS2_VS_MISMATCH(x) GetField(hdmi_rx_REG_TPM_STATUS2,"VS_MISMATCH",x) - `define DEFAULT_hdmi_rx_REG_TPM_STATUS2_VS_MISMATCH GetResetValue(hdmi_rx_REG_TPM_STATUS2,"VS_MISMATCH") - `define UPDATE_hdmi_rx_REG_TPM_STATUS2_VS_MISMATCH(x,y) UpdateField(hdmi_rx_REG_TPM_STATUS2,"VS_MISMATCH",x,y) - `define SET_hdmi_rx_REG_TPM_STATUS2_HS_MISMATCH(x) SetField(hdmi_rx_REG_TPM_STATUS2,"HS_MISMATCH",x) - `define GET_hdmi_rx_REG_TPM_STATUS2_HS_MISMATCH(x) GetField(hdmi_rx_REG_TPM_STATUS2,"HS_MISMATCH",x) - `define DEFAULT_hdmi_rx_REG_TPM_STATUS2_HS_MISMATCH GetResetValue(hdmi_rx_REG_TPM_STATUS2,"HS_MISMATCH") - `define UPDATE_hdmi_rx_REG_TPM_STATUS2_HS_MISMATCH(x,y) UpdateField(hdmi_rx_REG_TPM_STATUS2,"HS_MISMATCH",x,y) - - const reg_t hdmi_rx_REG_HVCOUNTS1 = '{ 'h0400, "REG_HVCOUNTS1" , '{ - "VS_COUNT": '{ 31, 16, RW, 'h0000 }, - "HS_COUNT": '{ 15, 0, RW, 'h0000 }}}; - `define SET_hdmi_rx_REG_HVCOUNTS1_VS_COUNT(x) SetField(hdmi_rx_REG_HVCOUNTS1,"VS_COUNT",x) - `define GET_hdmi_rx_REG_HVCOUNTS1_VS_COUNT(x) GetField(hdmi_rx_REG_HVCOUNTS1,"VS_COUNT",x) - `define DEFAULT_hdmi_rx_REG_HVCOUNTS1_VS_COUNT GetResetValue(hdmi_rx_REG_HVCOUNTS1,"VS_COUNT") - `define UPDATE_hdmi_rx_REG_HVCOUNTS1_VS_COUNT(x,y) UpdateField(hdmi_rx_REG_HVCOUNTS1,"VS_COUNT",x,y) - `define SET_hdmi_rx_REG_HVCOUNTS1_HS_COUNT(x) SetField(hdmi_rx_REG_HVCOUNTS1,"HS_COUNT",x) - `define GET_hdmi_rx_REG_HVCOUNTS1_HS_COUNT(x) GetField(hdmi_rx_REG_HVCOUNTS1,"HS_COUNT",x) - `define DEFAULT_hdmi_rx_REG_HVCOUNTS1_HS_COUNT GetResetValue(hdmi_rx_REG_HVCOUNTS1,"HS_COUNT") - `define UPDATE_hdmi_rx_REG_HVCOUNTS1_HS_COUNT(x,y) UpdateField(hdmi_rx_REG_HVCOUNTS1,"HS_COUNT",x,y) - - const reg_t hdmi_rx_REG_HVCOUNTS2 = '{ 'h0404, "REG_HVCOUNTS2" , '{ - "VS_COUNT": '{ 31, 16, RO, 'h0000 }, - "HS_COUNT": '{ 15, 0, RO, 'h0000 }}}; - `define SET_hdmi_rx_REG_HVCOUNTS2_VS_COUNT(x) SetField(hdmi_rx_REG_HVCOUNTS2,"VS_COUNT",x) - `define GET_hdmi_rx_REG_HVCOUNTS2_VS_COUNT(x) GetField(hdmi_rx_REG_HVCOUNTS2,"VS_COUNT",x) - `define DEFAULT_hdmi_rx_REG_HVCOUNTS2_VS_COUNT GetResetValue(hdmi_rx_REG_HVCOUNTS2,"VS_COUNT") - `define UPDATE_hdmi_rx_REG_HVCOUNTS2_VS_COUNT(x,y) UpdateField(hdmi_rx_REG_HVCOUNTS2,"VS_COUNT",x,y) - `define SET_hdmi_rx_REG_HVCOUNTS2_HS_COUNT(x) SetField(hdmi_rx_REG_HVCOUNTS2,"HS_COUNT",x) - `define GET_hdmi_rx_REG_HVCOUNTS2_HS_COUNT(x) GetField(hdmi_rx_REG_HVCOUNTS2,"HS_COUNT",x) - `define DEFAULT_hdmi_rx_REG_HVCOUNTS2_HS_COUNT GetResetValue(hdmi_rx_REG_HVCOUNTS2,"HS_COUNT") - `define UPDATE_hdmi_rx_REG_HVCOUNTS2_HS_COUNT(x,y) UpdateField(hdmi_rx_REG_HVCOUNTS2,"HS_COUNT",x,y) - - -endpackage + import logger_pkg::*; + import adi_api_pkg::*; + + class adi_regmap_hdmi extends adi_regmap; + + /* HDMI Transmit (axi_hdmi_tx) */ + class RSTN_TX_CLASS extends register_base; + field_base RSTN_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.RSTN_F = new("RSTN", 0, 0, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: RSTN_TX_CLASS + + class CNTRL1_CLASS extends register_base; + field_base SS_BYPASS_F; + field_base CSC_BYPASS_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.SS_BYPASS_F = new("SS_BYPASS", 2, 2, RW, 'h0, this); + this.CSC_BYPASS_F = new("CSC_BYPASS", 0, 0, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: CNTRL1_CLASS + + class CNTRL2_CLASS extends register_base; + field_base SOURCE_SEL_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.SOURCE_SEL_F = new("SOURCE_SEL", 1, 0, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: CNTRL2_CLASS + + class CNTRL3_CLASS extends register_base; + field_base CONST_RGB_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.CONST_RGB_F = new("CONST_RGB", 23, 0, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: CNTRL3_CLASS + + class CLK_FREQ_TX_CLASS extends register_base; + field_base CLK_FREQ_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.CLK_FREQ_F = new("CLK_FREQ", 31, 0, RO, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: CLK_FREQ_TX_CLASS + + class CLK_RATIO_TX_CLASS extends register_base; + field_base CLK_RATIO_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.CLK_RATIO_F = new("CLK_RATIO", 31, 0, RO, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: CLK_RATIO_TX_CLASS + + class STATUS_CLASS extends register_base; + field_base STATUS_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.STATUS_F = new("STATUS", 0, 0, RO, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: STATUS_CLASS + + class VDMA_STATUS_TX_CLASS extends register_base; + field_base VDMA_OVF_F; + field_base VDMA_UNF_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.VDMA_OVF_F = new("VDMA_OVF", 1, 1, RW1C, 'h0, this); + this.VDMA_UNF_F = new("VDMA_UNF", 0, 0, RW1C, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: VDMA_STATUS_TX_CLASS + + class TPM_STATUS_CLASS extends register_base; + field_base HDMI_TPM_OOS_F; + field_base VDMA_TPM_OOS_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.HDMI_TPM_OOS_F = new("HDMI_TPM_OOS", 1, 1, RW1C, 'h0, this); + this.VDMA_TPM_OOS_F = new("VDMA_TPM_OOS", 0, 0, RW1C, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: TPM_STATUS_CLASS + + class CLIPP_MAX_CLASS extends register_base; + field_base R_MAXorCR_MAX_F; + field_base G_MAXorY_MAX_F; + field_base B_MAXorCB_MAX_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.R_MAXorCR_MAX_F = new("R_MAXorCR_MAX", 23, 16, RW, 'hf0, this); + this.G_MAXorY_MAX_F = new("G_MAXorY_MAX", 16, 8, RW, 'heb, this); + this.B_MAXorCB_MAX_F = new("B_MAXorCB_MAX", 7, 0, RW, 'hf0, this); + + this.initialization_done = 1; + endfunction: new + endclass: CLIPP_MAX_CLASS + + class CLIPP_MIN_CLASS extends register_base; + field_base R_MINorCR_MIN_F; + field_base G_MINorY_MIN_F; + field_base B_MINorCB_MIN_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.R_MINorCR_MIN_F = new("R_MINorCR_MIN", 23, 16, RW, 'h10, this); + this.G_MINorY_MIN_F = new("G_MINorY_MIN", 16, 8, RW, 'h10, this); + this.B_MINorCB_MIN_F = new("B_MINorCB_MIN", 7, 0, RW, 'h10, this); + + this.initialization_done = 1; + endfunction: new + endclass: CLIPP_MIN_CLASS + + class HSYNC_1_CLASS extends register_base; + field_base H_LINE_ACTIVE_F; + field_base H_LINE_WIDTH_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.H_LINE_ACTIVE_F = new("H_LINE_ACTIVE", 31, 16, RW, 'h0, this); + this.H_LINE_WIDTH_F = new("H_LINE_WIDTH", 15, 0, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: HSYNC_1_CLASS + + class HSYNC_2_CLASS extends register_base; + field_base H_SYNC_WIDTH_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.H_SYNC_WIDTH_F = new("H_SYNC_WIDTH", 15, 0, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: HSYNC_2_CLASS + + class HSYNC_3_CLASS extends register_base; + field_base H_ENABLE_MAX_F; + field_base H_ENABLE_MIN_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.H_ENABLE_MAX_F = new("H_ENABLE_MAX", 31, 16, RW, 'h0, this); + this.H_ENABLE_MIN_F = new("H_ENABLE_MIN", 15, 0, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: HSYNC_3_CLASS + + class VSYNC_1_CLASS extends register_base; + field_base V_FRAME_ACTIVE_F; + field_base V_FRAME_WIDTH_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.V_FRAME_ACTIVE_F = new("V_FRAME_ACTIVE", 31, 16, RW, 'h0, this); + this.V_FRAME_WIDTH_F = new("V_FRAME_WIDTH", 15, 0, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: VSYNC_1_CLASS + + class VSYNC_2_CLASS extends register_base; + field_base V_SYNC_WIDTH_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.V_SYNC_WIDTH_F = new("V_SYNC_WIDTH", 15, 0, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: VSYNC_2_CLASS + + class VSYNC_3_CLASS extends register_base; + field_base V_ENABLE_MAX_F; + field_base V_ENABLE_MIN_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.V_ENABLE_MAX_F = new("V_ENABLE_MAX", 31, 16, RW, 'h0, this); + this.V_ENABLE_MIN_F = new("V_ENABLE_MIN", 15, 0, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: VSYNC_3_CLASS + + /* HDMI Receive (axi_hdmi_rx) */ + class RSTN_RX_CLASS extends register_base; + field_base RSTN_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.RSTN_F = new("RSTN", 0, 0, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: RSTN_RX_CLASS + + class CNTRL_CLASS extends register_base; + field_base EDGE_SEL_F; + field_base BGR_F; + field_base PACKED_F; + field_base CSC_BYPASS_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.EDGE_SEL_F = new("EDGE_SEL", 3, 3, RW, 'h0, this); + this.BGR_F = new("BGR", 2, 2, RW, 'h0, this); + this.PACKED_F = new("PACKED", 1, 1, RW, 'h0, this); + this.CSC_BYPASS_F = new("CSC_BYPASS", 0, 0, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: CNTRL_CLASS + + class CLK_FREQ_RX_CLASS extends register_base; + field_base CLK_FREQ_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.CLK_FREQ_F = new("CLK_FREQ", 31, 0, RO, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: CLK_FREQ_RX_CLASS + + class CLK_RATIO_RX_CLASS extends register_base; + field_base CLK_RATIO_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.CLK_RATIO_F = new("CLK_RATIO", 31, 0, RO, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: CLK_RATIO_RX_CLASS + + class VDMA_STATUS_RX_CLASS extends register_base; + field_base VDMA_OVF_F; + field_base VDMA_UNF_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.VDMA_OVF_F = new("VDMA_OVF", 1, 1, RW1C, 'h0, this); + this.VDMA_UNF_F = new("VDMA_UNF", 0, 0, RW1C, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: VDMA_STATUS_RX_CLASS + + class TPM_STATUS1_CLASS extends register_base; + field_base HDMI_TPM_OOS_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.HDMI_TPM_OOS_F = new("HDMI_TPM_OOS", 1, 1, RW1C, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: TPM_STATUS1_CLASS + + class TPM_STATUS2_CLASS extends register_base; + field_base VS_OOS_F; + field_base HS_OOS_F; + field_base VS_MISMATCH_F; + field_base HS_MISMATCH_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.VS_OOS_F = new("VS_OOS", 3, 3, RW1C, 'h0, this); + this.HS_OOS_F = new("HS_OOS", 2, 2, RW1C, 'h0, this); + this.VS_MISMATCH_F = new("VS_MISMATCH", 1, 1, RW1C, 'h0, this); + this.HS_MISMATCH_F = new("HS_MISMATCH", 0, 0, RW1C, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: TPM_STATUS2_CLASS + + class HVCOUNTS1_CLASS extends register_base; + field_base VS_COUNT_F; + field_base HS_COUNT_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.VS_COUNT_F = new("VS_COUNT", 31, 16, RW, 'h0, this); + this.HS_COUNT_F = new("HS_COUNT", 15, 0, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: HVCOUNTS1_CLASS + + class HVCOUNTS2_CLASS extends register_base; + field_base VS_COUNT_F; + field_base HS_COUNT_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.VS_COUNT_F = new("VS_COUNT", 31, 16, RO, 'h0, this); + this.HS_COUNT_F = new("HS_COUNT", 15, 0, RO, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: HVCOUNTS2_CLASS + + RSTN_TX_CLASS RSTN_TX_R; + CNTRL1_CLASS CNTRL1_R; + CNTRL2_CLASS CNTRL2_R; + CNTRL3_CLASS CNTRL3_R; + CLK_FREQ_TX_CLASS CLK_FREQ_TX_R; + CLK_RATIO_TX_CLASS CLK_RATIO_TX_R; + STATUS_CLASS STATUS_R; + VDMA_STATUS_TX_CLASS VDMA_STATUS_TX_R; + TPM_STATUS_CLASS TPM_STATUS_R; + CLIPP_MAX_CLASS CLIPP_MAX_R; + CLIPP_MIN_CLASS CLIPP_MIN_R; + HSYNC_1_CLASS HSYNC_1_R; + HSYNC_2_CLASS HSYNC_2_R; + HSYNC_3_CLASS HSYNC_3_R; + VSYNC_1_CLASS VSYNC_1_R; + VSYNC_2_CLASS VSYNC_2_R; + VSYNC_3_CLASS VSYNC_3_R; + RSTN_RX_CLASS RSTN_RX_R; + CNTRL_CLASS CNTRL_R; + CLK_FREQ_RX_CLASS CLK_FREQ_RX_R; + CLK_RATIO_RX_CLASS CLK_RATIO_RX_R; + VDMA_STATUS_RX_CLASS VDMA_STATUS_RX_R; + TPM_STATUS1_CLASS TPM_STATUS1_R; + TPM_STATUS2_CLASS TPM_STATUS2_R; + HVCOUNTS1_CLASS HVCOUNTS1_R; + HVCOUNTS2_CLASS HVCOUNTS2_R; + + function new( + input string name, + input int address, + input adi_api parent = null); + + super.new(name, address, parent); + + this.RSTN_TX_R = new("RSTN_TX", 'h40, this); + this.CNTRL1_R = new("CNTRL1", 'h44, this); + this.CNTRL2_R = new("CNTRL2", 'h48, this); + this.CNTRL3_R = new("CNTRL3", 'h4c, this); + this.CLK_FREQ_TX_R = new("CLK_FREQ_TX", 'h54, this); + this.CLK_RATIO_TX_R = new("CLK_RATIO_TX", 'h58, this); + this.STATUS_R = new("STATUS", 'h5c, this); + this.VDMA_STATUS_TX_R = new("VDMA_STATUS_TX", 'h60, this); + this.TPM_STATUS_R = new("TPM_STATUS", 'h64, this); + this.CLIPP_MAX_R = new("CLIPP_MAX", 'h68, this); + this.CLIPP_MIN_R = new("CLIPP_MIN", 'h6c, this); + this.HSYNC_1_R = new("HSYNC_1", 'h400, this); + this.HSYNC_2_R = new("HSYNC_2", 'h404, this); + this.HSYNC_3_R = new("HSYNC_3", 'h408, this); + this.VSYNC_1_R = new("VSYNC_1", 'h440, this); + this.VSYNC_2_R = new("VSYNC_2", 'h444, this); + this.VSYNC_3_R = new("VSYNC_3", 'h448, this); + this.RSTN_RX_R = new("RSTN_RX", 'h40, this); + this.CNTRL_R = new("CNTRL", 'h44, this); + this.CLK_FREQ_RX_R = new("CLK_FREQ_RX", 'h54, this); + this.CLK_RATIO_RX_R = new("CLK_RATIO_RX", 'h58, this); + this.VDMA_STATUS_RX_R = new("VDMA_STATUS_RX", 'h60, this); + this.TPM_STATUS1_R = new("TPM_STATUS1", 'h64, this); + this.TPM_STATUS2_R = new("TPM_STATUS2", 'h80, this); + this.HVCOUNTS1_R = new("HVCOUNTS1", 'h400, this); + this.HVCOUNTS2_R = new("HVCOUNTS2", 'h404, this); + + this.info($sformatf("Initialized"), ADI_VERBOSITY_HIGH); + endfunction: new + + endclass: adi_regmap_hdmi + +endpackage: adi_regmap_hdmi_pkg diff --git a/library/regmaps/adi_regmap_i3c_controller_pkg.sv b/library/regmaps/adi_regmap_i3c_controller_pkg.sv index 37fa8736..a21b2aca 100644 --- a/library/regmaps/adi_regmap_i3c_controller_pkg.sv +++ b/library/regmaps/adi_regmap_i3c_controller_pkg.sv @@ -1,6 +1,6 @@ // *************************************************************************** // *************************************************************************** -// Copyright (C) 2014 - 2024 Analog Devices, Inc. All rights reserved. +// Copyright (C) 2014 - 2025 Analog Devices, Inc. All rights reserved. // // In this HDL repository, there are many different and unique modules, consisting // of various HDL (Verilog or VHDL) components. The individual modules are @@ -33,349 +33,573 @@ // *************************************************************************** // *************************************************************************** /* Auto generated Register Map */ -/* Sat Apr 20 14:16:29 2024 */ +/* Jan 28 13:30:17 2025 v0.3.55 */ package adi_regmap_i3c_controller_pkg; - import adi_regmap_pkg::*; - - -/* I3C Controller (i3c_controller_host_interface) */ - - const reg_t i3c_controller_host_interface_VERSION = '{ 'h0000, "VERSION" , '{ - "VERSION_MAJOR": '{ 31, 16, RO, 'h00 }, - "VERSION_MINOR": '{ 15, 8, RO, 'h01 }, - "VERSION_PATCH": '{ 7, 0, RO, 'h00 }}}; - `define SET_i3c_controller_host_interface_VERSION_VERSION_MAJOR(x) SetField(i3c_controller_host_interface_VERSION,"VERSION_MAJOR",x) - `define GET_i3c_controller_host_interface_VERSION_VERSION_MAJOR(x) GetField(i3c_controller_host_interface_VERSION,"VERSION_MAJOR",x) - `define DEFAULT_i3c_controller_host_interface_VERSION_VERSION_MAJOR GetResetValue(i3c_controller_host_interface_VERSION,"VERSION_MAJOR") - `define UPDATE_i3c_controller_host_interface_VERSION_VERSION_MAJOR(x,y) UpdateField(i3c_controller_host_interface_VERSION,"VERSION_MAJOR",x,y) - `define SET_i3c_controller_host_interface_VERSION_VERSION_MINOR(x) SetField(i3c_controller_host_interface_VERSION,"VERSION_MINOR",x) - `define GET_i3c_controller_host_interface_VERSION_VERSION_MINOR(x) GetField(i3c_controller_host_interface_VERSION,"VERSION_MINOR",x) - `define DEFAULT_i3c_controller_host_interface_VERSION_VERSION_MINOR GetResetValue(i3c_controller_host_interface_VERSION,"VERSION_MINOR") - `define UPDATE_i3c_controller_host_interface_VERSION_VERSION_MINOR(x,y) UpdateField(i3c_controller_host_interface_VERSION,"VERSION_MINOR",x,y) - `define SET_i3c_controller_host_interface_VERSION_VERSION_PATCH(x) SetField(i3c_controller_host_interface_VERSION,"VERSION_PATCH",x) - `define GET_i3c_controller_host_interface_VERSION_VERSION_PATCH(x) GetField(i3c_controller_host_interface_VERSION,"VERSION_PATCH",x) - `define DEFAULT_i3c_controller_host_interface_VERSION_VERSION_PATCH GetResetValue(i3c_controller_host_interface_VERSION,"VERSION_PATCH") - `define UPDATE_i3c_controller_host_interface_VERSION_VERSION_PATCH(x,y) UpdateField(i3c_controller_host_interface_VERSION,"VERSION_PATCH",x,y) - - const reg_t i3c_controller_host_interface_DEVICE_ID = '{ 'h0004, "DEVICE_ID" , '{ - "DEVICE_ID": '{ 31, 0, RO, 0 }}}; - `define SET_i3c_controller_host_interface_DEVICE_ID_DEVICE_ID(x) SetField(i3c_controller_host_interface_DEVICE_ID,"DEVICE_ID",x) - `define GET_i3c_controller_host_interface_DEVICE_ID_DEVICE_ID(x) GetField(i3c_controller_host_interface_DEVICE_ID,"DEVICE_ID",x) - `define DEFAULT_i3c_controller_host_interface_DEVICE_ID_DEVICE_ID GetResetValue(i3c_controller_host_interface_DEVICE_ID,"DEVICE_ID") - `define UPDATE_i3c_controller_host_interface_DEVICE_ID_DEVICE_ID(x,y) UpdateField(i3c_controller_host_interface_DEVICE_ID,"DEVICE_ID",x,y) - - const reg_t i3c_controller_host_interface_SCRATCH = '{ 'h0008, "SCRATCH" , '{ - "SCRATCH": '{ 31, 0, RW, 'h00000000 }}}; - `define SET_i3c_controller_host_interface_SCRATCH_SCRATCH(x) SetField(i3c_controller_host_interface_SCRATCH,"SCRATCH",x) - `define GET_i3c_controller_host_interface_SCRATCH_SCRATCH(x) GetField(i3c_controller_host_interface_SCRATCH,"SCRATCH",x) - `define DEFAULT_i3c_controller_host_interface_SCRATCH_SCRATCH GetResetValue(i3c_controller_host_interface_SCRATCH,"SCRATCH") - `define UPDATE_i3c_controller_host_interface_SCRATCH_SCRATCH(x,y) UpdateField(i3c_controller_host_interface_SCRATCH,"SCRATCH",x,y) - - const reg_t i3c_controller_host_interface_ENABLE = '{ 'h0040, "ENABLE" , '{ - "ENABLE": '{ 0, 0, RW, 'h1 }}}; - `define SET_i3c_controller_host_interface_ENABLE_ENABLE(x) SetField(i3c_controller_host_interface_ENABLE,"ENABLE",x) - `define GET_i3c_controller_host_interface_ENABLE_ENABLE(x) GetField(i3c_controller_host_interface_ENABLE,"ENABLE",x) - `define DEFAULT_i3c_controller_host_interface_ENABLE_ENABLE GetResetValue(i3c_controller_host_interface_ENABLE,"ENABLE") - `define UPDATE_i3c_controller_host_interface_ENABLE_ENABLE(x,y) UpdateField(i3c_controller_host_interface_ENABLE,"ENABLE",x,y) - - const reg_t i3c_controller_host_interface_IRQ_MASK = '{ 'h0080, "IRQ_MASK" , '{ - "DAA_PENDING": '{ 7, 7, RW, 'h0 }, - "IBI_PENDING": '{ 6, 6, RW, 'h0 }, - "CMDR_PENDING": '{ 5, 5, RW, 'h0 }, - "IBI_ALMOST_FULL": '{ 4, 4, RW, 'h0 }, - "SDI_ALMOST_FULL": '{ 3, 3, RW, 'h0 }, - "SDO_ALMOST_EMPTY": '{ 2, 2, RW, 'h0 }, - "CMDR_ALMOST_FULL": '{ 1, 1, RW, 'h0 }, - "CMD_ALMOST_EMPTY": '{ 0, 0, RW, 'h0 }}}; - `define SET_i3c_controller_host_interface_IRQ_MASK_DAA_PENDING(x) SetField(i3c_controller_host_interface_IRQ_MASK,"DAA_PENDING",x) - `define GET_i3c_controller_host_interface_IRQ_MASK_DAA_PENDING(x) GetField(i3c_controller_host_interface_IRQ_MASK,"DAA_PENDING",x) - `define DEFAULT_i3c_controller_host_interface_IRQ_MASK_DAA_PENDING GetResetValue(i3c_controller_host_interface_IRQ_MASK,"DAA_PENDING") - `define UPDATE_i3c_controller_host_interface_IRQ_MASK_DAA_PENDING(x,y) UpdateField(i3c_controller_host_interface_IRQ_MASK,"DAA_PENDING",x,y) - `define SET_i3c_controller_host_interface_IRQ_MASK_IBI_PENDING(x) SetField(i3c_controller_host_interface_IRQ_MASK,"IBI_PENDING",x) - `define GET_i3c_controller_host_interface_IRQ_MASK_IBI_PENDING(x) GetField(i3c_controller_host_interface_IRQ_MASK,"IBI_PENDING",x) - `define DEFAULT_i3c_controller_host_interface_IRQ_MASK_IBI_PENDING GetResetValue(i3c_controller_host_interface_IRQ_MASK,"IBI_PENDING") - `define UPDATE_i3c_controller_host_interface_IRQ_MASK_IBI_PENDING(x,y) UpdateField(i3c_controller_host_interface_IRQ_MASK,"IBI_PENDING",x,y) - `define SET_i3c_controller_host_interface_IRQ_MASK_CMDR_PENDING(x) SetField(i3c_controller_host_interface_IRQ_MASK,"CMDR_PENDING",x) - `define GET_i3c_controller_host_interface_IRQ_MASK_CMDR_PENDING(x) GetField(i3c_controller_host_interface_IRQ_MASK,"CMDR_PENDING",x) - `define DEFAULT_i3c_controller_host_interface_IRQ_MASK_CMDR_PENDING GetResetValue(i3c_controller_host_interface_IRQ_MASK,"CMDR_PENDING") - `define UPDATE_i3c_controller_host_interface_IRQ_MASK_CMDR_PENDING(x,y) UpdateField(i3c_controller_host_interface_IRQ_MASK,"CMDR_PENDING",x,y) - `define SET_i3c_controller_host_interface_IRQ_MASK_IBI_ALMOST_FULL(x) SetField(i3c_controller_host_interface_IRQ_MASK,"IBI_ALMOST_FULL",x) - `define GET_i3c_controller_host_interface_IRQ_MASK_IBI_ALMOST_FULL(x) GetField(i3c_controller_host_interface_IRQ_MASK,"IBI_ALMOST_FULL",x) - `define DEFAULT_i3c_controller_host_interface_IRQ_MASK_IBI_ALMOST_FULL GetResetValue(i3c_controller_host_interface_IRQ_MASK,"IBI_ALMOST_FULL") - `define UPDATE_i3c_controller_host_interface_IRQ_MASK_IBI_ALMOST_FULL(x,y) UpdateField(i3c_controller_host_interface_IRQ_MASK,"IBI_ALMOST_FULL",x,y) - `define SET_i3c_controller_host_interface_IRQ_MASK_SDI_ALMOST_FULL(x) SetField(i3c_controller_host_interface_IRQ_MASK,"SDI_ALMOST_FULL",x) - `define GET_i3c_controller_host_interface_IRQ_MASK_SDI_ALMOST_FULL(x) GetField(i3c_controller_host_interface_IRQ_MASK,"SDI_ALMOST_FULL",x) - `define DEFAULT_i3c_controller_host_interface_IRQ_MASK_SDI_ALMOST_FULL GetResetValue(i3c_controller_host_interface_IRQ_MASK,"SDI_ALMOST_FULL") - `define UPDATE_i3c_controller_host_interface_IRQ_MASK_SDI_ALMOST_FULL(x,y) UpdateField(i3c_controller_host_interface_IRQ_MASK,"SDI_ALMOST_FULL",x,y) - `define SET_i3c_controller_host_interface_IRQ_MASK_SDO_ALMOST_EMPTY(x) SetField(i3c_controller_host_interface_IRQ_MASK,"SDO_ALMOST_EMPTY",x) - `define GET_i3c_controller_host_interface_IRQ_MASK_SDO_ALMOST_EMPTY(x) GetField(i3c_controller_host_interface_IRQ_MASK,"SDO_ALMOST_EMPTY",x) - `define DEFAULT_i3c_controller_host_interface_IRQ_MASK_SDO_ALMOST_EMPTY GetResetValue(i3c_controller_host_interface_IRQ_MASK,"SDO_ALMOST_EMPTY") - `define UPDATE_i3c_controller_host_interface_IRQ_MASK_SDO_ALMOST_EMPTY(x,y) UpdateField(i3c_controller_host_interface_IRQ_MASK,"SDO_ALMOST_EMPTY",x,y) - `define SET_i3c_controller_host_interface_IRQ_MASK_CMDR_ALMOST_FULL(x) SetField(i3c_controller_host_interface_IRQ_MASK,"CMDR_ALMOST_FULL",x) - `define GET_i3c_controller_host_interface_IRQ_MASK_CMDR_ALMOST_FULL(x) GetField(i3c_controller_host_interface_IRQ_MASK,"CMDR_ALMOST_FULL",x) - `define DEFAULT_i3c_controller_host_interface_IRQ_MASK_CMDR_ALMOST_FULL GetResetValue(i3c_controller_host_interface_IRQ_MASK,"CMDR_ALMOST_FULL") - `define UPDATE_i3c_controller_host_interface_IRQ_MASK_CMDR_ALMOST_FULL(x,y) UpdateField(i3c_controller_host_interface_IRQ_MASK,"CMDR_ALMOST_FULL",x,y) - `define SET_i3c_controller_host_interface_IRQ_MASK_CMD_ALMOST_EMPTY(x) SetField(i3c_controller_host_interface_IRQ_MASK,"CMD_ALMOST_EMPTY",x) - `define GET_i3c_controller_host_interface_IRQ_MASK_CMD_ALMOST_EMPTY(x) GetField(i3c_controller_host_interface_IRQ_MASK,"CMD_ALMOST_EMPTY",x) - `define DEFAULT_i3c_controller_host_interface_IRQ_MASK_CMD_ALMOST_EMPTY GetResetValue(i3c_controller_host_interface_IRQ_MASK,"CMD_ALMOST_EMPTY") - `define UPDATE_i3c_controller_host_interface_IRQ_MASK_CMD_ALMOST_EMPTY(x,y) UpdateField(i3c_controller_host_interface_IRQ_MASK,"CMD_ALMOST_EMPTY",x,y) - - const reg_t i3c_controller_host_interface_IRQ_PENDING = '{ 'h0084, "IRQ_PENDING" , '{ - "IRQ_PENDING": '{ 31, 0, RW, 'h00000000 }}}; - `define SET_i3c_controller_host_interface_IRQ_PENDING_IRQ_PENDING(x) SetField(i3c_controller_host_interface_IRQ_PENDING,"IRQ_PENDING",x) - `define GET_i3c_controller_host_interface_IRQ_PENDING_IRQ_PENDING(x) GetField(i3c_controller_host_interface_IRQ_PENDING,"IRQ_PENDING",x) - `define DEFAULT_i3c_controller_host_interface_IRQ_PENDING_IRQ_PENDING GetResetValue(i3c_controller_host_interface_IRQ_PENDING,"IRQ_PENDING") - `define UPDATE_i3c_controller_host_interface_IRQ_PENDING_IRQ_PENDING(x,y) UpdateField(i3c_controller_host_interface_IRQ_PENDING,"IRQ_PENDING",x,y) - - const reg_t i3c_controller_host_interface_IRQ_SOURCE = '{ 'h0088, "IRQ_SOURCE" , '{ - "IRQ_SOURCE": '{ 31, 0, RO, 'h00000000 }}}; - `define SET_i3c_controller_host_interface_IRQ_SOURCE_IRQ_SOURCE(x) SetField(i3c_controller_host_interface_IRQ_SOURCE,"IRQ_SOURCE",x) - `define GET_i3c_controller_host_interface_IRQ_SOURCE_IRQ_SOURCE(x) GetField(i3c_controller_host_interface_IRQ_SOURCE,"IRQ_SOURCE",x) - `define DEFAULT_i3c_controller_host_interface_IRQ_SOURCE_IRQ_SOURCE GetResetValue(i3c_controller_host_interface_IRQ_SOURCE,"IRQ_SOURCE") - `define UPDATE_i3c_controller_host_interface_IRQ_SOURCE_IRQ_SOURCE(x,y) UpdateField(i3c_controller_host_interface_IRQ_SOURCE,"IRQ_SOURCE",x,y) - - const reg_t i3c_controller_host_interface_CMD_FIFO_ROOM = '{ 'h00c0, "CMD_FIFO_ROOM" , '{ - "CMD_FIFO_ROOM": '{ 31, 0, RO, 'hXXXXXXXX }}}; - `define SET_i3c_controller_host_interface_CMD_FIFO_ROOM_CMD_FIFO_ROOM(x) SetField(i3c_controller_host_interface_CMD_FIFO_ROOM,"CMD_FIFO_ROOM",x) - `define GET_i3c_controller_host_interface_CMD_FIFO_ROOM_CMD_FIFO_ROOM(x) GetField(i3c_controller_host_interface_CMD_FIFO_ROOM,"CMD_FIFO_ROOM",x) - `define DEFAULT_i3c_controller_host_interface_CMD_FIFO_ROOM_CMD_FIFO_ROOM GetResetValue(i3c_controller_host_interface_CMD_FIFO_ROOM,"CMD_FIFO_ROOM") - `define UPDATE_i3c_controller_host_interface_CMD_FIFO_ROOM_CMD_FIFO_ROOM(x,y) UpdateField(i3c_controller_host_interface_CMD_FIFO_ROOM,"CMD_FIFO_ROOM",x,y) - - const reg_t i3c_controller_host_interface_CMDR_FIFO_LEVEL = '{ 'h00c4, "CMDR_FIFO_LEVEL" , '{ - "CMDR_FIFO_LEVEL": '{ 31, 0, RO, 'h00000000 }}}; - `define SET_i3c_controller_host_interface_CMDR_FIFO_LEVEL_CMDR_FIFO_LEVEL(x) SetField(i3c_controller_host_interface_CMDR_FIFO_LEVEL,"CMDR_FIFO_LEVEL",x) - `define GET_i3c_controller_host_interface_CMDR_FIFO_LEVEL_CMDR_FIFO_LEVEL(x) GetField(i3c_controller_host_interface_CMDR_FIFO_LEVEL,"CMDR_FIFO_LEVEL",x) - `define DEFAULT_i3c_controller_host_interface_CMDR_FIFO_LEVEL_CMDR_FIFO_LEVEL GetResetValue(i3c_controller_host_interface_CMDR_FIFO_LEVEL,"CMDR_FIFO_LEVEL") - `define UPDATE_i3c_controller_host_interface_CMDR_FIFO_LEVEL_CMDR_FIFO_LEVEL(x,y) UpdateField(i3c_controller_host_interface_CMDR_FIFO_LEVEL,"CMDR_FIFO_LEVEL",x,y) - - const reg_t i3c_controller_host_interface_SDO_FIFO_ROOM = '{ 'h00c8, "SDO_FIFO_ROOM" , '{ - "SDO_FIFO_ROOM": '{ 31, 0, RO, 'hXXXXXXXX }}}; - `define SET_i3c_controller_host_interface_SDO_FIFO_ROOM_SDO_FIFO_ROOM(x) SetField(i3c_controller_host_interface_SDO_FIFO_ROOM,"SDO_FIFO_ROOM",x) - `define GET_i3c_controller_host_interface_SDO_FIFO_ROOM_SDO_FIFO_ROOM(x) GetField(i3c_controller_host_interface_SDO_FIFO_ROOM,"SDO_FIFO_ROOM",x) - `define DEFAULT_i3c_controller_host_interface_SDO_FIFO_ROOM_SDO_FIFO_ROOM GetResetValue(i3c_controller_host_interface_SDO_FIFO_ROOM,"SDO_FIFO_ROOM") - `define UPDATE_i3c_controller_host_interface_SDO_FIFO_ROOM_SDO_FIFO_ROOM(x,y) UpdateField(i3c_controller_host_interface_SDO_FIFO_ROOM,"SDO_FIFO_ROOM",x,y) - - const reg_t i3c_controller_host_interface_SDI_FIFO_LEVEL = '{ 'h00cc, "SDI_FIFO_LEVEL" , '{ - "SDI_FIFO_LEVEL": '{ 31, 0, RO, 'h00000000 }}}; - `define SET_i3c_controller_host_interface_SDI_FIFO_LEVEL_SDI_FIFO_LEVEL(x) SetField(i3c_controller_host_interface_SDI_FIFO_LEVEL,"SDI_FIFO_LEVEL",x) - `define GET_i3c_controller_host_interface_SDI_FIFO_LEVEL_SDI_FIFO_LEVEL(x) GetField(i3c_controller_host_interface_SDI_FIFO_LEVEL,"SDI_FIFO_LEVEL",x) - `define DEFAULT_i3c_controller_host_interface_SDI_FIFO_LEVEL_SDI_FIFO_LEVEL GetResetValue(i3c_controller_host_interface_SDI_FIFO_LEVEL,"SDI_FIFO_LEVEL") - `define UPDATE_i3c_controller_host_interface_SDI_FIFO_LEVEL_SDI_FIFO_LEVEL(x,y) UpdateField(i3c_controller_host_interface_SDI_FIFO_LEVEL,"SDI_FIFO_LEVEL",x,y) - - const reg_t i3c_controller_host_interface_IBI_FIFO_LEVEL = '{ 'h00d0, "IBI_FIFO_LEVEL" , '{ - "IBI_FIFO_LEVEL": '{ 31, 0, RO, 'h00000000 }}}; - `define SET_i3c_controller_host_interface_IBI_FIFO_LEVEL_IBI_FIFO_LEVEL(x) SetField(i3c_controller_host_interface_IBI_FIFO_LEVEL,"IBI_FIFO_LEVEL",x) - `define GET_i3c_controller_host_interface_IBI_FIFO_LEVEL_IBI_FIFO_LEVEL(x) GetField(i3c_controller_host_interface_IBI_FIFO_LEVEL,"IBI_FIFO_LEVEL",x) - `define DEFAULT_i3c_controller_host_interface_IBI_FIFO_LEVEL_IBI_FIFO_LEVEL GetResetValue(i3c_controller_host_interface_IBI_FIFO_LEVEL,"IBI_FIFO_LEVEL") - `define UPDATE_i3c_controller_host_interface_IBI_FIFO_LEVEL_IBI_FIFO_LEVEL(x,y) UpdateField(i3c_controller_host_interface_IBI_FIFO_LEVEL,"IBI_FIFO_LEVEL",x,y) - - const reg_t i3c_controller_host_interface_CMD_FIFO = '{ 'h00d4, "CMD_FIFO" , '{ - "CMD_IS_CCC": '{ 22, 22, WO, 'hX }, - "CMD_BCAST_HEADER": '{ 21, 21, WO, 'hX }, - "CMD_SR": '{ 20, 20, WO, 'hX }, - "CMD_BUFFER_LENGHT": '{ 19, 8, WO, 'hXXX }, - "CMD_DA": '{ 7, 1, WO, 'hXX }, - "CMD_RNW": '{ 0, 0, WO, 'hX }}}; - `define SET_i3c_controller_host_interface_CMD_FIFO_CMD_IS_CCC(x) SetField(i3c_controller_host_interface_CMD_FIFO,"CMD_IS_CCC",x) - `define GET_i3c_controller_host_interface_CMD_FIFO_CMD_IS_CCC(x) GetField(i3c_controller_host_interface_CMD_FIFO,"CMD_IS_CCC",x) - `define DEFAULT_i3c_controller_host_interface_CMD_FIFO_CMD_IS_CCC GetResetValue(i3c_controller_host_interface_CMD_FIFO,"CMD_IS_CCC") - `define UPDATE_i3c_controller_host_interface_CMD_FIFO_CMD_IS_CCC(x,y) UpdateField(i3c_controller_host_interface_CMD_FIFO,"CMD_IS_CCC",x,y) - `define SET_i3c_controller_host_interface_CMD_FIFO_CMD_BCAST_HEADER(x) SetField(i3c_controller_host_interface_CMD_FIFO,"CMD_BCAST_HEADER",x) - `define GET_i3c_controller_host_interface_CMD_FIFO_CMD_BCAST_HEADER(x) GetField(i3c_controller_host_interface_CMD_FIFO,"CMD_BCAST_HEADER",x) - `define DEFAULT_i3c_controller_host_interface_CMD_FIFO_CMD_BCAST_HEADER GetResetValue(i3c_controller_host_interface_CMD_FIFO,"CMD_BCAST_HEADER") - `define UPDATE_i3c_controller_host_interface_CMD_FIFO_CMD_BCAST_HEADER(x,y) UpdateField(i3c_controller_host_interface_CMD_FIFO,"CMD_BCAST_HEADER",x,y) - `define SET_i3c_controller_host_interface_CMD_FIFO_CMD_SR(x) SetField(i3c_controller_host_interface_CMD_FIFO,"CMD_SR",x) - `define GET_i3c_controller_host_interface_CMD_FIFO_CMD_SR(x) GetField(i3c_controller_host_interface_CMD_FIFO,"CMD_SR",x) - `define DEFAULT_i3c_controller_host_interface_CMD_FIFO_CMD_SR GetResetValue(i3c_controller_host_interface_CMD_FIFO,"CMD_SR") - `define UPDATE_i3c_controller_host_interface_CMD_FIFO_CMD_SR(x,y) UpdateField(i3c_controller_host_interface_CMD_FIFO,"CMD_SR",x,y) - `define SET_i3c_controller_host_interface_CMD_FIFO_CMD_BUFFER_LENGHT(x) SetField(i3c_controller_host_interface_CMD_FIFO,"CMD_BUFFER_LENGHT",x) - `define GET_i3c_controller_host_interface_CMD_FIFO_CMD_BUFFER_LENGHT(x) GetField(i3c_controller_host_interface_CMD_FIFO,"CMD_BUFFER_LENGHT",x) - `define DEFAULT_i3c_controller_host_interface_CMD_FIFO_CMD_BUFFER_LENGHT GetResetValue(i3c_controller_host_interface_CMD_FIFO,"CMD_BUFFER_LENGHT") - `define UPDATE_i3c_controller_host_interface_CMD_FIFO_CMD_BUFFER_LENGHT(x,y) UpdateField(i3c_controller_host_interface_CMD_FIFO,"CMD_BUFFER_LENGHT",x,y) - `define SET_i3c_controller_host_interface_CMD_FIFO_CMD_DA(x) SetField(i3c_controller_host_interface_CMD_FIFO,"CMD_DA",x) - `define GET_i3c_controller_host_interface_CMD_FIFO_CMD_DA(x) GetField(i3c_controller_host_interface_CMD_FIFO,"CMD_DA",x) - `define DEFAULT_i3c_controller_host_interface_CMD_FIFO_CMD_DA GetResetValue(i3c_controller_host_interface_CMD_FIFO,"CMD_DA") - `define UPDATE_i3c_controller_host_interface_CMD_FIFO_CMD_DA(x,y) UpdateField(i3c_controller_host_interface_CMD_FIFO,"CMD_DA",x,y) - `define SET_i3c_controller_host_interface_CMD_FIFO_CMD_RNW(x) SetField(i3c_controller_host_interface_CMD_FIFO,"CMD_RNW",x) - `define GET_i3c_controller_host_interface_CMD_FIFO_CMD_RNW(x) GetField(i3c_controller_host_interface_CMD_FIFO,"CMD_RNW",x) - `define DEFAULT_i3c_controller_host_interface_CMD_FIFO_CMD_RNW GetResetValue(i3c_controller_host_interface_CMD_FIFO,"CMD_RNW") - `define UPDATE_i3c_controller_host_interface_CMD_FIFO_CMD_RNW(x,y) UpdateField(i3c_controller_host_interface_CMD_FIFO,"CMD_RNW",x,y) - - const reg_t i3c_controller_host_interface_CMDR_FIFO = '{ 'h00d8, "CMDR_FIFO" , '{ - "CMDR_FIFO_ERROR": '{ 23, 0, RO, 'h?? }, - "CMDR_FIFO_BUFFER_LENGTH": '{ 19, 8, RO, 'h?? }, - "CMDR_FIFO_SYNC": '{ 7, 0, RO, 'h?? }}}; - `define SET_i3c_controller_host_interface_CMDR_FIFO_CMDR_FIFO_ERROR(x) SetField(i3c_controller_host_interface_CMDR_FIFO,"CMDR_FIFO_ERROR",x) - `define GET_i3c_controller_host_interface_CMDR_FIFO_CMDR_FIFO_ERROR(x) GetField(i3c_controller_host_interface_CMDR_FIFO,"CMDR_FIFO_ERROR",x) - `define DEFAULT_i3c_controller_host_interface_CMDR_FIFO_CMDR_FIFO_ERROR GetResetValue(i3c_controller_host_interface_CMDR_FIFO,"CMDR_FIFO_ERROR") - `define UPDATE_i3c_controller_host_interface_CMDR_FIFO_CMDR_FIFO_ERROR(x,y) UpdateField(i3c_controller_host_interface_CMDR_FIFO,"CMDR_FIFO_ERROR",x,y) - `define SET_i3c_controller_host_interface_CMDR_FIFO_CMDR_FIFO_BUFFER_LENGTH(x) SetField(i3c_controller_host_interface_CMDR_FIFO,"CMDR_FIFO_BUFFER_LENGTH",x) - `define GET_i3c_controller_host_interface_CMDR_FIFO_CMDR_FIFO_BUFFER_LENGTH(x) GetField(i3c_controller_host_interface_CMDR_FIFO,"CMDR_FIFO_BUFFER_LENGTH",x) - `define DEFAULT_i3c_controller_host_interface_CMDR_FIFO_CMDR_FIFO_BUFFER_LENGTH GetResetValue(i3c_controller_host_interface_CMDR_FIFO,"CMDR_FIFO_BUFFER_LENGTH") - `define UPDATE_i3c_controller_host_interface_CMDR_FIFO_CMDR_FIFO_BUFFER_LENGTH(x,y) UpdateField(i3c_controller_host_interface_CMDR_FIFO,"CMDR_FIFO_BUFFER_LENGTH",x,y) - `define SET_i3c_controller_host_interface_CMDR_FIFO_CMDR_FIFO_SYNC(x) SetField(i3c_controller_host_interface_CMDR_FIFO,"CMDR_FIFO_SYNC",x) - `define GET_i3c_controller_host_interface_CMDR_FIFO_CMDR_FIFO_SYNC(x) GetField(i3c_controller_host_interface_CMDR_FIFO,"CMDR_FIFO_SYNC",x) - `define DEFAULT_i3c_controller_host_interface_CMDR_FIFO_CMDR_FIFO_SYNC GetResetValue(i3c_controller_host_interface_CMDR_FIFO,"CMDR_FIFO_SYNC") - `define UPDATE_i3c_controller_host_interface_CMDR_FIFO_CMDR_FIFO_SYNC(x,y) UpdateField(i3c_controller_host_interface_CMDR_FIFO,"CMDR_FIFO_SYNC",x,y) - - const reg_t i3c_controller_host_interface_SDO_FIFO = '{ 'h00dc, "SDO_FIFO" , '{ - "SDO_FIFO_BYTE_3": '{ 31, 24, RO, 'hXX }, - "SDO_FIFO_BYTE_2": '{ 23, 16, RO, 'hXX }, - "SDO_FIFO_BYTE_1": '{ 15, 8, RO, 'hXX }, - "SDO_FIFO_BYTE_0": '{ 7, 0, RO, 'hXX }}}; - `define SET_i3c_controller_host_interface_SDO_FIFO_SDO_FIFO_BYTE_3(x) SetField(i3c_controller_host_interface_SDO_FIFO,"SDO_FIFO_BYTE_3",x) - `define GET_i3c_controller_host_interface_SDO_FIFO_SDO_FIFO_BYTE_3(x) GetField(i3c_controller_host_interface_SDO_FIFO,"SDO_FIFO_BYTE_3",x) - `define DEFAULT_i3c_controller_host_interface_SDO_FIFO_SDO_FIFO_BYTE_3 GetResetValue(i3c_controller_host_interface_SDO_FIFO,"SDO_FIFO_BYTE_3") - `define UPDATE_i3c_controller_host_interface_SDO_FIFO_SDO_FIFO_BYTE_3(x,y) UpdateField(i3c_controller_host_interface_SDO_FIFO,"SDO_FIFO_BYTE_3",x,y) - `define SET_i3c_controller_host_interface_SDO_FIFO_SDO_FIFO_BYTE_2(x) SetField(i3c_controller_host_interface_SDO_FIFO,"SDO_FIFO_BYTE_2",x) - `define GET_i3c_controller_host_interface_SDO_FIFO_SDO_FIFO_BYTE_2(x) GetField(i3c_controller_host_interface_SDO_FIFO,"SDO_FIFO_BYTE_2",x) - `define DEFAULT_i3c_controller_host_interface_SDO_FIFO_SDO_FIFO_BYTE_2 GetResetValue(i3c_controller_host_interface_SDO_FIFO,"SDO_FIFO_BYTE_2") - `define UPDATE_i3c_controller_host_interface_SDO_FIFO_SDO_FIFO_BYTE_2(x,y) UpdateField(i3c_controller_host_interface_SDO_FIFO,"SDO_FIFO_BYTE_2",x,y) - `define SET_i3c_controller_host_interface_SDO_FIFO_SDO_FIFO_BYTE_1(x) SetField(i3c_controller_host_interface_SDO_FIFO,"SDO_FIFO_BYTE_1",x) - `define GET_i3c_controller_host_interface_SDO_FIFO_SDO_FIFO_BYTE_1(x) GetField(i3c_controller_host_interface_SDO_FIFO,"SDO_FIFO_BYTE_1",x) - `define DEFAULT_i3c_controller_host_interface_SDO_FIFO_SDO_FIFO_BYTE_1 GetResetValue(i3c_controller_host_interface_SDO_FIFO,"SDO_FIFO_BYTE_1") - `define UPDATE_i3c_controller_host_interface_SDO_FIFO_SDO_FIFO_BYTE_1(x,y) UpdateField(i3c_controller_host_interface_SDO_FIFO,"SDO_FIFO_BYTE_1",x,y) - `define SET_i3c_controller_host_interface_SDO_FIFO_SDO_FIFO_BYTE_0(x) SetField(i3c_controller_host_interface_SDO_FIFO,"SDO_FIFO_BYTE_0",x) - `define GET_i3c_controller_host_interface_SDO_FIFO_SDO_FIFO_BYTE_0(x) GetField(i3c_controller_host_interface_SDO_FIFO,"SDO_FIFO_BYTE_0",x) - `define DEFAULT_i3c_controller_host_interface_SDO_FIFO_SDO_FIFO_BYTE_0 GetResetValue(i3c_controller_host_interface_SDO_FIFO,"SDO_FIFO_BYTE_0") - `define UPDATE_i3c_controller_host_interface_SDO_FIFO_SDO_FIFO_BYTE_0(x,y) UpdateField(i3c_controller_host_interface_SDO_FIFO,"SDO_FIFO_BYTE_0",x,y) - - const reg_t i3c_controller_host_interface_SDI_FIFO = '{ 'h00e0, "SDI_FIFO" , '{ - "SDI_FIFO": '{ 31, 0, RO, 'hXXXXXXXX }}}; - `define SET_i3c_controller_host_interface_SDI_FIFO_SDI_FIFO(x) SetField(i3c_controller_host_interface_SDI_FIFO,"SDI_FIFO",x) - `define GET_i3c_controller_host_interface_SDI_FIFO_SDI_FIFO(x) GetField(i3c_controller_host_interface_SDI_FIFO,"SDI_FIFO",x) - `define DEFAULT_i3c_controller_host_interface_SDI_FIFO_SDI_FIFO GetResetValue(i3c_controller_host_interface_SDI_FIFO,"SDI_FIFO") - `define UPDATE_i3c_controller_host_interface_SDI_FIFO_SDI_FIFO(x,y) UpdateField(i3c_controller_host_interface_SDI_FIFO,"SDI_FIFO",x,y) - - const reg_t i3c_controller_host_interface_IBI_FIFO = '{ 'h00e4, "IBI_FIFO" , '{ - "IBI_FIFO_DA": '{ 23, 17, RO, 'hXX }, - "IBI_FIFO_MDB": '{ 15, 8, RO, 'hXX }, - "IBI_FIFO_SYNC": '{ 7, 0, RO, 'hXX }}}; - `define SET_i3c_controller_host_interface_IBI_FIFO_IBI_FIFO_DA(x) SetField(i3c_controller_host_interface_IBI_FIFO,"IBI_FIFO_DA",x) - `define GET_i3c_controller_host_interface_IBI_FIFO_IBI_FIFO_DA(x) GetField(i3c_controller_host_interface_IBI_FIFO,"IBI_FIFO_DA",x) - `define DEFAULT_i3c_controller_host_interface_IBI_FIFO_IBI_FIFO_DA GetResetValue(i3c_controller_host_interface_IBI_FIFO,"IBI_FIFO_DA") - `define UPDATE_i3c_controller_host_interface_IBI_FIFO_IBI_FIFO_DA(x,y) UpdateField(i3c_controller_host_interface_IBI_FIFO,"IBI_FIFO_DA",x,y) - `define SET_i3c_controller_host_interface_IBI_FIFO_IBI_FIFO_MDB(x) SetField(i3c_controller_host_interface_IBI_FIFO,"IBI_FIFO_MDB",x) - `define GET_i3c_controller_host_interface_IBI_FIFO_IBI_FIFO_MDB(x) GetField(i3c_controller_host_interface_IBI_FIFO,"IBI_FIFO_MDB",x) - `define DEFAULT_i3c_controller_host_interface_IBI_FIFO_IBI_FIFO_MDB GetResetValue(i3c_controller_host_interface_IBI_FIFO,"IBI_FIFO_MDB") - `define UPDATE_i3c_controller_host_interface_IBI_FIFO_IBI_FIFO_MDB(x,y) UpdateField(i3c_controller_host_interface_IBI_FIFO,"IBI_FIFO_MDB",x,y) - `define SET_i3c_controller_host_interface_IBI_FIFO_IBI_FIFO_SYNC(x) SetField(i3c_controller_host_interface_IBI_FIFO,"IBI_FIFO_SYNC",x) - `define GET_i3c_controller_host_interface_IBI_FIFO_IBI_FIFO_SYNC(x) GetField(i3c_controller_host_interface_IBI_FIFO,"IBI_FIFO_SYNC",x) - `define DEFAULT_i3c_controller_host_interface_IBI_FIFO_IBI_FIFO_SYNC GetResetValue(i3c_controller_host_interface_IBI_FIFO,"IBI_FIFO_SYNC") - `define UPDATE_i3c_controller_host_interface_IBI_FIFO_IBI_FIFO_SYNC(x,y) UpdateField(i3c_controller_host_interface_IBI_FIFO,"IBI_FIFO_SYNC",x,y) - - const reg_t i3c_controller_host_interface_FIFO_STATUS = '{ 'h00e8, "FIFO_STATUS" , '{ - "SDI_EMPTY": '{ 2, 2, RO, 'h1 }, - "IBI_EMPTY": '{ 1, 1, RO, 'h1 }, - "CMDR_EMPTY": '{ 0, 0, RO, 'h1 }}}; - `define SET_i3c_controller_host_interface_FIFO_STATUS_SDI_EMPTY(x) SetField(i3c_controller_host_interface_FIFO_STATUS,"SDI_EMPTY",x) - `define GET_i3c_controller_host_interface_FIFO_STATUS_SDI_EMPTY(x) GetField(i3c_controller_host_interface_FIFO_STATUS,"SDI_EMPTY",x) - `define DEFAULT_i3c_controller_host_interface_FIFO_STATUS_SDI_EMPTY GetResetValue(i3c_controller_host_interface_FIFO_STATUS,"SDI_EMPTY") - `define UPDATE_i3c_controller_host_interface_FIFO_STATUS_SDI_EMPTY(x,y) UpdateField(i3c_controller_host_interface_FIFO_STATUS,"SDI_EMPTY",x,y) - `define SET_i3c_controller_host_interface_FIFO_STATUS_IBI_EMPTY(x) SetField(i3c_controller_host_interface_FIFO_STATUS,"IBI_EMPTY",x) - `define GET_i3c_controller_host_interface_FIFO_STATUS_IBI_EMPTY(x) GetField(i3c_controller_host_interface_FIFO_STATUS,"IBI_EMPTY",x) - `define DEFAULT_i3c_controller_host_interface_FIFO_STATUS_IBI_EMPTY GetResetValue(i3c_controller_host_interface_FIFO_STATUS,"IBI_EMPTY") - `define UPDATE_i3c_controller_host_interface_FIFO_STATUS_IBI_EMPTY(x,y) UpdateField(i3c_controller_host_interface_FIFO_STATUS,"IBI_EMPTY",x,y) - `define SET_i3c_controller_host_interface_FIFO_STATUS_CMDR_EMPTY(x) SetField(i3c_controller_host_interface_FIFO_STATUS,"CMDR_EMPTY",x) - `define GET_i3c_controller_host_interface_FIFO_STATUS_CMDR_EMPTY(x) GetField(i3c_controller_host_interface_FIFO_STATUS,"CMDR_EMPTY",x) - `define DEFAULT_i3c_controller_host_interface_FIFO_STATUS_CMDR_EMPTY GetResetValue(i3c_controller_host_interface_FIFO_STATUS,"CMDR_EMPTY") - `define UPDATE_i3c_controller_host_interface_FIFO_STATUS_CMDR_EMPTY(x,y) UpdateField(i3c_controller_host_interface_FIFO_STATUS,"CMDR_EMPTY",x,y) - - const reg_t i3c_controller_host_interface_OPS = '{ 'h0100, "OPS" , '{ - "OPS_STATUS_NOP": '{ 7, 7, RO, 'h0 }, - "OPS_SPEED_GRADE": '{ 6, 5, RW, 'h0 }, - "OPS_OFFLOAD_LENGTH": '{ 4, 1, RW, 'h0 }, - "OPS_MODE": '{ 0, 0, RW, 'h0 }}}; - `define SET_i3c_controller_host_interface_OPS_OPS_STATUS_NOP(x) SetField(i3c_controller_host_interface_OPS,"OPS_STATUS_NOP",x) - `define GET_i3c_controller_host_interface_OPS_OPS_STATUS_NOP(x) GetField(i3c_controller_host_interface_OPS,"OPS_STATUS_NOP",x) - `define DEFAULT_i3c_controller_host_interface_OPS_OPS_STATUS_NOP GetResetValue(i3c_controller_host_interface_OPS,"OPS_STATUS_NOP") - `define UPDATE_i3c_controller_host_interface_OPS_OPS_STATUS_NOP(x,y) UpdateField(i3c_controller_host_interface_OPS,"OPS_STATUS_NOP",x,y) - `define SET_i3c_controller_host_interface_OPS_OPS_SPEED_GRADE(x) SetField(i3c_controller_host_interface_OPS,"OPS_SPEED_GRADE",x) - `define GET_i3c_controller_host_interface_OPS_OPS_SPEED_GRADE(x) GetField(i3c_controller_host_interface_OPS,"OPS_SPEED_GRADE",x) - `define DEFAULT_i3c_controller_host_interface_OPS_OPS_SPEED_GRADE GetResetValue(i3c_controller_host_interface_OPS,"OPS_SPEED_GRADE") - `define UPDATE_i3c_controller_host_interface_OPS_OPS_SPEED_GRADE(x,y) UpdateField(i3c_controller_host_interface_OPS,"OPS_SPEED_GRADE",x,y) - `define SET_i3c_controller_host_interface_OPS_OPS_OFFLOAD_LENGTH(x) SetField(i3c_controller_host_interface_OPS,"OPS_OFFLOAD_LENGTH",x) - `define GET_i3c_controller_host_interface_OPS_OPS_OFFLOAD_LENGTH(x) GetField(i3c_controller_host_interface_OPS,"OPS_OFFLOAD_LENGTH",x) - `define DEFAULT_i3c_controller_host_interface_OPS_OPS_OFFLOAD_LENGTH GetResetValue(i3c_controller_host_interface_OPS,"OPS_OFFLOAD_LENGTH") - `define UPDATE_i3c_controller_host_interface_OPS_OPS_OFFLOAD_LENGTH(x,y) UpdateField(i3c_controller_host_interface_OPS,"OPS_OFFLOAD_LENGTH",x,y) - `define SET_i3c_controller_host_interface_OPS_OPS_MODE(x) SetField(i3c_controller_host_interface_OPS,"OPS_MODE",x) - `define GET_i3c_controller_host_interface_OPS_OPS_MODE(x) GetField(i3c_controller_host_interface_OPS,"OPS_MODE",x) - `define DEFAULT_i3c_controller_host_interface_OPS_OPS_MODE GetResetValue(i3c_controller_host_interface_OPS,"OPS_MODE") - `define UPDATE_i3c_controller_host_interface_OPS_OPS_MODE(x,y) UpdateField(i3c_controller_host_interface_OPS,"OPS_MODE",x,y) - - const reg_t i3c_controller_host_interface_IBI_CONFIG = '{ 'h0140, "IBI_CONFIG" , '{ - "IBI_CONFIG_LISTEN": '{ 1, 1, WO, 'h0 }, - "IBI_CONFIG_ENABLE": '{ 0, 0, WO, 'h0 }}}; - `define SET_i3c_controller_host_interface_IBI_CONFIG_IBI_CONFIG_LISTEN(x) SetField(i3c_controller_host_interface_IBI_CONFIG,"IBI_CONFIG_LISTEN",x) - `define GET_i3c_controller_host_interface_IBI_CONFIG_IBI_CONFIG_LISTEN(x) GetField(i3c_controller_host_interface_IBI_CONFIG,"IBI_CONFIG_LISTEN",x) - `define DEFAULT_i3c_controller_host_interface_IBI_CONFIG_IBI_CONFIG_LISTEN GetResetValue(i3c_controller_host_interface_IBI_CONFIG,"IBI_CONFIG_LISTEN") - `define UPDATE_i3c_controller_host_interface_IBI_CONFIG_IBI_CONFIG_LISTEN(x,y) UpdateField(i3c_controller_host_interface_IBI_CONFIG,"IBI_CONFIG_LISTEN",x,y) - `define SET_i3c_controller_host_interface_IBI_CONFIG_IBI_CONFIG_ENABLE(x) SetField(i3c_controller_host_interface_IBI_CONFIG,"IBI_CONFIG_ENABLE",x) - `define GET_i3c_controller_host_interface_IBI_CONFIG_IBI_CONFIG_ENABLE(x) GetField(i3c_controller_host_interface_IBI_CONFIG,"IBI_CONFIG_ENABLE",x) - `define DEFAULT_i3c_controller_host_interface_IBI_CONFIG_IBI_CONFIG_ENABLE GetResetValue(i3c_controller_host_interface_IBI_CONFIG,"IBI_CONFIG_ENABLE") - `define UPDATE_i3c_controller_host_interface_IBI_CONFIG_IBI_CONFIG_ENABLE(x,y) UpdateField(i3c_controller_host_interface_IBI_CONFIG,"IBI_CONFIG_ENABLE",x,y) - - const reg_t i3c_controller_host_interface_DEV_CHAR = '{ 'h0180, "DEV_CHAR" , '{ - "DEV_CHAR_ADDR": '{ 15, 9, RW, 'h00 }, - "DEV_CHAR_WEN": '{ 8, 8, W, 'hX }, - "DEV_CHAR_HAS_IBI_MDB": '{ 3, 3, RW, 'h0 }, - "DEV_CHAR_IS_IBI_CAPABLE": '{ 2, 2, RW, 'h0 }, - "DEV_CHAR_IS_ATTACHED": '{ 1, 1, RW, 'h0 }, - "DEV_CHAR_IS_ATTACHED": '{ 1, 1, RW, 'h0 }}}; - `define SET_i3c_controller_host_interface_DEV_CHAR_DEV_CHAR_ADDR(x) SetField(i3c_controller_host_interface_DEV_CHAR,"DEV_CHAR_ADDR",x) - `define GET_i3c_controller_host_interface_DEV_CHAR_DEV_CHAR_ADDR(x) GetField(i3c_controller_host_interface_DEV_CHAR,"DEV_CHAR_ADDR",x) - `define DEFAULT_i3c_controller_host_interface_DEV_CHAR_DEV_CHAR_ADDR GetResetValue(i3c_controller_host_interface_DEV_CHAR,"DEV_CHAR_ADDR") - `define UPDATE_i3c_controller_host_interface_DEV_CHAR_DEV_CHAR_ADDR(x,y) UpdateField(i3c_controller_host_interface_DEV_CHAR,"DEV_CHAR_ADDR",x,y) - `define SET_i3c_controller_host_interface_DEV_CHAR_DEV_CHAR_WEN(x) SetField(i3c_controller_host_interface_DEV_CHAR,"DEV_CHAR_WEN",x) - `define GET_i3c_controller_host_interface_DEV_CHAR_DEV_CHAR_WEN(x) GetField(i3c_controller_host_interface_DEV_CHAR,"DEV_CHAR_WEN",x) - `define DEFAULT_i3c_controller_host_interface_DEV_CHAR_DEV_CHAR_WEN GetResetValue(i3c_controller_host_interface_DEV_CHAR,"DEV_CHAR_WEN") - `define UPDATE_i3c_controller_host_interface_DEV_CHAR_DEV_CHAR_WEN(x,y) UpdateField(i3c_controller_host_interface_DEV_CHAR,"DEV_CHAR_WEN",x,y) - `define SET_i3c_controller_host_interface_DEV_CHAR_DEV_CHAR_HAS_IBI_MDB(x) SetField(i3c_controller_host_interface_DEV_CHAR,"DEV_CHAR_HAS_IBI_MDB",x) - `define GET_i3c_controller_host_interface_DEV_CHAR_DEV_CHAR_HAS_IBI_MDB(x) GetField(i3c_controller_host_interface_DEV_CHAR,"DEV_CHAR_HAS_IBI_MDB",x) - `define DEFAULT_i3c_controller_host_interface_DEV_CHAR_DEV_CHAR_HAS_IBI_MDB GetResetValue(i3c_controller_host_interface_DEV_CHAR,"DEV_CHAR_HAS_IBI_MDB") - `define UPDATE_i3c_controller_host_interface_DEV_CHAR_DEV_CHAR_HAS_IBI_MDB(x,y) UpdateField(i3c_controller_host_interface_DEV_CHAR,"DEV_CHAR_HAS_IBI_MDB",x,y) - `define SET_i3c_controller_host_interface_DEV_CHAR_DEV_CHAR_IS_IBI_CAPABLE(x) SetField(i3c_controller_host_interface_DEV_CHAR,"DEV_CHAR_IS_IBI_CAPABLE",x) - `define GET_i3c_controller_host_interface_DEV_CHAR_DEV_CHAR_IS_IBI_CAPABLE(x) GetField(i3c_controller_host_interface_DEV_CHAR,"DEV_CHAR_IS_IBI_CAPABLE",x) - `define DEFAULT_i3c_controller_host_interface_DEV_CHAR_DEV_CHAR_IS_IBI_CAPABLE GetResetValue(i3c_controller_host_interface_DEV_CHAR,"DEV_CHAR_IS_IBI_CAPABLE") - `define UPDATE_i3c_controller_host_interface_DEV_CHAR_DEV_CHAR_IS_IBI_CAPABLE(x,y) UpdateField(i3c_controller_host_interface_DEV_CHAR,"DEV_CHAR_IS_IBI_CAPABLE",x,y) - `define SET_i3c_controller_host_interface_DEV_CHAR_DEV_CHAR_IS_ATTACHED(x) SetField(i3c_controller_host_interface_DEV_CHAR,"DEV_CHAR_IS_ATTACHED",x) - `define GET_i3c_controller_host_interface_DEV_CHAR_DEV_CHAR_IS_ATTACHED(x) GetField(i3c_controller_host_interface_DEV_CHAR,"DEV_CHAR_IS_ATTACHED",x) - `define DEFAULT_i3c_controller_host_interface_DEV_CHAR_DEV_CHAR_IS_ATTACHED GetResetValue(i3c_controller_host_interface_DEV_CHAR,"DEV_CHAR_IS_ATTACHED") - `define UPDATE_i3c_controller_host_interface_DEV_CHAR_DEV_CHAR_IS_ATTACHED(x,y) UpdateField(i3c_controller_host_interface_DEV_CHAR,"DEV_CHAR_IS_ATTACHED",x,y) - `define SET_i3c_controller_host_interface_DEV_CHAR_DEV_CHAR_IS_ATTACHED(x) SetField(i3c_controller_host_interface_DEV_CHAR,"DEV_CHAR_IS_ATTACHED",x) - `define GET_i3c_controller_host_interface_DEV_CHAR_DEV_CHAR_IS_ATTACHED(x) GetField(i3c_controller_host_interface_DEV_CHAR,"DEV_CHAR_IS_ATTACHED",x) - `define DEFAULT_i3c_controller_host_interface_DEV_CHAR_DEV_CHAR_IS_ATTACHED GetResetValue(i3c_controller_host_interface_DEV_CHAR,"DEV_CHAR_IS_ATTACHED") - `define UPDATE_i3c_controller_host_interface_DEV_CHAR_DEV_CHAR_IS_ATTACHED(x,y) UpdateField(i3c_controller_host_interface_DEV_CHAR,"DEV_CHAR_IS_ATTACHED",x,y) - - const reg_t i3c_controller_host_interface_OFFLOAD_CMD_n = '{ 'h02c0 + 'h04*n, "OFFLOAD_CMD_n" , '{ - "OFFLOAD_CMD": '{ 31, 0, RW, 'h00 }}}; - `define SET_i3c_controller_host_interface_OFFLOAD_CMD_n_OFFLOAD_CMD(x) SetField(i3c_controller_host_interface_OFFLOAD_CMD_n,"OFFLOAD_CMD",x) - `define GET_i3c_controller_host_interface_OFFLOAD_CMD_n_OFFLOAD_CMD(x) GetField(i3c_controller_host_interface_OFFLOAD_CMD_n,"OFFLOAD_CMD",x) - `define DEFAULT_i3c_controller_host_interface_OFFLOAD_CMD_n_OFFLOAD_CMD GetResetValue(i3c_controller_host_interface_OFFLOAD_CMD_n,"OFFLOAD_CMD") - `define UPDATE_i3c_controller_host_interface_OFFLOAD_CMD_n_OFFLOAD_CMD(x,y) UpdateField(i3c_controller_host_interface_OFFLOAD_CMD_n,"OFFLOAD_CMD",x,y) - - const reg_t i3c_controller_host_interface_OFFLOAD_SDO_n = '{ 'h0300 + 'h04*n, "OFFLOAD_SDO_n" , '{ - "OFFLOAD_SDO_BYTE_3": '{ 31, 24, RO, 'h00 }, - "OFFLOAD_SDO_BYTE_2": '{ 23, 16, RO, 'h00 }, - "OFFLOAD_SDO_BYTE_1": '{ 15, 8, RO, 'h00 }, - "OFFLOAD_SDO_BYTE_0": '{ 7, 0, RO, 'h00 }}}; - `define SET_i3c_controller_host_interface_OFFLOAD_SDO_n_OFFLOAD_SDO_BYTE_3(x) SetField(i3c_controller_host_interface_OFFLOAD_SDO_n,"OFFLOAD_SDO_BYTE_3",x) - `define GET_i3c_controller_host_interface_OFFLOAD_SDO_n_OFFLOAD_SDO_BYTE_3(x) GetField(i3c_controller_host_interface_OFFLOAD_SDO_n,"OFFLOAD_SDO_BYTE_3",x) - `define DEFAULT_i3c_controller_host_interface_OFFLOAD_SDO_n_OFFLOAD_SDO_BYTE_3 GetResetValue(i3c_controller_host_interface_OFFLOAD_SDO_n,"OFFLOAD_SDO_BYTE_3") - `define UPDATE_i3c_controller_host_interface_OFFLOAD_SDO_n_OFFLOAD_SDO_BYTE_3(x,y) UpdateField(i3c_controller_host_interface_OFFLOAD_SDO_n,"OFFLOAD_SDO_BYTE_3",x,y) - `define SET_i3c_controller_host_interface_OFFLOAD_SDO_n_OFFLOAD_SDO_BYTE_2(x) SetField(i3c_controller_host_interface_OFFLOAD_SDO_n,"OFFLOAD_SDO_BYTE_2",x) - `define GET_i3c_controller_host_interface_OFFLOAD_SDO_n_OFFLOAD_SDO_BYTE_2(x) GetField(i3c_controller_host_interface_OFFLOAD_SDO_n,"OFFLOAD_SDO_BYTE_2",x) - `define DEFAULT_i3c_controller_host_interface_OFFLOAD_SDO_n_OFFLOAD_SDO_BYTE_2 GetResetValue(i3c_controller_host_interface_OFFLOAD_SDO_n,"OFFLOAD_SDO_BYTE_2") - `define UPDATE_i3c_controller_host_interface_OFFLOAD_SDO_n_OFFLOAD_SDO_BYTE_2(x,y) UpdateField(i3c_controller_host_interface_OFFLOAD_SDO_n,"OFFLOAD_SDO_BYTE_2",x,y) - `define SET_i3c_controller_host_interface_OFFLOAD_SDO_n_OFFLOAD_SDO_BYTE_1(x) SetField(i3c_controller_host_interface_OFFLOAD_SDO_n,"OFFLOAD_SDO_BYTE_1",x) - `define GET_i3c_controller_host_interface_OFFLOAD_SDO_n_OFFLOAD_SDO_BYTE_1(x) GetField(i3c_controller_host_interface_OFFLOAD_SDO_n,"OFFLOAD_SDO_BYTE_1",x) - `define DEFAULT_i3c_controller_host_interface_OFFLOAD_SDO_n_OFFLOAD_SDO_BYTE_1 GetResetValue(i3c_controller_host_interface_OFFLOAD_SDO_n,"OFFLOAD_SDO_BYTE_1") - `define UPDATE_i3c_controller_host_interface_OFFLOAD_SDO_n_OFFLOAD_SDO_BYTE_1(x,y) UpdateField(i3c_controller_host_interface_OFFLOAD_SDO_n,"OFFLOAD_SDO_BYTE_1",x,y) - `define SET_i3c_controller_host_interface_OFFLOAD_SDO_n_OFFLOAD_SDO_BYTE_0(x) SetField(i3c_controller_host_interface_OFFLOAD_SDO_n,"OFFLOAD_SDO_BYTE_0",x) - `define GET_i3c_controller_host_interface_OFFLOAD_SDO_n_OFFLOAD_SDO_BYTE_0(x) GetField(i3c_controller_host_interface_OFFLOAD_SDO_n,"OFFLOAD_SDO_BYTE_0",x) - `define DEFAULT_i3c_controller_host_interface_OFFLOAD_SDO_n_OFFLOAD_SDO_BYTE_0 GetResetValue(i3c_controller_host_interface_OFFLOAD_SDO_n,"OFFLOAD_SDO_BYTE_0") - `define UPDATE_i3c_controller_host_interface_OFFLOAD_SDO_n_OFFLOAD_SDO_BYTE_0(x,y) UpdateField(i3c_controller_host_interface_OFFLOAD_SDO_n,"OFFLOAD_SDO_BYTE_0",x,y) - - -endpackage + import logger_pkg::*; + import adi_api_pkg::*; + + class adi_regmap_i3c_controller extends adi_regmap; + + /* I3C Controller (i3c_controller_host_interface) */ + class VERSION_CLASS extends register_base; + field_base VERSION_MAJOR_F; + field_base VERSION_MINOR_F; + field_base VERSION_PATCH_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.VERSION_MAJOR_F = new("VERSION_MAJOR", 31, 16, RO, 'h0, this); + this.VERSION_MINOR_F = new("VERSION_MINOR", 15, 8, RO, 'h1, this); + this.VERSION_PATCH_F = new("VERSION_PATCH", 7, 0, RO, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: VERSION_CLASS + + class DEVICE_ID_CLASS extends register_base; + field_base DEVICE_ID_F; + + function new( + input string name, + input int address, + input int ID, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.DEVICE_ID_F = new("DEVICE_ID", 31, 0, RO, ID, this); + + this.initialization_done = 1; + endfunction: new + endclass: DEVICE_ID_CLASS + + class SCRATCH_CLASS extends register_base; + field_base SCRATCH_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.SCRATCH_F = new("SCRATCH", 31, 0, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: SCRATCH_CLASS + + class ENABLE_CLASS extends register_base; + field_base ENABLE_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.ENABLE_F = new("ENABLE", 0, 0, RW, 'h1, this); + + this.initialization_done = 1; + endfunction: new + endclass: ENABLE_CLASS + + class IRQ_MASK_CLASS extends register_base; + field_base DAA_PENDING_F; + field_base IBI_PENDING_F; + field_base CMDR_PENDING_F; + field_base IBI_ALMOST_FULL_F; + field_base SDI_ALMOST_FULL_F; + field_base SDO_ALMOST_EMPTY_F; + field_base CMDR_ALMOST_FULL_F; + field_base CMD_ALMOST_EMPTY_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.DAA_PENDING_F = new("DAA_PENDING", 7, 7, RW, 'h0, this); + this.IBI_PENDING_F = new("IBI_PENDING", 6, 6, RW, 'h0, this); + this.CMDR_PENDING_F = new("CMDR_PENDING", 5, 5, RW, 'h0, this); + this.IBI_ALMOST_FULL_F = new("IBI_ALMOST_FULL", 4, 4, RW, 'h0, this); + this.SDI_ALMOST_FULL_F = new("SDI_ALMOST_FULL", 3, 3, RW, 'h0, this); + this.SDO_ALMOST_EMPTY_F = new("SDO_ALMOST_EMPTY", 2, 2, RW, 'h0, this); + this.CMDR_ALMOST_FULL_F = new("CMDR_ALMOST_FULL", 1, 1, RW, 'h0, this); + this.CMD_ALMOST_EMPTY_F = new("CMD_ALMOST_EMPTY", 0, 0, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: IRQ_MASK_CLASS + + class IRQ_PENDING_CLASS extends register_base; + field_base IRQ_PENDING_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.IRQ_PENDING_F = new("IRQ_PENDING", 31, 0, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: IRQ_PENDING_CLASS + + class IRQ_SOURCE_CLASS extends register_base; + field_base IRQ_SOURCE_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.IRQ_SOURCE_F = new("IRQ_SOURCE", 31, 0, RO, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: IRQ_SOURCE_CLASS + + class CMD_FIFO_ROOM_CLASS extends register_base; + field_base CMD_FIFO_ROOM_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.CMD_FIFO_ROOM_F = new("CMD_FIFO_ROOM", 31, 0, RO, 'hXXXXXXXX, this); + + this.initialization_done = 1; + endfunction: new + endclass: CMD_FIFO_ROOM_CLASS + + class CMDR_FIFO_LEVEL_CLASS extends register_base; + field_base CMDR_FIFO_LEVEL_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.CMDR_FIFO_LEVEL_F = new("CMDR_FIFO_LEVEL", 31, 0, RO, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: CMDR_FIFO_LEVEL_CLASS + + class SDO_FIFO_ROOM_CLASS extends register_base; + field_base SDO_FIFO_ROOM_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.SDO_FIFO_ROOM_F = new("SDO_FIFO_ROOM", 31, 0, RO, 'hXXXXXXXX, this); + + this.initialization_done = 1; + endfunction: new + endclass: SDO_FIFO_ROOM_CLASS + + class SDI_FIFO_LEVEL_CLASS extends register_base; + field_base SDI_FIFO_LEVEL_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.SDI_FIFO_LEVEL_F = new("SDI_FIFO_LEVEL", 31, 0, RO, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: SDI_FIFO_LEVEL_CLASS + + class IBI_FIFO_LEVEL_CLASS extends register_base; + field_base IBI_FIFO_LEVEL_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.IBI_FIFO_LEVEL_F = new("IBI_FIFO_LEVEL", 31, 0, RO, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: IBI_FIFO_LEVEL_CLASS + + class CMD_FIFO_CLASS extends register_base; + field_base CMD_IS_CCC_F; + field_base CMD_BCAST_HEADER_F; + field_base CMD_SR_F; + field_base CMD_BUFFER_LENGHT_F; + field_base CMD_DA_F; + field_base CMD_RNW_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.CMD_IS_CCC_F = new("CMD_IS_CCC", 22, 22, WO, 'hXXXXXXXX, this); + this.CMD_BCAST_HEADER_F = new("CMD_BCAST_HEADER", 21, 21, WO, 'hXXXXXXXX, this); + this.CMD_SR_F = new("CMD_SR", 20, 20, WO, 'hXXXXXXXX, this); + this.CMD_BUFFER_LENGHT_F = new("CMD_BUFFER_LENGHT", 19, 8, WO, 'hXXXXXXXX, this); + this.CMD_DA_F = new("CMD_DA", 7, 1, WO, 'hXXXXXXXX, this); + this.CMD_RNW_F = new("CMD_RNW", 0, 0, WO, 'hXXXXXXXX, this); + + this.initialization_done = 1; + endfunction: new + endclass: CMD_FIFO_CLASS + + class CMDR_FIFO_CLASS extends register_base; + field_base CMDR_FIFO_ERROR_F; + field_base CMDR_FIFO_BUFFER_LENGTH_F; + field_base CMDR_FIFO_SYNC_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.CMDR_FIFO_ERROR_F = new("CMDR_FIFO_ERROR", 23, 0, RO, 'hXXXXXXXX, this); + this.CMDR_FIFO_BUFFER_LENGTH_F = new("CMDR_FIFO_BUFFER_LENGTH", 19, 8, RO, 'hXXXXXXXX, this); + this.CMDR_FIFO_SYNC_F = new("CMDR_FIFO_SYNC", 7, 0, RO, 'hXXXXXXXX, this); + + this.initialization_done = 1; + endfunction: new + endclass: CMDR_FIFO_CLASS + + class SDO_FIFO_CLASS extends register_base; + field_base SDO_FIFO_BYTE_3_F; + field_base SDO_FIFO_BYTE_2_F; + field_base SDO_FIFO_BYTE_1_F; + field_base SDO_FIFO_BYTE_0_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.SDO_FIFO_BYTE_3_F = new("SDO_FIFO_BYTE_3", 31, 24, RO, 'hXXXXXXXX, this); + this.SDO_FIFO_BYTE_2_F = new("SDO_FIFO_BYTE_2", 23, 16, RO, 'hXXXXXXXX, this); + this.SDO_FIFO_BYTE_1_F = new("SDO_FIFO_BYTE_1", 15, 8, RO, 'hXXXXXXXX, this); + this.SDO_FIFO_BYTE_0_F = new("SDO_FIFO_BYTE_0", 7, 0, RO, 'hXXXXXXXX, this); + + this.initialization_done = 1; + endfunction: new + endclass: SDO_FIFO_CLASS + + class SDI_FIFO_CLASS extends register_base; + field_base SDI_FIFO_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.SDI_FIFO_F = new("SDI_FIFO", 31, 0, RO, 'hXXXXXXXX, this); + + this.initialization_done = 1; + endfunction: new + endclass: SDI_FIFO_CLASS + + class IBI_FIFO_CLASS extends register_base; + field_base IBI_FIFO_DA_F; + field_base IBI_FIFO_MDB_F; + field_base IBI_FIFO_SYNC_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.IBI_FIFO_DA_F = new("IBI_FIFO_DA", 23, 17, RO, 'hXXXXXXXX, this); + this.IBI_FIFO_MDB_F = new("IBI_FIFO_MDB", 15, 8, RO, 'hXXXXXXXX, this); + this.IBI_FIFO_SYNC_F = new("IBI_FIFO_SYNC", 7, 0, RO, 'hXXXXXXXX, this); + + this.initialization_done = 1; + endfunction: new + endclass: IBI_FIFO_CLASS + + class FIFO_STATUS_CLASS extends register_base; + field_base SDI_EMPTY_F; + field_base IBI_EMPTY_F; + field_base CMDR_EMPTY_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.SDI_EMPTY_F = new("SDI_EMPTY", 2, 2, RO, 'h1, this); + this.IBI_EMPTY_F = new("IBI_EMPTY", 1, 1, RO, 'h1, this); + this.CMDR_EMPTY_F = new("CMDR_EMPTY", 0, 0, RO, 'h1, this); + + this.initialization_done = 1; + endfunction: new + endclass: FIFO_STATUS_CLASS + + class OPS_CLASS extends register_base; + field_base OPS_STATUS_NOP_F; + field_base OPS_SPEED_GRADE_F; + field_base OPS_OFFLOAD_LENGTH_F; + field_base OPS_MODE_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.OPS_STATUS_NOP_F = new("OPS_STATUS_NOP", 7, 7, RO, 'h0, this); + this.OPS_SPEED_GRADE_F = new("OPS_SPEED_GRADE", 6, 5, RW, 'h0, this); + this.OPS_OFFLOAD_LENGTH_F = new("OPS_OFFLOAD_LENGTH", 4, 1, RW, 'h0, this); + this.OPS_MODE_F = new("OPS_MODE", 0, 0, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: OPS_CLASS + + class IBI_CONFIG_CLASS extends register_base; + field_base IBI_CONFIG_LISTEN_F; + field_base IBI_CONFIG_ENABLE_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.IBI_CONFIG_LISTEN_F = new("IBI_CONFIG_LISTEN", 1, 1, WO, 'h0, this); + this.IBI_CONFIG_ENABLE_F = new("IBI_CONFIG_ENABLE", 0, 0, WO, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: IBI_CONFIG_CLASS + + class DEV_CHAR_CLASS extends register_base; + field_base DEV_CHAR_ADDR_F; + field_base DEV_CHAR_WEN_F; + field_base DEV_CHAR_HAS_IBI_PAYLOAD_F; + field_base DEV_CHAR_IS_IBI_CAPABLE_F; + field_base DEV_CHAR_IS_ATTACHED_F; + field_base DEV_CHAR_IS_I2C_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.DEV_CHAR_ADDR_F = new("DEV_CHAR_ADDR", 15, 9, RW, 'h0, this); + this.DEV_CHAR_WEN_F = new("DEV_CHAR_WEN", 8, 8, WO, 'hXXXXXXXX, this); + this.DEV_CHAR_HAS_IBI_PAYLOAD_F = new("DEV_CHAR_HAS_IBI_PAYLOAD", 3, 3, RW, 'h0, this); + this.DEV_CHAR_IS_IBI_CAPABLE_F = new("DEV_CHAR_IS_IBI_CAPABLE", 2, 2, RW, 'h0, this); + this.DEV_CHAR_IS_ATTACHED_F = new("DEV_CHAR_IS_ATTACHED", 1, 1, RW, 'h0, this); + this.DEV_CHAR_IS_I2C_F = new("DEV_CHAR_IS_I2C", 0, 0, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: DEV_CHAR_CLASS + + class OFFLOAD_CMD_n_CLASS extends register_base; + field_base OFFLOAD_CMD_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.OFFLOAD_CMD_F = new("OFFLOAD_CMD", 31, 0, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: OFFLOAD_CMD_n_CLASS + + class OFFLOAD_SDO_n_CLASS extends register_base; + field_base OFFLOAD_SDO_BYTE_3_F; + field_base OFFLOAD_SDO_BYTE_2_F; + field_base OFFLOAD_SDO_BYTE_1_F; + field_base OFFLOAD_SDO_BYTE_0_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.OFFLOAD_SDO_BYTE_3_F = new("OFFLOAD_SDO_BYTE_3", 31, 24, RO, 'h0, this); + this.OFFLOAD_SDO_BYTE_2_F = new("OFFLOAD_SDO_BYTE_2", 23, 16, RO, 'h0, this); + this.OFFLOAD_SDO_BYTE_1_F = new("OFFLOAD_SDO_BYTE_1", 15, 8, RO, 'h0, this); + this.OFFLOAD_SDO_BYTE_0_F = new("OFFLOAD_SDO_BYTE_0", 7, 0, RO, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: OFFLOAD_SDO_n_CLASS + + VERSION_CLASS VERSION_R; + DEVICE_ID_CLASS DEVICE_ID_R; + SCRATCH_CLASS SCRATCH_R; + ENABLE_CLASS ENABLE_R; + IRQ_MASK_CLASS IRQ_MASK_R; + IRQ_PENDING_CLASS IRQ_PENDING_R; + IRQ_SOURCE_CLASS IRQ_SOURCE_R; + CMD_FIFO_ROOM_CLASS CMD_FIFO_ROOM_R; + CMDR_FIFO_LEVEL_CLASS CMDR_FIFO_LEVEL_R; + SDO_FIFO_ROOM_CLASS SDO_FIFO_ROOM_R; + SDI_FIFO_LEVEL_CLASS SDI_FIFO_LEVEL_R; + IBI_FIFO_LEVEL_CLASS IBI_FIFO_LEVEL_R; + CMD_FIFO_CLASS CMD_FIFO_R; + CMDR_FIFO_CLASS CMDR_FIFO_R; + SDO_FIFO_CLASS SDO_FIFO_R; + SDI_FIFO_CLASS SDI_FIFO_R; + IBI_FIFO_CLASS IBI_FIFO_R; + FIFO_STATUS_CLASS FIFO_STATUS_R; + OPS_CLASS OPS_R; + IBI_CONFIG_CLASS IBI_CONFIG_R; + DEV_CHAR_CLASS DEV_CHAR_R; + OFFLOAD_CMD_n_CLASS OFFLOAD_CMD_0_R; + OFFLOAD_CMD_n_CLASS OFFLOAD_CMD_1_R; + OFFLOAD_CMD_n_CLASS OFFLOAD_CMD_2_R; + OFFLOAD_CMD_n_CLASS OFFLOAD_CMD_3_R; + OFFLOAD_CMD_n_CLASS OFFLOAD_CMD_4_R; + OFFLOAD_CMD_n_CLASS OFFLOAD_CMD_5_R; + OFFLOAD_CMD_n_CLASS OFFLOAD_CMD_6_R; + OFFLOAD_CMD_n_CLASS OFFLOAD_CMD_7_R; + OFFLOAD_CMD_n_CLASS OFFLOAD_CMD_8_R; + OFFLOAD_CMD_n_CLASS OFFLOAD_CMD_9_R; + OFFLOAD_CMD_n_CLASS OFFLOAD_CMD_10_R; + OFFLOAD_CMD_n_CLASS OFFLOAD_CMD_11_R; + OFFLOAD_CMD_n_CLASS OFFLOAD_CMD_12_R; + OFFLOAD_CMD_n_CLASS OFFLOAD_CMD_13_R; + OFFLOAD_CMD_n_CLASS OFFLOAD_CMD_14_R; + OFFLOAD_CMD_n_CLASS OFFLOAD_CMD_15_R; + OFFLOAD_SDO_n_CLASS OFFLOAD_SDO_0_R; + OFFLOAD_SDO_n_CLASS OFFLOAD_SDO_1_R; + OFFLOAD_SDO_n_CLASS OFFLOAD_SDO_2_R; + OFFLOAD_SDO_n_CLASS OFFLOAD_SDO_3_R; + OFFLOAD_SDO_n_CLASS OFFLOAD_SDO_4_R; + OFFLOAD_SDO_n_CLASS OFFLOAD_SDO_5_R; + OFFLOAD_SDO_n_CLASS OFFLOAD_SDO_6_R; + OFFLOAD_SDO_n_CLASS OFFLOAD_SDO_7_R; + OFFLOAD_SDO_n_CLASS OFFLOAD_SDO_8_R; + OFFLOAD_SDO_n_CLASS OFFLOAD_SDO_9_R; + OFFLOAD_SDO_n_CLASS OFFLOAD_SDO_10_R; + OFFLOAD_SDO_n_CLASS OFFLOAD_SDO_11_R; + OFFLOAD_SDO_n_CLASS OFFLOAD_SDO_12_R; + OFFLOAD_SDO_n_CLASS OFFLOAD_SDO_13_R; + OFFLOAD_SDO_n_CLASS OFFLOAD_SDO_14_R; + OFFLOAD_SDO_n_CLASS OFFLOAD_SDO_15_R; + + function new( + input string name, + input int address, + input int ID, + input adi_api parent = null); + + super.new(name, address, parent); + + this.VERSION_R = new("VERSION", 'h0, this); + this.DEVICE_ID_R = new("DEVICE_ID", 'h4, ID, this); + this.SCRATCH_R = new("SCRATCH", 'h8, this); + this.ENABLE_R = new("ENABLE", 'h40, this); + this.IRQ_MASK_R = new("IRQ_MASK", 'h80, this); + this.IRQ_PENDING_R = new("IRQ_PENDING", 'h84, this); + this.IRQ_SOURCE_R = new("IRQ_SOURCE", 'h88, this); + this.CMD_FIFO_ROOM_R = new("CMD_FIFO_ROOM", 'hc0, this); + this.CMDR_FIFO_LEVEL_R = new("CMDR_FIFO_LEVEL", 'hc4, this); + this.SDO_FIFO_ROOM_R = new("SDO_FIFO_ROOM", 'hc8, this); + this.SDI_FIFO_LEVEL_R = new("SDI_FIFO_LEVEL", 'hcc, this); + this.IBI_FIFO_LEVEL_R = new("IBI_FIFO_LEVEL", 'hd0, this); + this.CMD_FIFO_R = new("CMD_FIFO", 'hd4, this); + this.CMDR_FIFO_R = new("CMDR_FIFO", 'hd8, this); + this.SDO_FIFO_R = new("SDO_FIFO", 'hdc, this); + this.SDI_FIFO_R = new("SDI_FIFO", 'he0, this); + this.IBI_FIFO_R = new("IBI_FIFO", 'he4, this); + this.FIFO_STATUS_R = new("FIFO_STATUS", 'he8, this); + this.OPS_R = new("OPS", 'h100, this); + this.IBI_CONFIG_R = new("IBI_CONFIG", 'h140, this); + this.DEV_CHAR_R = new("DEV_CHAR", 'h180, this); + this.OFFLOAD_CMD_0_R = new("OFFLOAD_CMD_0", 'h2c0, this); + this.OFFLOAD_CMD_1_R = new("OFFLOAD_CMD_1", 'h2c4, this); + this.OFFLOAD_CMD_2_R = new("OFFLOAD_CMD_2", 'h2c8, this); + this.OFFLOAD_CMD_3_R = new("OFFLOAD_CMD_3", 'h2cc, this); + this.OFFLOAD_CMD_4_R = new("OFFLOAD_CMD_4", 'h2d0, this); + this.OFFLOAD_CMD_5_R = new("OFFLOAD_CMD_5", 'h2d4, this); + this.OFFLOAD_CMD_6_R = new("OFFLOAD_CMD_6", 'h2d8, this); + this.OFFLOAD_CMD_7_R = new("OFFLOAD_CMD_7", 'h2dc, this); + this.OFFLOAD_CMD_8_R = new("OFFLOAD_CMD_8", 'h2e0, this); + this.OFFLOAD_CMD_9_R = new("OFFLOAD_CMD_9", 'h2e4, this); + this.OFFLOAD_CMD_10_R = new("OFFLOAD_CMD_10", 'h2e8, this); + this.OFFLOAD_CMD_11_R = new("OFFLOAD_CMD_11", 'h2ec, this); + this.OFFLOAD_CMD_12_R = new("OFFLOAD_CMD_12", 'h2f0, this); + this.OFFLOAD_CMD_13_R = new("OFFLOAD_CMD_13", 'h2f4, this); + this.OFFLOAD_CMD_14_R = new("OFFLOAD_CMD_14", 'h2f8, this); + this.OFFLOAD_CMD_15_R = new("OFFLOAD_CMD_15", 'h2fc, this); + this.OFFLOAD_SDO_0_R = new("OFFLOAD_SDO_0", 'h300, this); + this.OFFLOAD_SDO_1_R = new("OFFLOAD_SDO_1", 'h304, this); + this.OFFLOAD_SDO_2_R = new("OFFLOAD_SDO_2", 'h308, this); + this.OFFLOAD_SDO_3_R = new("OFFLOAD_SDO_3", 'h30c, this); + this.OFFLOAD_SDO_4_R = new("OFFLOAD_SDO_4", 'h310, this); + this.OFFLOAD_SDO_5_R = new("OFFLOAD_SDO_5", 'h314, this); + this.OFFLOAD_SDO_6_R = new("OFFLOAD_SDO_6", 'h318, this); + this.OFFLOAD_SDO_7_R = new("OFFLOAD_SDO_7", 'h31c, this); + this.OFFLOAD_SDO_8_R = new("OFFLOAD_SDO_8", 'h320, this); + this.OFFLOAD_SDO_9_R = new("OFFLOAD_SDO_9", 'h324, this); + this.OFFLOAD_SDO_10_R = new("OFFLOAD_SDO_10", 'h328, this); + this.OFFLOAD_SDO_11_R = new("OFFLOAD_SDO_11", 'h32c, this); + this.OFFLOAD_SDO_12_R = new("OFFLOAD_SDO_12", 'h330, this); + this.OFFLOAD_SDO_13_R = new("OFFLOAD_SDO_13", 'h334, this); + this.OFFLOAD_SDO_14_R = new("OFFLOAD_SDO_14", 'h338, this); + this.OFFLOAD_SDO_15_R = new("OFFLOAD_SDO_15", 'h33c, this); + + this.info($sformatf("Initialized"), ADI_VERBOSITY_HIGH); + endfunction: new + + endclass: adi_regmap_i3c_controller + +endpackage: adi_regmap_i3c_controller_pkg diff --git a/library/regmaps/adi_regmap_interpolate_pkg.sv b/library/regmaps/adi_regmap_interpolate_pkg.sv new file mode 100644 index 00000000..9bbe5675 --- /dev/null +++ b/library/regmaps/adi_regmap_interpolate_pkg.sv @@ -0,0 +1,281 @@ +// *************************************************************************** +// *************************************************************************** +// Copyright (C) 2014 - 2025 Analog Devices, Inc. All rights reserved. +// +// In this HDL repository, there are many different and unique modules, consisting +// of various HDL (Verilog or VHDL) components. The individual modules are +// developed independently, and may be accompanied by separate and unique license +// terms. +// +// The user should read each of these license terms, and understand the +// freedoms and responsabilities that he or she has by using this source/core. +// +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. +// +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: +// +// 1. The GNU General Public License version 2 as published by the +// Free Software Foundation, which can be found in the top level directory +// of this repository (LICENSE_GPL2), and also online at: +// +// +// OR +// +// 2. An ADI specific BSD license, which can be found in the top level directory +// of this repository (LICENSE_ADIBSD), and also on-line at: +// https://github.com/analogdevicesinc/hdl/blob/main/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. +// +// *************************************************************************** +// *************************************************************************** +/* Auto generated Register Map */ +/* Jan 28 13:30:17 2025 v0.3.55 */ + +package adi_regmap_interpolate_pkg; + import logger_pkg::*; + import adi_api_pkg::*; + + class adi_regmap_interpolate extends adi_regmap; + + /* Analog Interpolation (axi_dac_interpolate) */ + class VERSION_CLASS extends register_base; + field_base VERSION_MAJOR_F; + field_base VERSION_MINOR_F; + field_base VERSION_PATCH_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.VERSION_MAJOR_F = new("VERSION_MAJOR", 31, 16, RO, 'h2, this); + this.VERSION_MINOR_F = new("VERSION_MINOR", 15, 8, RO, 'h5, this); + this.VERSION_PATCH_F = new("VERSION_PATCH", 7, 0, RO, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: VERSION_CLASS + + class SCRATCH_CLASS extends register_base; + field_base SCRATCH_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.SCRATCH_F = new("SCRATCH", 31, 0, RW, 'hXXXXXXXX, this); + + this.initialization_done = 1; + endfunction: new + endclass: SCRATCH_CLASS + + class ARBITRARY_INTERPOLATION_RATIO_A_CLASS extends register_base; + field_base FILTERED_INTERPOLATION_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.FILTERED_INTERPOLATION_F = new("FILTERED_INTERPOLATION", 31, 0, RW, 'hXXXXXXXX, this); + + this.initialization_done = 1; + endfunction: new + endclass: ARBITRARY_INTERPOLATION_RATIO_A_CLASS + + class INTERPOLATION_RATIO_A_CLASS extends register_base; + field_base FILTERED_INTERPOLATION_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.FILTERED_INTERPOLATION_F = new("FILTERED_INTERPOLATION", 2, 0, RW, 'hXXXXXXXX, this); + + this.initialization_done = 1; + endfunction: new + endclass: INTERPOLATION_RATIO_A_CLASS + + class ARBITRARY_INTERPOLATION_RATIO_B_CLASS extends register_base; + field_base FILTERED_INTERPOLATION_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.FILTERED_INTERPOLATION_F = new("FILTERED_INTERPOLATION", 31, 0, RW, 'hXXXXXXXX, this); + + this.initialization_done = 1; + endfunction: new + endclass: ARBITRARY_INTERPOLATION_RATIO_B_CLASS + + class INTERPOLATION_RATIO_B_CLASS extends register_base; + field_base FILTERED_INTERPOLATION_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.FILTERED_INTERPOLATION_F = new("FILTERED_INTERPOLATION", 2, 0, RW, 'hXXXXXXXX, this); + + this.initialization_done = 1; + endfunction: new + endclass: INTERPOLATION_RATIO_B_CLASS + + class FLAGS_CLASS extends register_base; + field_base SUSPEND_TRANSFER_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.SUSPEND_TRANSFER_F = new("SUSPEND_TRANSFER", 0, 0, RW, 'hXXXXXXXX, this); + + this.initialization_done = 1; + endfunction: new + endclass: FLAGS_CLASS + + class CONFIG_CLASS extends register_base; + field_base CORRECTION_ENABLE_B_F; + field_base CORRECTION_ENABLE_A_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.CORRECTION_ENABLE_B_F = new("CORRECTION_ENABLE_B", 1, 1, RW, 'hXXXXXXXX, this); + this.CORRECTION_ENABLE_A_F = new("CORRECTION_ENABLE_A", 0, 0, RW, 'hXXXXXXXX, this); + + this.initialization_done = 1; + endfunction: new + endclass: CONFIG_CLASS + + class CORRECTION_COEFFICIENT_A_CLASS extends register_base; + field_base CORRECTION_COEFFICIENT_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.CORRECTION_COEFFICIENT_F = new("CORRECTION_COEFFICIENT", 15, 0, RW, 'hXXXXXXXX, this); + + this.initialization_done = 1; + endfunction: new + endclass: CORRECTION_COEFFICIENT_A_CLASS + + class CORRECTION_COEFFICIENT_B_CLASS extends register_base; + field_base CORRECTION_COEFFICIENT_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.CORRECTION_COEFFICIENT_F = new("CORRECTION_COEFFICIENT", 15, 0, RW, 'hXXXXXXXX, this); + + this.initialization_done = 1; + endfunction: new + endclass: CORRECTION_COEFFICIENT_B_CLASS + + class TRIGGER_CONFIG_CLASS extends register_base; + field_base AUTO_REARM_TRIGGER_F; + field_base EN_TRIGGER_LA_F; + field_base EN_TRIGGER_ADC_F; + field_base EN_TRIGGER_TO_F; + field_base EN_TRIGGER_TI_F; + field_base FALL_EDGE_F; + field_base RISE_EDGE_F; + field_base ANY_EDGE_F; + field_base HIGH_LEVEL_F; + field_base LOW_LEVEL_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.AUTO_REARM_TRIGGER_F = new("AUTO_REARM_TRIGGER", 20, 20, RW, 'hXXXXXXXX, this); + this.EN_TRIGGER_LA_F = new("EN_TRIGGER_LA", 19, 19, RW, 'hXXXXXXXX, this); + this.EN_TRIGGER_ADC_F = new("EN_TRIGGER_ADC", 18, 18, RW, 'hXXXXXXXX, this); + this.EN_TRIGGER_TO_F = new("EN_TRIGGER_TO", 17, 17, RW, 'hXXXXXXXX, this); + this.EN_TRIGGER_TI_F = new("EN_TRIGGER_TI", 16, 16, RW, 'hXXXXXXXX, this); + this.FALL_EDGE_F = new("FALL_EDGE", 9, 8, RW, 'hXXXXXXXX, this); + this.RISE_EDGE_F = new("RISE_EDGE", 7, 6, RW, 'hXXXXXXXX, this); + this.ANY_EDGE_F = new("ANY_EDGE", 5, 4, RW, 'hXXXXXXXX, this); + this.HIGH_LEVEL_F = new("HIGH_LEVEL", 3, 2, RW, 'hXXXXXXXX, this); + this.LOW_LEVEL_F = new("LOW_LEVEL", 1, 0, RW, 'hXXXXXXXX, this); + + this.initialization_done = 1; + endfunction: new + endclass: TRIGGER_CONFIG_CLASS + + VERSION_CLASS VERSION_R; + SCRATCH_CLASS SCRATCH_R; + ARBITRARY_INTERPOLATION_RATIO_A_CLASS ARBITRARY_INTERPOLATION_RATIO_A_R; + INTERPOLATION_RATIO_A_CLASS INTERPOLATION_RATIO_A_R; + ARBITRARY_INTERPOLATION_RATIO_B_CLASS ARBITRARY_INTERPOLATION_RATIO_B_R; + INTERPOLATION_RATIO_B_CLASS INTERPOLATION_RATIO_B_R; + FLAGS_CLASS FLAGS_R; + CONFIG_CLASS CONFIG_R; + CORRECTION_COEFFICIENT_A_CLASS CORRECTION_COEFFICIENT_A_R; + CORRECTION_COEFFICIENT_B_CLASS CORRECTION_COEFFICIENT_B_R; + TRIGGER_CONFIG_CLASS TRIGGER_CONFIG_R; + + function new( + input string name, + input int address, + input adi_api parent = null); + + super.new(name, address, parent); + + this.VERSION_R = new("VERSION", 'h0, this); + this.SCRATCH_R = new("SCRATCH", 'h4, this); + this.ARBITRARY_INTERPOLATION_RATIO_A_R = new("ARBITRARY_INTERPOLATION_RATIO_A", 'h40, this); + this.INTERPOLATION_RATIO_A_R = new("INTERPOLATION_RATIO_A", 'h44, this); + this.ARBITRARY_INTERPOLATION_RATIO_B_R = new("ARBITRARY_INTERPOLATION_RATIO_B", 'h48, this); + this.INTERPOLATION_RATIO_B_R = new("INTERPOLATION_RATIO_B", 'h4c, this); + this.FLAGS_R = new("FLAGS", 'h50, this); + this.CONFIG_R = new("CONFIG", 'h54, this); + this.CORRECTION_COEFFICIENT_A_R = new("CORRECTION_COEFFICIENT_A", 'h58, this); + this.CORRECTION_COEFFICIENT_B_R = new("CORRECTION_COEFFICIENT_B", 'h5c, this); + this.TRIGGER_CONFIG_R = new("TRIGGER_CONFIG", 'h60, this); + + this.info($sformatf("Initialized"), ADI_VERBOSITY_HIGH); + endfunction: new + + endclass: adi_regmap_interpolate + +endpackage: adi_regmap_interpolate_pkg diff --git a/library/regmaps/adi_regmap_iodelay_pkg.sv b/library/regmaps/adi_regmap_iodelay_pkg.sv index 26722c93..51d5db47 100644 --- a/library/regmaps/adi_regmap_iodelay_pkg.sv +++ b/library/regmaps/adi_regmap_iodelay_pkg.sv @@ -1,6 +1,6 @@ // *************************************************************************** // *************************************************************************** -// Copyright (C) 2014 - 2024 Analog Devices, Inc. All rights reserved. +// Copyright (C) 2014 - 2025 Analog Devices, Inc. All rights reserved. // // In this HDL repository, there are many different and unique modules, consisting // of various HDL (Verilog or VHDL) components. The individual modules are @@ -33,34 +33,75 @@ // *************************************************************************** // *************************************************************************** /* Auto generated Register Map */ -/* Thu Mar 28 13:22:23 2024 */ +/* Jan 28 13:30:17 2025 v0.3.55 */ package adi_regmap_iodelay_pkg; - import adi_regmap_pkg::*; + import logger_pkg::*; + import adi_api_pkg::*; + class adi_regmap_iodelay extends adi_regmap; -/* IO Delay Control (axi_ad*) */ + /* IO Delay Control (axi_ad*) */ + class DELAY_CONTROL_n_CLASS extends register_base; + field_base DELAY_CONTROL_IO_n_F; - const reg_t IO_DELAY_CNTRL_REG_DELAY_CONTROL_0 = '{ 'h0000, "REG_DELAY_CONTROL_0" , '{ - "DELAY_CONTROL_IO_0": '{ 4, 0, RW, 'h00 }}}; - `define SET_IO_DELAY_CNTRL_REG_DELAY_CONTROL_0_DELAY_CONTROL_IO_0(x) SetField(IO_DELAY_CNTRL_REG_DELAY_CONTROL_0,"DELAY_CONTROL_IO_0",x) - `define GET_IO_DELAY_CNTRL_REG_DELAY_CONTROL_0_DELAY_CONTROL_IO_0(x) GetField(IO_DELAY_CNTRL_REG_DELAY_CONTROL_0,"DELAY_CONTROL_IO_0",x) - `define DEFAULT_IO_DELAY_CNTRL_REG_DELAY_CONTROL_0_DELAY_CONTROL_IO_0 GetResetValue(IO_DELAY_CNTRL_REG_DELAY_CONTROL_0,"DELAY_CONTROL_IO_0") - `define UPDATE_IO_DELAY_CNTRL_REG_DELAY_CONTROL_0_DELAY_CONTROL_IO_0(x,y) UpdateField(IO_DELAY_CNTRL_REG_DELAY_CONTROL_0,"DELAY_CONTROL_IO_0",x,y) + function new( + input string name, + input int address, + input adi_regmap parent = null); - const reg_t IO_DELAY_CNTRL_REG_DELAY_CONTROL_1 = '{ 'h0004, "REG_DELAY_CONTROL_1" , '{ - "DELAY_CONTROL_IO_1": '{ 4, 0, RW, 'h00 }}}; - `define SET_IO_DELAY_CNTRL_REG_DELAY_CONTROL_1_DELAY_CONTROL_IO_1(x) SetField(IO_DELAY_CNTRL_REG_DELAY_CONTROL_1,"DELAY_CONTROL_IO_1",x) - `define GET_IO_DELAY_CNTRL_REG_DELAY_CONTROL_1_DELAY_CONTROL_IO_1(x) GetField(IO_DELAY_CNTRL_REG_DELAY_CONTROL_1,"DELAY_CONTROL_IO_1",x) - `define DEFAULT_IO_DELAY_CNTRL_REG_DELAY_CONTROL_1_DELAY_CONTROL_IO_1 GetResetValue(IO_DELAY_CNTRL_REG_DELAY_CONTROL_1,"DELAY_CONTROL_IO_1") - `define UPDATE_IO_DELAY_CNTRL_REG_DELAY_CONTROL_1_DELAY_CONTROL_IO_1(x,y) UpdateField(IO_DELAY_CNTRL_REG_DELAY_CONTROL_1,"DELAY_CONTROL_IO_1",x,y) + super.new(name, address, parent); - const reg_t IO_DELAY_CNTRL_REG_DELAY_CONTROL_F = '{ 'h003c, "REG_DELAY_CONTROL_F" , '{ - "DELAY_CONTROL_IO_F": '{ 4, 0, RW, 'h00 }}}; - `define SET_IO_DELAY_CNTRL_REG_DELAY_CONTROL_F_DELAY_CONTROL_IO_F(x) SetField(IO_DELAY_CNTRL_REG_DELAY_CONTROL_F,"DELAY_CONTROL_IO_F",x) - `define GET_IO_DELAY_CNTRL_REG_DELAY_CONTROL_F_DELAY_CONTROL_IO_F(x) GetField(IO_DELAY_CNTRL_REG_DELAY_CONTROL_F,"DELAY_CONTROL_IO_F",x) - `define DEFAULT_IO_DELAY_CNTRL_REG_DELAY_CONTROL_F_DELAY_CONTROL_IO_F GetResetValue(IO_DELAY_CNTRL_REG_DELAY_CONTROL_F,"DELAY_CONTROL_IO_F") - `define UPDATE_IO_DELAY_CNTRL_REG_DELAY_CONTROL_F_DELAY_CONTROL_IO_F(x,y) UpdateField(IO_DELAY_CNTRL_REG_DELAY_CONTROL_F,"DELAY_CONTROL_IO_F",x,y) + this.DELAY_CONTROL_IO_n_F = new("DELAY_CONTROL_IO_n", 4, 0, RW, 'h0, this); + this.initialization_done = 1; + endfunction: new + endclass: DELAY_CONTROL_n_CLASS -endpackage + DELAY_CONTROL_n_CLASS DELAY_CONTROL_0_R; + DELAY_CONTROL_n_CLASS DELAY_CONTROL_1_R; + DELAY_CONTROL_n_CLASS DELAY_CONTROL_2_R; + DELAY_CONTROL_n_CLASS DELAY_CONTROL_3_R; + DELAY_CONTROL_n_CLASS DELAY_CONTROL_4_R; + DELAY_CONTROL_n_CLASS DELAY_CONTROL_5_R; + DELAY_CONTROL_n_CLASS DELAY_CONTROL_6_R; + DELAY_CONTROL_n_CLASS DELAY_CONTROL_7_R; + DELAY_CONTROL_n_CLASS DELAY_CONTROL_8_R; + DELAY_CONTROL_n_CLASS DELAY_CONTROL_9_R; + DELAY_CONTROL_n_CLASS DELAY_CONTROL_10_R; + DELAY_CONTROL_n_CLASS DELAY_CONTROL_11_R; + DELAY_CONTROL_n_CLASS DELAY_CONTROL_12_R; + DELAY_CONTROL_n_CLASS DELAY_CONTROL_13_R; + DELAY_CONTROL_n_CLASS DELAY_CONTROL_14_R; + DELAY_CONTROL_n_CLASS DELAY_CONTROL_15_R; + + function new( + input string name, + input int address, + input adi_api parent = null); + + super.new(name, address, parent); + + this.DELAY_CONTROL_0_R = new("DELAY_CONTROL_0", 'h0, this); + this.DELAY_CONTROL_1_R = new("DELAY_CONTROL_1", 'h4, this); + this.DELAY_CONTROL_2_R = new("DELAY_CONTROL_2", 'h8, this); + this.DELAY_CONTROL_3_R = new("DELAY_CONTROL_3", 'hc, this); + this.DELAY_CONTROL_4_R = new("DELAY_CONTROL_4", 'h10, this); + this.DELAY_CONTROL_5_R = new("DELAY_CONTROL_5", 'h14, this); + this.DELAY_CONTROL_6_R = new("DELAY_CONTROL_6", 'h18, this); + this.DELAY_CONTROL_7_R = new("DELAY_CONTROL_7", 'h1c, this); + this.DELAY_CONTROL_8_R = new("DELAY_CONTROL_8", 'h20, this); + this.DELAY_CONTROL_9_R = new("DELAY_CONTROL_9", 'h24, this); + this.DELAY_CONTROL_10_R = new("DELAY_CONTROL_10", 'h28, this); + this.DELAY_CONTROL_11_R = new("DELAY_CONTROL_11", 'h2c, this); + this.DELAY_CONTROL_12_R = new("DELAY_CONTROL_12", 'h30, this); + this.DELAY_CONTROL_13_R = new("DELAY_CONTROL_13", 'h34, this); + this.DELAY_CONTROL_14_R = new("DELAY_CONTROL_14", 'h38, this); + this.DELAY_CONTROL_15_R = new("DELAY_CONTROL_15", 'h3c, this); + + this.info($sformatf("Initialized"), ADI_VERBOSITY_HIGH); + endfunction: new + + endclass: adi_regmap_iodelay + +endpackage: adi_regmap_iodelay_pkg diff --git a/library/regmaps/adi_regmap_jesd_rx_pkg.sv b/library/regmaps/adi_regmap_jesd_rx_pkg.sv index cf1943ec..1fc01066 100644 --- a/library/regmaps/adi_regmap_jesd_rx_pkg.sv +++ b/library/regmaps/adi_regmap_jesd_rx_pkg.sv @@ -1,6 +1,6 @@ // *************************************************************************** // *************************************************************************** -// Copyright (C) 2014 - 2024 Analog Devices, Inc. All rights reserved. +// Copyright (C) 2014 - 2025 Analog Devices, Inc. All rights reserved. // // In this HDL repository, there are many different and unique modules, consisting // of various HDL (Verilog or VHDL) components. The individual modules are @@ -33,436 +33,1335 @@ // *************************************************************************** // *************************************************************************** /* Auto generated Register Map */ -/* Thu Mar 28 13:22:23 2024 */ +/* Jan 28 13:30:17 2025 v0.3.55 */ package adi_regmap_jesd_rx_pkg; - import adi_regmap_pkg::*; - - -/* JESD204 RX (axi_jesd204_rx) */ - - const reg_t JESD_RX_VERSION = '{ 'h0000, "VERSION" , '{ - "VERSION_MAJOR": '{ 31, 16, RO, 'h0001 }, - "VERSION_MINOR": '{ 15, 8, RO, 'h03 }, - "VERSION_PATCH": '{ 7, 0, RO, 'h61 }}}; - `define SET_JESD_RX_VERSION_VERSION_MAJOR(x) SetField(JESD_RX_VERSION,"VERSION_MAJOR",x) - `define GET_JESD_RX_VERSION_VERSION_MAJOR(x) GetField(JESD_RX_VERSION,"VERSION_MAJOR",x) - `define DEFAULT_JESD_RX_VERSION_VERSION_MAJOR GetResetValue(JESD_RX_VERSION,"VERSION_MAJOR") - `define UPDATE_JESD_RX_VERSION_VERSION_MAJOR(x,y) UpdateField(JESD_RX_VERSION,"VERSION_MAJOR",x,y) - `define SET_JESD_RX_VERSION_VERSION_MINOR(x) SetField(JESD_RX_VERSION,"VERSION_MINOR",x) - `define GET_JESD_RX_VERSION_VERSION_MINOR(x) GetField(JESD_RX_VERSION,"VERSION_MINOR",x) - `define DEFAULT_JESD_RX_VERSION_VERSION_MINOR GetResetValue(JESD_RX_VERSION,"VERSION_MINOR") - `define UPDATE_JESD_RX_VERSION_VERSION_MINOR(x,y) UpdateField(JESD_RX_VERSION,"VERSION_MINOR",x,y) - `define SET_JESD_RX_VERSION_VERSION_PATCH(x) SetField(JESD_RX_VERSION,"VERSION_PATCH",x) - `define GET_JESD_RX_VERSION_VERSION_PATCH(x) GetField(JESD_RX_VERSION,"VERSION_PATCH",x) - `define DEFAULT_JESD_RX_VERSION_VERSION_PATCH GetResetValue(JESD_RX_VERSION,"VERSION_PATCH") - `define UPDATE_JESD_RX_VERSION_VERSION_PATCH(x,y) UpdateField(JESD_RX_VERSION,"VERSION_PATCH",x,y) - - const reg_t JESD_RX_PERIPHERAL_ID = '{ 'h0004, "PERIPHERAL_ID" , '{ - "PERIPHERAL_ID": '{ 31, 0, RO, 'h???????? }}}; - `define SET_JESD_RX_PERIPHERAL_ID_PERIPHERAL_ID(x) SetField(JESD_RX_PERIPHERAL_ID,"PERIPHERAL_ID",x) - `define GET_JESD_RX_PERIPHERAL_ID_PERIPHERAL_ID(x) GetField(JESD_RX_PERIPHERAL_ID,"PERIPHERAL_ID",x) - `define DEFAULT_JESD_RX_PERIPHERAL_ID_PERIPHERAL_ID GetResetValue(JESD_RX_PERIPHERAL_ID,"PERIPHERAL_ID") - `define UPDATE_JESD_RX_PERIPHERAL_ID_PERIPHERAL_ID(x,y) UpdateField(JESD_RX_PERIPHERAL_ID,"PERIPHERAL_ID",x,y) - - const reg_t JESD_RX_SCRATCH = '{ 'h0008, "SCRATCH" , '{ - "SCRATCH": '{ 31, 0, RW, 'h00000000 }}}; - `define SET_JESD_RX_SCRATCH_SCRATCH(x) SetField(JESD_RX_SCRATCH,"SCRATCH",x) - `define GET_JESD_RX_SCRATCH_SCRATCH(x) GetField(JESD_RX_SCRATCH,"SCRATCH",x) - `define DEFAULT_JESD_RX_SCRATCH_SCRATCH GetResetValue(JESD_RX_SCRATCH,"SCRATCH") - `define UPDATE_JESD_RX_SCRATCH_SCRATCH(x,y) UpdateField(JESD_RX_SCRATCH,"SCRATCH",x,y) - - const reg_t JESD_RX_IDENTIFICATION = '{ 'h000c, "IDENTIFICATION" , '{ - "IDENTIFICATION": '{ 31, 0, RO, 'h32303452 }}}; - `define SET_JESD_RX_IDENTIFICATION_IDENTIFICATION(x) SetField(JESD_RX_IDENTIFICATION,"IDENTIFICATION",x) - `define GET_JESD_RX_IDENTIFICATION_IDENTIFICATION(x) GetField(JESD_RX_IDENTIFICATION,"IDENTIFICATION",x) - `define DEFAULT_JESD_RX_IDENTIFICATION_IDENTIFICATION GetResetValue(JESD_RX_IDENTIFICATION,"IDENTIFICATION") - `define UPDATE_JESD_RX_IDENTIFICATION_IDENTIFICATION(x,y) UpdateField(JESD_RX_IDENTIFICATION,"IDENTIFICATION",x,y) - - const reg_t JESD_RX_SYNTH_NUM_LANES = '{ 'h0010, "SYNTH_NUM_LANES" , '{ - "SYNTH_NUM_LANES": '{ 31, 0, RO, 'h???????? }}}; - `define SET_JESD_RX_SYNTH_NUM_LANES_SYNTH_NUM_LANES(x) SetField(JESD_RX_SYNTH_NUM_LANES,"SYNTH_NUM_LANES",x) - `define GET_JESD_RX_SYNTH_NUM_LANES_SYNTH_NUM_LANES(x) GetField(JESD_RX_SYNTH_NUM_LANES,"SYNTH_NUM_LANES",x) - `define DEFAULT_JESD_RX_SYNTH_NUM_LANES_SYNTH_NUM_LANES GetResetValue(JESD_RX_SYNTH_NUM_LANES,"SYNTH_NUM_LANES") - `define UPDATE_JESD_RX_SYNTH_NUM_LANES_SYNTH_NUM_LANES(x,y) UpdateField(JESD_RX_SYNTH_NUM_LANES,"SYNTH_NUM_LANES",x,y) - - const reg_t JESD_RX_SYNTH_DATA_PATH_WIDTH = '{ 'h0014, "SYNTH_DATA_PATH_WIDTH" , '{ - "TPL_DATA_PATH_WIDTH": '{ 15, 8, RO, 'h00000002 }, - "SYNTH_DATA_PATH_WIDTH": '{ 7, 0, RO, 'h00000002 }}}; - `define SET_JESD_RX_SYNTH_DATA_PATH_WIDTH_TPL_DATA_PATH_WIDTH(x) SetField(JESD_RX_SYNTH_DATA_PATH_WIDTH,"TPL_DATA_PATH_WIDTH",x) - `define GET_JESD_RX_SYNTH_DATA_PATH_WIDTH_TPL_DATA_PATH_WIDTH(x) GetField(JESD_RX_SYNTH_DATA_PATH_WIDTH,"TPL_DATA_PATH_WIDTH",x) - `define DEFAULT_JESD_RX_SYNTH_DATA_PATH_WIDTH_TPL_DATA_PATH_WIDTH GetResetValue(JESD_RX_SYNTH_DATA_PATH_WIDTH,"TPL_DATA_PATH_WIDTH") - `define UPDATE_JESD_RX_SYNTH_DATA_PATH_WIDTH_TPL_DATA_PATH_WIDTH(x,y) UpdateField(JESD_RX_SYNTH_DATA_PATH_WIDTH,"TPL_DATA_PATH_WIDTH",x,y) - `define SET_JESD_RX_SYNTH_DATA_PATH_WIDTH_SYNTH_DATA_PATH_WIDTH(x) SetField(JESD_RX_SYNTH_DATA_PATH_WIDTH,"SYNTH_DATA_PATH_WIDTH",x) - `define GET_JESD_RX_SYNTH_DATA_PATH_WIDTH_SYNTH_DATA_PATH_WIDTH(x) GetField(JESD_RX_SYNTH_DATA_PATH_WIDTH,"SYNTH_DATA_PATH_WIDTH",x) - `define DEFAULT_JESD_RX_SYNTH_DATA_PATH_WIDTH_SYNTH_DATA_PATH_WIDTH GetResetValue(JESD_RX_SYNTH_DATA_PATH_WIDTH,"SYNTH_DATA_PATH_WIDTH") - `define UPDATE_JESD_RX_SYNTH_DATA_PATH_WIDTH_SYNTH_DATA_PATH_WIDTH(x,y) UpdateField(JESD_RX_SYNTH_DATA_PATH_WIDTH,"SYNTH_DATA_PATH_WIDTH",x,y) - - const reg_t JESD_RX_SYNTH_REG_1 = '{ 'h0018, "SYNTH_REG_1" , '{ - "ENABLE_CHAR_REPLACE": '{ 18, 18, RO, 'h00 }, - "ENABLE_FRAME_ALIGN_ERR_RESET": '{ 17, 17, RO, 'h00 }, - "ENABLE_FRAME_ALIGN_CHECK": '{ 16, 16, RO, 'h00 }, - "ASYNC_CLK": '{ 12, 12, RO, 0 }, - "DECODER": '{ 9, 8, RO, 'h?? }, - "NUM_LINKS": '{ 7, 0, RO, 'h?? }}}; - `define SET_JESD_RX_SYNTH_REG_1_ENABLE_CHAR_REPLACE(x) SetField(JESD_RX_SYNTH_REG_1,"ENABLE_CHAR_REPLACE",x) - `define GET_JESD_RX_SYNTH_REG_1_ENABLE_CHAR_REPLACE(x) GetField(JESD_RX_SYNTH_REG_1,"ENABLE_CHAR_REPLACE",x) - `define DEFAULT_JESD_RX_SYNTH_REG_1_ENABLE_CHAR_REPLACE GetResetValue(JESD_RX_SYNTH_REG_1,"ENABLE_CHAR_REPLACE") - `define UPDATE_JESD_RX_SYNTH_REG_1_ENABLE_CHAR_REPLACE(x,y) UpdateField(JESD_RX_SYNTH_REG_1,"ENABLE_CHAR_REPLACE",x,y) - `define SET_JESD_RX_SYNTH_REG_1_ENABLE_FRAME_ALIGN_ERR_RESET(x) SetField(JESD_RX_SYNTH_REG_1,"ENABLE_FRAME_ALIGN_ERR_RESET",x) - `define GET_JESD_RX_SYNTH_REG_1_ENABLE_FRAME_ALIGN_ERR_RESET(x) GetField(JESD_RX_SYNTH_REG_1,"ENABLE_FRAME_ALIGN_ERR_RESET",x) - `define DEFAULT_JESD_RX_SYNTH_REG_1_ENABLE_FRAME_ALIGN_ERR_RESET GetResetValue(JESD_RX_SYNTH_REG_1,"ENABLE_FRAME_ALIGN_ERR_RESET") - `define UPDATE_JESD_RX_SYNTH_REG_1_ENABLE_FRAME_ALIGN_ERR_RESET(x,y) UpdateField(JESD_RX_SYNTH_REG_1,"ENABLE_FRAME_ALIGN_ERR_RESET",x,y) - `define SET_JESD_RX_SYNTH_REG_1_ENABLE_FRAME_ALIGN_CHECK(x) SetField(JESD_RX_SYNTH_REG_1,"ENABLE_FRAME_ALIGN_CHECK",x) - `define GET_JESD_RX_SYNTH_REG_1_ENABLE_FRAME_ALIGN_CHECK(x) GetField(JESD_RX_SYNTH_REG_1,"ENABLE_FRAME_ALIGN_CHECK",x) - `define DEFAULT_JESD_RX_SYNTH_REG_1_ENABLE_FRAME_ALIGN_CHECK GetResetValue(JESD_RX_SYNTH_REG_1,"ENABLE_FRAME_ALIGN_CHECK") - `define UPDATE_JESD_RX_SYNTH_REG_1_ENABLE_FRAME_ALIGN_CHECK(x,y) UpdateField(JESD_RX_SYNTH_REG_1,"ENABLE_FRAME_ALIGN_CHECK",x,y) - `define SET_JESD_RX_SYNTH_REG_1_ASYNC_CLK(x) SetField(JESD_RX_SYNTH_REG_1,"ASYNC_CLK",x) - `define GET_JESD_RX_SYNTH_REG_1_ASYNC_CLK(x) GetField(JESD_RX_SYNTH_REG_1,"ASYNC_CLK",x) - `define DEFAULT_JESD_RX_SYNTH_REG_1_ASYNC_CLK GetResetValue(JESD_RX_SYNTH_REG_1,"ASYNC_CLK") - `define UPDATE_JESD_RX_SYNTH_REG_1_ASYNC_CLK(x,y) UpdateField(JESD_RX_SYNTH_REG_1,"ASYNC_CLK",x,y) - `define SET_JESD_RX_SYNTH_REG_1_DECODER(x) SetField(JESD_RX_SYNTH_REG_1,"DECODER",x) - `define GET_JESD_RX_SYNTH_REG_1_DECODER(x) GetField(JESD_RX_SYNTH_REG_1,"DECODER",x) - `define DEFAULT_JESD_RX_SYNTH_REG_1_DECODER GetResetValue(JESD_RX_SYNTH_REG_1,"DECODER") - `define UPDATE_JESD_RX_SYNTH_REG_1_DECODER(x,y) UpdateField(JESD_RX_SYNTH_REG_1,"DECODER",x,y) - `define SET_JESD_RX_SYNTH_REG_1_NUM_LINKS(x) SetField(JESD_RX_SYNTH_REG_1,"NUM_LINKS",x) - `define GET_JESD_RX_SYNTH_REG_1_NUM_LINKS(x) GetField(JESD_RX_SYNTH_REG_1,"NUM_LINKS",x) - `define DEFAULT_JESD_RX_SYNTH_REG_1_NUM_LINKS GetResetValue(JESD_RX_SYNTH_REG_1,"NUM_LINKS") - `define UPDATE_JESD_RX_SYNTH_REG_1_NUM_LINKS(x,y) UpdateField(JESD_RX_SYNTH_REG_1,"NUM_LINKS",x,y) - - const reg_t JESD_RX_SYNTH_ELASTIC_BUFFER_SIZE = '{ 'h0040, "SYNTH_ELASTIC_BUFFER_SIZE" , '{ - "SYNTH_ELASTIC_BUFFER_SIZE": '{ 31, 0, RO, 'h00000100 }}}; - `define SET_JESD_RX_SYNTH_ELASTIC_BUFFER_SIZE_SYNTH_ELASTIC_BUFFER_SIZE(x) SetField(JESD_RX_SYNTH_ELASTIC_BUFFER_SIZE,"SYNTH_ELASTIC_BUFFER_SIZE",x) - `define GET_JESD_RX_SYNTH_ELASTIC_BUFFER_SIZE_SYNTH_ELASTIC_BUFFER_SIZE(x) GetField(JESD_RX_SYNTH_ELASTIC_BUFFER_SIZE,"SYNTH_ELASTIC_BUFFER_SIZE",x) - `define DEFAULT_JESD_RX_SYNTH_ELASTIC_BUFFER_SIZE_SYNTH_ELASTIC_BUFFER_SIZE GetResetValue(JESD_RX_SYNTH_ELASTIC_BUFFER_SIZE,"SYNTH_ELASTIC_BUFFER_SIZE") - `define UPDATE_JESD_RX_SYNTH_ELASTIC_BUFFER_SIZE_SYNTH_ELASTIC_BUFFER_SIZE(x,y) UpdateField(JESD_RX_SYNTH_ELASTIC_BUFFER_SIZE,"SYNTH_ELASTIC_BUFFER_SIZE",x,y) - - const reg_t JESD_RX_IRQ_ENABLE = '{ 'h0080, "IRQ_ENABLE" , '{ - "IRQ_ENABLE": '{ 31, 0, RW, 'h00000000 }}}; - `define SET_JESD_RX_IRQ_ENABLE_IRQ_ENABLE(x) SetField(JESD_RX_IRQ_ENABLE,"IRQ_ENABLE",x) - `define GET_JESD_RX_IRQ_ENABLE_IRQ_ENABLE(x) GetField(JESD_RX_IRQ_ENABLE,"IRQ_ENABLE",x) - `define DEFAULT_JESD_RX_IRQ_ENABLE_IRQ_ENABLE GetResetValue(JESD_RX_IRQ_ENABLE,"IRQ_ENABLE") - `define UPDATE_JESD_RX_IRQ_ENABLE_IRQ_ENABLE(x,y) UpdateField(JESD_RX_IRQ_ENABLE,"IRQ_ENABLE",x,y) - - const reg_t JESD_RX_IRQ_PENDING = '{ 'h0084, "IRQ_PENDING" , '{ - "IRQ_PENDING": '{ 31, 0, RW1CV, 'h00000000 }}}; - `define SET_JESD_RX_IRQ_PENDING_IRQ_PENDING(x) SetField(JESD_RX_IRQ_PENDING,"IRQ_PENDING",x) - `define GET_JESD_RX_IRQ_PENDING_IRQ_PENDING(x) GetField(JESD_RX_IRQ_PENDING,"IRQ_PENDING",x) - `define DEFAULT_JESD_RX_IRQ_PENDING_IRQ_PENDING GetResetValue(JESD_RX_IRQ_PENDING,"IRQ_PENDING") - `define UPDATE_JESD_RX_IRQ_PENDING_IRQ_PENDING(x,y) UpdateField(JESD_RX_IRQ_PENDING,"IRQ_PENDING",x,y) - - const reg_t JESD_RX_IRQ_SOURCE = '{ 'h0088, "IRQ_SOURCE" , '{ - "IRQ_SOURCE": '{ 31, 0, RW1CV, 'h00000000 }}}; - `define SET_JESD_RX_IRQ_SOURCE_IRQ_SOURCE(x) SetField(JESD_RX_IRQ_SOURCE,"IRQ_SOURCE",x) - `define GET_JESD_RX_IRQ_SOURCE_IRQ_SOURCE(x) GetField(JESD_RX_IRQ_SOURCE,"IRQ_SOURCE",x) - `define DEFAULT_JESD_RX_IRQ_SOURCE_IRQ_SOURCE GetResetValue(JESD_RX_IRQ_SOURCE,"IRQ_SOURCE") - `define UPDATE_JESD_RX_IRQ_SOURCE_IRQ_SOURCE(x,y) UpdateField(JESD_RX_IRQ_SOURCE,"IRQ_SOURCE",x,y) - - const reg_t JESD_RX_LINK_DISABLE = '{ 'h00c0, "LINK_DISABLE" , '{ - "LINK_DISABLE": '{ 0, 0, RW, 'h1 }}}; - `define SET_JESD_RX_LINK_DISABLE_LINK_DISABLE(x) SetField(JESD_RX_LINK_DISABLE,"LINK_DISABLE",x) - `define GET_JESD_RX_LINK_DISABLE_LINK_DISABLE(x) GetField(JESD_RX_LINK_DISABLE,"LINK_DISABLE",x) - `define DEFAULT_JESD_RX_LINK_DISABLE_LINK_DISABLE GetResetValue(JESD_RX_LINK_DISABLE,"LINK_DISABLE") - `define UPDATE_JESD_RX_LINK_DISABLE_LINK_DISABLE(x,y) UpdateField(JESD_RX_LINK_DISABLE,"LINK_DISABLE",x,y) - - const reg_t JESD_RX_LINK_STATE = '{ 'h00c4, "LINK_STATE" , '{ - "EXTERNAL_RESET": '{ 1, 1, RO, 'h? }, - "LINK_STATE": '{ 0, 0, RO, 'h1 }}}; - `define SET_JESD_RX_LINK_STATE_EXTERNAL_RESET(x) SetField(JESD_RX_LINK_STATE,"EXTERNAL_RESET",x) - `define GET_JESD_RX_LINK_STATE_EXTERNAL_RESET(x) GetField(JESD_RX_LINK_STATE,"EXTERNAL_RESET",x) - `define DEFAULT_JESD_RX_LINK_STATE_EXTERNAL_RESET GetResetValue(JESD_RX_LINK_STATE,"EXTERNAL_RESET") - `define UPDATE_JESD_RX_LINK_STATE_EXTERNAL_RESET(x,y) UpdateField(JESD_RX_LINK_STATE,"EXTERNAL_RESET",x,y) - `define SET_JESD_RX_LINK_STATE_LINK_STATE(x) SetField(JESD_RX_LINK_STATE,"LINK_STATE",x) - `define GET_JESD_RX_LINK_STATE_LINK_STATE(x) GetField(JESD_RX_LINK_STATE,"LINK_STATE",x) - `define DEFAULT_JESD_RX_LINK_STATE_LINK_STATE GetResetValue(JESD_RX_LINK_STATE,"LINK_STATE") - `define UPDATE_JESD_RX_LINK_STATE_LINK_STATE(x,y) UpdateField(JESD_RX_LINK_STATE,"LINK_STATE",x,y) - - const reg_t JESD_RX_LINK_CLK_FREQ = '{ 'h00c8, "LINK_CLK_FREQ" , '{ - "LINK_CLK_FREQ": '{ 20, 0, ROV, 'h????????? }}}; - `define SET_JESD_RX_LINK_CLK_FREQ_LINK_CLK_FREQ(x) SetField(JESD_RX_LINK_CLK_FREQ,"LINK_CLK_FREQ",x) - `define GET_JESD_RX_LINK_CLK_FREQ_LINK_CLK_FREQ(x) GetField(JESD_RX_LINK_CLK_FREQ,"LINK_CLK_FREQ",x) - `define DEFAULT_JESD_RX_LINK_CLK_FREQ_LINK_CLK_FREQ GetResetValue(JESD_RX_LINK_CLK_FREQ,"LINK_CLK_FREQ") - `define UPDATE_JESD_RX_LINK_CLK_FREQ_LINK_CLK_FREQ(x,y) UpdateField(JESD_RX_LINK_CLK_FREQ,"LINK_CLK_FREQ",x,y) - - const reg_t JESD_RX_DEVICE_CLK_FREQ = '{ 'h00cc, "DEVICE_CLK_FREQ" , '{ - "DEVICE_CLK_FREQ": '{ 20, 0, ROV, 'h????????? }}}; - `define SET_JESD_RX_DEVICE_CLK_FREQ_DEVICE_CLK_FREQ(x) SetField(JESD_RX_DEVICE_CLK_FREQ,"DEVICE_CLK_FREQ",x) - `define GET_JESD_RX_DEVICE_CLK_FREQ_DEVICE_CLK_FREQ(x) GetField(JESD_RX_DEVICE_CLK_FREQ,"DEVICE_CLK_FREQ",x) - `define DEFAULT_JESD_RX_DEVICE_CLK_FREQ_DEVICE_CLK_FREQ GetResetValue(JESD_RX_DEVICE_CLK_FREQ,"DEVICE_CLK_FREQ") - `define UPDATE_JESD_RX_DEVICE_CLK_FREQ_DEVICE_CLK_FREQ(x,y) UpdateField(JESD_RX_DEVICE_CLK_FREQ,"DEVICE_CLK_FREQ",x,y) - - const reg_t JESD_RX_SYSREF_CONF = '{ 'h0100, "SYSREF_CONF" , '{ - "SYSREF_ONESHOT": '{ 1, 1, RW, 'h0 }, - "SYSREF_DISABLE": '{ 0, 0, RW, 'h0 }}}; - `define SET_JESD_RX_SYSREF_CONF_SYSREF_ONESHOT(x) SetField(JESD_RX_SYSREF_CONF,"SYSREF_ONESHOT",x) - `define GET_JESD_RX_SYSREF_CONF_SYSREF_ONESHOT(x) GetField(JESD_RX_SYSREF_CONF,"SYSREF_ONESHOT",x) - `define DEFAULT_JESD_RX_SYSREF_CONF_SYSREF_ONESHOT GetResetValue(JESD_RX_SYSREF_CONF,"SYSREF_ONESHOT") - `define UPDATE_JESD_RX_SYSREF_CONF_SYSREF_ONESHOT(x,y) UpdateField(JESD_RX_SYSREF_CONF,"SYSREF_ONESHOT",x,y) - `define SET_JESD_RX_SYSREF_CONF_SYSREF_DISABLE(x) SetField(JESD_RX_SYSREF_CONF,"SYSREF_DISABLE",x) - `define GET_JESD_RX_SYSREF_CONF_SYSREF_DISABLE(x) GetField(JESD_RX_SYSREF_CONF,"SYSREF_DISABLE",x) - `define DEFAULT_JESD_RX_SYSREF_CONF_SYSREF_DISABLE GetResetValue(JESD_RX_SYSREF_CONF,"SYSREF_DISABLE") - `define UPDATE_JESD_RX_SYSREF_CONF_SYSREF_DISABLE(x,y) UpdateField(JESD_RX_SYSREF_CONF,"SYSREF_DISABLE",x,y) - - const reg_t JESD_RX_SYSREF_LMFC_OFFSET = '{ 'h0104, "SYSREF_LMFC_OFFSET" , '{ - "SYSREF_LMFC_OFFSET": '{ 9, 0, RW, 'h00 }}}; - `define SET_JESD_RX_SYSREF_LMFC_OFFSET_SYSREF_LMFC_OFFSET(x) SetField(JESD_RX_SYSREF_LMFC_OFFSET,"SYSREF_LMFC_OFFSET",x) - `define GET_JESD_RX_SYSREF_LMFC_OFFSET_SYSREF_LMFC_OFFSET(x) GetField(JESD_RX_SYSREF_LMFC_OFFSET,"SYSREF_LMFC_OFFSET",x) - `define DEFAULT_JESD_RX_SYSREF_LMFC_OFFSET_SYSREF_LMFC_OFFSET GetResetValue(JESD_RX_SYSREF_LMFC_OFFSET,"SYSREF_LMFC_OFFSET") - `define UPDATE_JESD_RX_SYSREF_LMFC_OFFSET_SYSREF_LMFC_OFFSET(x,y) UpdateField(JESD_RX_SYSREF_LMFC_OFFSET,"SYSREF_LMFC_OFFSET",x,y) - - const reg_t JESD_RX_SYSREF_STATUS = '{ 'h0108, "SYSREF_STATUS" , '{ - "SYSREF_ALIGNMENT_ERROR": '{ 1, 1, RW1CV, 'h0 }, - "SYSREF_DETECTED": '{ 0, 0, RW1CV, 'h0 }}}; - `define SET_JESD_RX_SYSREF_STATUS_SYSREF_ALIGNMENT_ERROR(x) SetField(JESD_RX_SYSREF_STATUS,"SYSREF_ALIGNMENT_ERROR",x) - `define GET_JESD_RX_SYSREF_STATUS_SYSREF_ALIGNMENT_ERROR(x) GetField(JESD_RX_SYSREF_STATUS,"SYSREF_ALIGNMENT_ERROR",x) - `define DEFAULT_JESD_RX_SYSREF_STATUS_SYSREF_ALIGNMENT_ERROR GetResetValue(JESD_RX_SYSREF_STATUS,"SYSREF_ALIGNMENT_ERROR") - `define UPDATE_JESD_RX_SYSREF_STATUS_SYSREF_ALIGNMENT_ERROR(x,y) UpdateField(JESD_RX_SYSREF_STATUS,"SYSREF_ALIGNMENT_ERROR",x,y) - `define SET_JESD_RX_SYSREF_STATUS_SYSREF_DETECTED(x) SetField(JESD_RX_SYSREF_STATUS,"SYSREF_DETECTED",x) - `define GET_JESD_RX_SYSREF_STATUS_SYSREF_DETECTED(x) GetField(JESD_RX_SYSREF_STATUS,"SYSREF_DETECTED",x) - `define DEFAULT_JESD_RX_SYSREF_STATUS_SYSREF_DETECTED GetResetValue(JESD_RX_SYSREF_STATUS,"SYSREF_DETECTED") - `define UPDATE_JESD_RX_SYSREF_STATUS_SYSREF_DETECTED(x,y) UpdateField(JESD_RX_SYSREF_STATUS,"SYSREF_DETECTED",x,y) - - const reg_t JESD_RX_LANES_DISABLE = '{ 'h0200, "LANES_DISABLE" , '{ - "LANE_DISABLEn": '{ n, n, RW, 'h0 }}}; - `define SET_JESD_RX_LANES_DISABLE_LANE_DISABLEn(x) SetField(JESD_RX_LANES_DISABLE,"LANE_DISABLEn",x) - `define GET_JESD_RX_LANES_DISABLE_LANE_DISABLEn(x) GetField(JESD_RX_LANES_DISABLE,"LANE_DISABLEn",x) - `define DEFAULT_JESD_RX_LANES_DISABLE_LANE_DISABLEn GetResetValue(JESD_RX_LANES_DISABLE,"LANE_DISABLEn") - `define UPDATE_JESD_RX_LANES_DISABLE_LANE_DISABLEn(x,y) UpdateField(JESD_RX_LANES_DISABLE,"LANE_DISABLEn",x,y) - - const reg_t JESD_RX_LINK_CONF0 = '{ 'h0210, "LINK_CONF0" , '{ - "OCTETS_PER_FRAME": '{ 18, 16, RW, 'h00 }, - "OCTETS_PER_MULTIFRAME": '{ 9, 0, RW, 'h03 }}}; - `define SET_JESD_RX_LINK_CONF0_OCTETS_PER_FRAME(x) SetField(JESD_RX_LINK_CONF0,"OCTETS_PER_FRAME",x) - `define GET_JESD_RX_LINK_CONF0_OCTETS_PER_FRAME(x) GetField(JESD_RX_LINK_CONF0,"OCTETS_PER_FRAME",x) - `define DEFAULT_JESD_RX_LINK_CONF0_OCTETS_PER_FRAME GetResetValue(JESD_RX_LINK_CONF0,"OCTETS_PER_FRAME") - `define UPDATE_JESD_RX_LINK_CONF0_OCTETS_PER_FRAME(x,y) UpdateField(JESD_RX_LINK_CONF0,"OCTETS_PER_FRAME",x,y) - `define SET_JESD_RX_LINK_CONF0_OCTETS_PER_MULTIFRAME(x) SetField(JESD_RX_LINK_CONF0,"OCTETS_PER_MULTIFRAME",x) - `define GET_JESD_RX_LINK_CONF0_OCTETS_PER_MULTIFRAME(x) GetField(JESD_RX_LINK_CONF0,"OCTETS_PER_MULTIFRAME",x) - `define DEFAULT_JESD_RX_LINK_CONF0_OCTETS_PER_MULTIFRAME GetResetValue(JESD_RX_LINK_CONF0,"OCTETS_PER_MULTIFRAME") - `define UPDATE_JESD_RX_LINK_CONF0_OCTETS_PER_MULTIFRAME(x,y) UpdateField(JESD_RX_LINK_CONF0,"OCTETS_PER_MULTIFRAME",x,y) - - const reg_t JESD_RX_LINK_CONF1 = '{ 'h0214, "LINK_CONF1" , '{ - "CHAR_REPLACEMENT_DISABLE": '{ 1, 1, RW, 'h0 }, - "DESCRAMBLER_DISABLE": '{ 0, 0, RW, 'h0 }}}; - `define SET_JESD_RX_LINK_CONF1_CHAR_REPLACEMENT_DISABLE(x) SetField(JESD_RX_LINK_CONF1,"CHAR_REPLACEMENT_DISABLE",x) - `define GET_JESD_RX_LINK_CONF1_CHAR_REPLACEMENT_DISABLE(x) GetField(JESD_RX_LINK_CONF1,"CHAR_REPLACEMENT_DISABLE",x) - `define DEFAULT_JESD_RX_LINK_CONF1_CHAR_REPLACEMENT_DISABLE GetResetValue(JESD_RX_LINK_CONF1,"CHAR_REPLACEMENT_DISABLE") - `define UPDATE_JESD_RX_LINK_CONF1_CHAR_REPLACEMENT_DISABLE(x,y) UpdateField(JESD_RX_LINK_CONF1,"CHAR_REPLACEMENT_DISABLE",x,y) - `define SET_JESD_RX_LINK_CONF1_DESCRAMBLER_DISABLE(x) SetField(JESD_RX_LINK_CONF1,"DESCRAMBLER_DISABLE",x) - `define GET_JESD_RX_LINK_CONF1_DESCRAMBLER_DISABLE(x) GetField(JESD_RX_LINK_CONF1,"DESCRAMBLER_DISABLE",x) - `define DEFAULT_JESD_RX_LINK_CONF1_DESCRAMBLER_DISABLE GetResetValue(JESD_RX_LINK_CONF1,"DESCRAMBLER_DISABLE") - `define UPDATE_JESD_RX_LINK_CONF1_DESCRAMBLER_DISABLE(x,y) UpdateField(JESD_RX_LINK_CONF1,"DESCRAMBLER_DISABLE",x,y) - - const reg_t JESD_RX_MULTI_LINK_DISABLE = '{ 'h0218, "MULTI_LINK_DISABLE" , '{ - "LINK_DISABLEn": '{ n, n, RW, 'h0 }}}; - `define SET_JESD_RX_MULTI_LINK_DISABLE_LINK_DISABLEn(x) SetField(JESD_RX_MULTI_LINK_DISABLE,"LINK_DISABLEn",x) - `define GET_JESD_RX_MULTI_LINK_DISABLE_LINK_DISABLEn(x) GetField(JESD_RX_MULTI_LINK_DISABLE,"LINK_DISABLEn",x) - `define DEFAULT_JESD_RX_MULTI_LINK_DISABLE_LINK_DISABLEn GetResetValue(JESD_RX_MULTI_LINK_DISABLE,"LINK_DISABLEn") - `define UPDATE_JESD_RX_MULTI_LINK_DISABLE_LINK_DISABLEn(x,y) UpdateField(JESD_RX_MULTI_LINK_DISABLE,"LINK_DISABLEn",x,y) - - const reg_t JESD_RX_LINK_CONF4 = '{ 'h021c, "LINK_CONF4" , '{ - "TPL_BEATS_PER_MULTIFRAME": '{ 7, 0, RW, 'h00 }}}; - `define SET_JESD_RX_LINK_CONF4_TPL_BEATS_PER_MULTIFRAME(x) SetField(JESD_RX_LINK_CONF4,"TPL_BEATS_PER_MULTIFRAME",x) - `define GET_JESD_RX_LINK_CONF4_TPL_BEATS_PER_MULTIFRAME(x) GetField(JESD_RX_LINK_CONF4,"TPL_BEATS_PER_MULTIFRAME",x) - `define DEFAULT_JESD_RX_LINK_CONF4_TPL_BEATS_PER_MULTIFRAME GetResetValue(JESD_RX_LINK_CONF4,"TPL_BEATS_PER_MULTIFRAME") - `define UPDATE_JESD_RX_LINK_CONF4_TPL_BEATS_PER_MULTIFRAME(x,y) UpdateField(JESD_RX_LINK_CONF4,"TPL_BEATS_PER_MULTIFRAME",x,y) - - const reg_t JESD_RX_LINK_CONF2 = '{ 'h0240, "LINK_CONF2" , '{ - "BUFFER_EARLY_RELEASE": '{ 16, 16, RW, 'h0 }, - "BUFFER_DEALY": '{ 9, 0, RW, 'h0 }}}; - `define SET_JESD_RX_LINK_CONF2_BUFFER_EARLY_RELEASE(x) SetField(JESD_RX_LINK_CONF2,"BUFFER_EARLY_RELEASE",x) - `define GET_JESD_RX_LINK_CONF2_BUFFER_EARLY_RELEASE(x) GetField(JESD_RX_LINK_CONF2,"BUFFER_EARLY_RELEASE",x) - `define DEFAULT_JESD_RX_LINK_CONF2_BUFFER_EARLY_RELEASE GetResetValue(JESD_RX_LINK_CONF2,"BUFFER_EARLY_RELEASE") - `define UPDATE_JESD_RX_LINK_CONF2_BUFFER_EARLY_RELEASE(x,y) UpdateField(JESD_RX_LINK_CONF2,"BUFFER_EARLY_RELEASE",x,y) - `define SET_JESD_RX_LINK_CONF2_BUFFER_DEALY(x) SetField(JESD_RX_LINK_CONF2,"BUFFER_DEALY",x) - `define GET_JESD_RX_LINK_CONF2_BUFFER_DEALY(x) GetField(JESD_RX_LINK_CONF2,"BUFFER_DEALY",x) - `define DEFAULT_JESD_RX_LINK_CONF2_BUFFER_DEALY GetResetValue(JESD_RX_LINK_CONF2,"BUFFER_DEALY") - `define UPDATE_JESD_RX_LINK_CONF2_BUFFER_DEALY(x,y) UpdateField(JESD_RX_LINK_CONF2,"BUFFER_DEALY",x,y) - - const reg_t JESD_RX_LINK_CONF3 = '{ 'h0244, "LINK_CONF3" , '{ - "MASK_INVALID_HEADER": '{ 14, 14, RW, 'h0 }, - "MASK_UNEXPECTED_EOMB": '{ 13, 13, RW, 'h0 }, - "MASK_UNEXPECTED_EOEMB": '{ 12, 12, RW, 'h0 }, - "MASK_CRC_MISMATCH": '{ 11, 11, RW, 'h0 }, - "MASK_UNEXPECTEDK": '{ 10, 10, RW, 'h0 }, - "MASK_NOTINTABLE": '{ 9, 9, RW, 'h0 }, - "MASK_DISPERR": '{ 8, 8, RW, 'h0 }, - "RESET_COUNTER": '{ 0, 0, RW, 'h0 }}}; - `define SET_JESD_RX_LINK_CONF3_MASK_INVALID_HEADER(x) SetField(JESD_RX_LINK_CONF3,"MASK_INVALID_HEADER",x) - `define GET_JESD_RX_LINK_CONF3_MASK_INVALID_HEADER(x) GetField(JESD_RX_LINK_CONF3,"MASK_INVALID_HEADER",x) - `define DEFAULT_JESD_RX_LINK_CONF3_MASK_INVALID_HEADER GetResetValue(JESD_RX_LINK_CONF3,"MASK_INVALID_HEADER") - `define UPDATE_JESD_RX_LINK_CONF3_MASK_INVALID_HEADER(x,y) UpdateField(JESD_RX_LINK_CONF3,"MASK_INVALID_HEADER",x,y) - `define SET_JESD_RX_LINK_CONF3_MASK_UNEXPECTED_EOMB(x) SetField(JESD_RX_LINK_CONF3,"MASK_UNEXPECTED_EOMB",x) - `define GET_JESD_RX_LINK_CONF3_MASK_UNEXPECTED_EOMB(x) GetField(JESD_RX_LINK_CONF3,"MASK_UNEXPECTED_EOMB",x) - `define DEFAULT_JESD_RX_LINK_CONF3_MASK_UNEXPECTED_EOMB GetResetValue(JESD_RX_LINK_CONF3,"MASK_UNEXPECTED_EOMB") - `define UPDATE_JESD_RX_LINK_CONF3_MASK_UNEXPECTED_EOMB(x,y) UpdateField(JESD_RX_LINK_CONF3,"MASK_UNEXPECTED_EOMB",x,y) - `define SET_JESD_RX_LINK_CONF3_MASK_UNEXPECTED_EOEMB(x) SetField(JESD_RX_LINK_CONF3,"MASK_UNEXPECTED_EOEMB",x) - `define GET_JESD_RX_LINK_CONF3_MASK_UNEXPECTED_EOEMB(x) GetField(JESD_RX_LINK_CONF3,"MASK_UNEXPECTED_EOEMB",x) - `define DEFAULT_JESD_RX_LINK_CONF3_MASK_UNEXPECTED_EOEMB GetResetValue(JESD_RX_LINK_CONF3,"MASK_UNEXPECTED_EOEMB") - `define UPDATE_JESD_RX_LINK_CONF3_MASK_UNEXPECTED_EOEMB(x,y) UpdateField(JESD_RX_LINK_CONF3,"MASK_UNEXPECTED_EOEMB",x,y) - `define SET_JESD_RX_LINK_CONF3_MASK_CRC_MISMATCH(x) SetField(JESD_RX_LINK_CONF3,"MASK_CRC_MISMATCH",x) - `define GET_JESD_RX_LINK_CONF3_MASK_CRC_MISMATCH(x) GetField(JESD_RX_LINK_CONF3,"MASK_CRC_MISMATCH",x) - `define DEFAULT_JESD_RX_LINK_CONF3_MASK_CRC_MISMATCH GetResetValue(JESD_RX_LINK_CONF3,"MASK_CRC_MISMATCH") - `define UPDATE_JESD_RX_LINK_CONF3_MASK_CRC_MISMATCH(x,y) UpdateField(JESD_RX_LINK_CONF3,"MASK_CRC_MISMATCH",x,y) - `define SET_JESD_RX_LINK_CONF3_MASK_UNEXPECTEDK(x) SetField(JESD_RX_LINK_CONF3,"MASK_UNEXPECTEDK",x) - `define GET_JESD_RX_LINK_CONF3_MASK_UNEXPECTEDK(x) GetField(JESD_RX_LINK_CONF3,"MASK_UNEXPECTEDK",x) - `define DEFAULT_JESD_RX_LINK_CONF3_MASK_UNEXPECTEDK GetResetValue(JESD_RX_LINK_CONF3,"MASK_UNEXPECTEDK") - `define UPDATE_JESD_RX_LINK_CONF3_MASK_UNEXPECTEDK(x,y) UpdateField(JESD_RX_LINK_CONF3,"MASK_UNEXPECTEDK",x,y) - `define SET_JESD_RX_LINK_CONF3_MASK_NOTINTABLE(x) SetField(JESD_RX_LINK_CONF3,"MASK_NOTINTABLE",x) - `define GET_JESD_RX_LINK_CONF3_MASK_NOTINTABLE(x) GetField(JESD_RX_LINK_CONF3,"MASK_NOTINTABLE",x) - `define DEFAULT_JESD_RX_LINK_CONF3_MASK_NOTINTABLE GetResetValue(JESD_RX_LINK_CONF3,"MASK_NOTINTABLE") - `define UPDATE_JESD_RX_LINK_CONF3_MASK_NOTINTABLE(x,y) UpdateField(JESD_RX_LINK_CONF3,"MASK_NOTINTABLE",x,y) - `define SET_JESD_RX_LINK_CONF3_MASK_DISPERR(x) SetField(JESD_RX_LINK_CONF3,"MASK_DISPERR",x) - `define GET_JESD_RX_LINK_CONF3_MASK_DISPERR(x) GetField(JESD_RX_LINK_CONF3,"MASK_DISPERR",x) - `define DEFAULT_JESD_RX_LINK_CONF3_MASK_DISPERR GetResetValue(JESD_RX_LINK_CONF3,"MASK_DISPERR") - `define UPDATE_JESD_RX_LINK_CONF3_MASK_DISPERR(x,y) UpdateField(JESD_RX_LINK_CONF3,"MASK_DISPERR",x,y) - `define SET_JESD_RX_LINK_CONF3_RESET_COUNTER(x) SetField(JESD_RX_LINK_CONF3,"RESET_COUNTER",x) - `define GET_JESD_RX_LINK_CONF3_RESET_COUNTER(x) GetField(JESD_RX_LINK_CONF3,"RESET_COUNTER",x) - `define DEFAULT_JESD_RX_LINK_CONF3_RESET_COUNTER GetResetValue(JESD_RX_LINK_CONF3,"RESET_COUNTER") - `define UPDATE_JESD_RX_LINK_CONF3_RESET_COUNTER(x,y) UpdateField(JESD_RX_LINK_CONF3,"RESET_COUNTER",x,y) - - const reg_t JESD_RX_LINK_STATUS = '{ 'h0280, "LINK_STATUS" , '{ - "STATUS_STATE": '{ 1, 0, ROV, 'h00 }}}; - `define SET_JESD_RX_LINK_STATUS_STATUS_STATE(x) SetField(JESD_RX_LINK_STATUS,"STATUS_STATE",x) - `define GET_JESD_RX_LINK_STATUS_STATUS_STATE(x) GetField(JESD_RX_LINK_STATUS,"STATUS_STATE",x) - `define DEFAULT_JESD_RX_LINK_STATUS_STATUS_STATE GetResetValue(JESD_RX_LINK_STATUS,"STATUS_STATE") - `define UPDATE_JESD_RX_LINK_STATUS_STATUS_STATE(x,y) UpdateField(JESD_RX_LINK_STATUS,"STATUS_STATE",x,y) - - const reg_t JESD_RX_LANEn_STATUS = '{ 'h0300 + 'h20*n, "LANEn_STATUS" , '{ - "EMB_STATE": '{ 10, 8, RO, 'h0 }, - "ILAS_READY": '{ 5, 5, ROV, 'h0 }, - "IFS_READY": '{ 4, 4, ROV, 'h0 }, - "CGS_STATE": '{ 1, 0, ROV, 'h0 }}}; - `define SET_JESD_RX_LANEn_STATUS_EMB_STATE(x) SetField(JESD_RX_LANEn_STATUS,"EMB_STATE",x) - `define GET_JESD_RX_LANEn_STATUS_EMB_STATE(x) GetField(JESD_RX_LANEn_STATUS,"EMB_STATE",x) - `define DEFAULT_JESD_RX_LANEn_STATUS_EMB_STATE GetResetValue(JESD_RX_LANEn_STATUS,"EMB_STATE") - `define UPDATE_JESD_RX_LANEn_STATUS_EMB_STATE(x,y) UpdateField(JESD_RX_LANEn_STATUS,"EMB_STATE",x,y) - `define SET_JESD_RX_LANEn_STATUS_ILAS_READY(x) SetField(JESD_RX_LANEn_STATUS,"ILAS_READY",x) - `define GET_JESD_RX_LANEn_STATUS_ILAS_READY(x) GetField(JESD_RX_LANEn_STATUS,"ILAS_READY",x) - `define DEFAULT_JESD_RX_LANEn_STATUS_ILAS_READY GetResetValue(JESD_RX_LANEn_STATUS,"ILAS_READY") - `define UPDATE_JESD_RX_LANEn_STATUS_ILAS_READY(x,y) UpdateField(JESD_RX_LANEn_STATUS,"ILAS_READY",x,y) - `define SET_JESD_RX_LANEn_STATUS_IFS_READY(x) SetField(JESD_RX_LANEn_STATUS,"IFS_READY",x) - `define GET_JESD_RX_LANEn_STATUS_IFS_READY(x) GetField(JESD_RX_LANEn_STATUS,"IFS_READY",x) - `define DEFAULT_JESD_RX_LANEn_STATUS_IFS_READY GetResetValue(JESD_RX_LANEn_STATUS,"IFS_READY") - `define UPDATE_JESD_RX_LANEn_STATUS_IFS_READY(x,y) UpdateField(JESD_RX_LANEn_STATUS,"IFS_READY",x,y) - `define SET_JESD_RX_LANEn_STATUS_CGS_STATE(x) SetField(JESD_RX_LANEn_STATUS,"CGS_STATE",x) - `define GET_JESD_RX_LANEn_STATUS_CGS_STATE(x) GetField(JESD_RX_LANEn_STATUS,"CGS_STATE",x) - `define DEFAULT_JESD_RX_LANEn_STATUS_CGS_STATE GetResetValue(JESD_RX_LANEn_STATUS,"CGS_STATE") - `define UPDATE_JESD_RX_LANEn_STATUS_CGS_STATE(x,y) UpdateField(JESD_RX_LANEn_STATUS,"CGS_STATE",x,y) - - const reg_t JESD_RX_LANEn_LATENCY = '{ 'h0304 + 'h20*n, "LANEn_LATENCY" , '{ - "LATENCY": '{ 13, 0, ROV, 'h0 }}}; - `define SET_JESD_RX_LANEn_LATENCY_LATENCY(x) SetField(JESD_RX_LANEn_LATENCY,"LATENCY",x) - `define GET_JESD_RX_LANEn_LATENCY_LATENCY(x) GetField(JESD_RX_LANEn_LATENCY,"LATENCY",x) - `define DEFAULT_JESD_RX_LANEn_LATENCY_LATENCY GetResetValue(JESD_RX_LANEn_LATENCY,"LATENCY") - `define UPDATE_JESD_RX_LANEn_LATENCY_LATENCY(x,y) UpdateField(JESD_RX_LANEn_LATENCY,"LATENCY",x,y) - - const reg_t JESD_RX_LANEn_ERROR_STATISTICS = '{ 'h0308 + 'h20*n, "LANEn_ERROR_STATISTICS" , '{ - "ERROR_REGISTER": '{ 31, 0, RO, 'h0 }}}; - `define SET_JESD_RX_LANEn_ERROR_STATISTICS_ERROR_REGISTER(x) SetField(JESD_RX_LANEn_ERROR_STATISTICS,"ERROR_REGISTER",x) - `define GET_JESD_RX_LANEn_ERROR_STATISTICS_ERROR_REGISTER(x) GetField(JESD_RX_LANEn_ERROR_STATISTICS,"ERROR_REGISTER",x) - `define DEFAULT_JESD_RX_LANEn_ERROR_STATISTICS_ERROR_REGISTER GetResetValue(JESD_RX_LANEn_ERROR_STATISTICS,"ERROR_REGISTER") - `define UPDATE_JESD_RX_LANEn_ERROR_STATISTICS_ERROR_REGISTER(x,y) UpdateField(JESD_RX_LANEn_ERROR_STATISTICS,"ERROR_REGISTER",x,y) - - const reg_t JESD_RX_LANEn_LANE_FRAME_ALIGN_ERR_CNT = '{ 'h030c + 'h20*n, "LANEn_LANE_FRAME_ALIGN_ERR_CNT" , '{ - "ERROR_REGISTER": '{ 7, 0, RO, 'h0 }}}; - `define SET_JESD_RX_LANEn_LANE_FRAME_ALIGN_ERR_CNT_ERROR_REGISTER(x) SetField(JESD_RX_LANEn_LANE_FRAME_ALIGN_ERR_CNT,"ERROR_REGISTER",x) - `define GET_JESD_RX_LANEn_LANE_FRAME_ALIGN_ERR_CNT_ERROR_REGISTER(x) GetField(JESD_RX_LANEn_LANE_FRAME_ALIGN_ERR_CNT,"ERROR_REGISTER",x) - `define DEFAULT_JESD_RX_LANEn_LANE_FRAME_ALIGN_ERR_CNT_ERROR_REGISTER GetResetValue(JESD_RX_LANEn_LANE_FRAME_ALIGN_ERR_CNT,"ERROR_REGISTER") - `define UPDATE_JESD_RX_LANEn_LANE_FRAME_ALIGN_ERR_CNT_ERROR_REGISTER(x,y) UpdateField(JESD_RX_LANEn_LANE_FRAME_ALIGN_ERR_CNT,"ERROR_REGISTER",x,y) - - const reg_t JESD_RX_LANEn_ILAS0 = '{ 'h0310 + 'h20*n, "LANEn_ILAS0" , '{ - "BID": '{ 27, 24, RO, 'h0 }, - "DID": '{ 23, 16, RO, 'h00 }}}; - `define SET_JESD_RX_LANEn_ILAS0_BID(x) SetField(JESD_RX_LANEn_ILAS0,"BID",x) - `define GET_JESD_RX_LANEn_ILAS0_BID(x) GetField(JESD_RX_LANEn_ILAS0,"BID",x) - `define DEFAULT_JESD_RX_LANEn_ILAS0_BID GetResetValue(JESD_RX_LANEn_ILAS0,"BID") - `define UPDATE_JESD_RX_LANEn_ILAS0_BID(x,y) UpdateField(JESD_RX_LANEn_ILAS0,"BID",x,y) - `define SET_JESD_RX_LANEn_ILAS0_DID(x) SetField(JESD_RX_LANEn_ILAS0,"DID",x) - `define GET_JESD_RX_LANEn_ILAS0_DID(x) GetField(JESD_RX_LANEn_ILAS0,"DID",x) - `define DEFAULT_JESD_RX_LANEn_ILAS0_DID GetResetValue(JESD_RX_LANEn_ILAS0,"DID") - `define UPDATE_JESD_RX_LANEn_ILAS0_DID(x,y) UpdateField(JESD_RX_LANEn_ILAS0,"DID",x,y) - - const reg_t JESD_RX_LANEn_ILAS1 = '{ 'h0314 + 'h20*n, "LANEn_ILAS1" , '{ - "K": '{ 28, 24, RO, 'h00 }, - "F": '{ 23, 16, RO, 'h00 }, - "SCR": '{ 15, 15, RO, 'h0 }, - "L": '{ 12, 8, RO, 'h00 }, - "LID": '{ 4, 0, RO, 'h00 }}}; - `define SET_JESD_RX_LANEn_ILAS1_K(x) SetField(JESD_RX_LANEn_ILAS1,"K",x) - `define GET_JESD_RX_LANEn_ILAS1_K(x) GetField(JESD_RX_LANEn_ILAS1,"K",x) - `define DEFAULT_JESD_RX_LANEn_ILAS1_K GetResetValue(JESD_RX_LANEn_ILAS1,"K") - `define UPDATE_JESD_RX_LANEn_ILAS1_K(x,y) UpdateField(JESD_RX_LANEn_ILAS1,"K",x,y) - `define SET_JESD_RX_LANEn_ILAS1_F(x) SetField(JESD_RX_LANEn_ILAS1,"F",x) - `define GET_JESD_RX_LANEn_ILAS1_F(x) GetField(JESD_RX_LANEn_ILAS1,"F",x) - `define DEFAULT_JESD_RX_LANEn_ILAS1_F GetResetValue(JESD_RX_LANEn_ILAS1,"F") - `define UPDATE_JESD_RX_LANEn_ILAS1_F(x,y) UpdateField(JESD_RX_LANEn_ILAS1,"F",x,y) - `define SET_JESD_RX_LANEn_ILAS1_SCR(x) SetField(JESD_RX_LANEn_ILAS1,"SCR",x) - `define GET_JESD_RX_LANEn_ILAS1_SCR(x) GetField(JESD_RX_LANEn_ILAS1,"SCR",x) - `define DEFAULT_JESD_RX_LANEn_ILAS1_SCR GetResetValue(JESD_RX_LANEn_ILAS1,"SCR") - `define UPDATE_JESD_RX_LANEn_ILAS1_SCR(x,y) UpdateField(JESD_RX_LANEn_ILAS1,"SCR",x,y) - `define SET_JESD_RX_LANEn_ILAS1_L(x) SetField(JESD_RX_LANEn_ILAS1,"L",x) - `define GET_JESD_RX_LANEn_ILAS1_L(x) GetField(JESD_RX_LANEn_ILAS1,"L",x) - `define DEFAULT_JESD_RX_LANEn_ILAS1_L GetResetValue(JESD_RX_LANEn_ILAS1,"L") - `define UPDATE_JESD_RX_LANEn_ILAS1_L(x,y) UpdateField(JESD_RX_LANEn_ILAS1,"L",x,y) - `define SET_JESD_RX_LANEn_ILAS1_LID(x) SetField(JESD_RX_LANEn_ILAS1,"LID",x) - `define GET_JESD_RX_LANEn_ILAS1_LID(x) GetField(JESD_RX_LANEn_ILAS1,"LID",x) - `define DEFAULT_JESD_RX_LANEn_ILAS1_LID GetResetValue(JESD_RX_LANEn_ILAS1,"LID") - `define UPDATE_JESD_RX_LANEn_ILAS1_LID(x,y) UpdateField(JESD_RX_LANEn_ILAS1,"LID",x,y) - - const reg_t JESD_RX_LANEn_ILAS2 = '{ 'h0318 + 'h20*n, "LANEn_ILAS2" , '{ - "JESDV": '{ 31, 29, RO, 'h0 }, - "S": '{ 28, 24, RO, 'h00 }, - "SUBCLASSV": '{ 23, 21, RO, 'h0 }, - "NP": '{ 20, 16, RO, 'h00 }, - "CS": '{ 15, 14, RO, 'h0 }, - "N": '{ 12, 8, RO, 'h00 }, - "M": '{ 7, 0, RO, 'h00 }}}; - `define SET_JESD_RX_LANEn_ILAS2_JESDV(x) SetField(JESD_RX_LANEn_ILAS2,"JESDV",x) - `define GET_JESD_RX_LANEn_ILAS2_JESDV(x) GetField(JESD_RX_LANEn_ILAS2,"JESDV",x) - `define DEFAULT_JESD_RX_LANEn_ILAS2_JESDV GetResetValue(JESD_RX_LANEn_ILAS2,"JESDV") - `define UPDATE_JESD_RX_LANEn_ILAS2_JESDV(x,y) UpdateField(JESD_RX_LANEn_ILAS2,"JESDV",x,y) - `define SET_JESD_RX_LANEn_ILAS2_S(x) SetField(JESD_RX_LANEn_ILAS2,"S",x) - `define GET_JESD_RX_LANEn_ILAS2_S(x) GetField(JESD_RX_LANEn_ILAS2,"S",x) - `define DEFAULT_JESD_RX_LANEn_ILAS2_S GetResetValue(JESD_RX_LANEn_ILAS2,"S") - `define UPDATE_JESD_RX_LANEn_ILAS2_S(x,y) UpdateField(JESD_RX_LANEn_ILAS2,"S",x,y) - `define SET_JESD_RX_LANEn_ILAS2_SUBCLASSV(x) SetField(JESD_RX_LANEn_ILAS2,"SUBCLASSV",x) - `define GET_JESD_RX_LANEn_ILAS2_SUBCLASSV(x) GetField(JESD_RX_LANEn_ILAS2,"SUBCLASSV",x) - `define DEFAULT_JESD_RX_LANEn_ILAS2_SUBCLASSV GetResetValue(JESD_RX_LANEn_ILAS2,"SUBCLASSV") - `define UPDATE_JESD_RX_LANEn_ILAS2_SUBCLASSV(x,y) UpdateField(JESD_RX_LANEn_ILAS2,"SUBCLASSV",x,y) - `define SET_JESD_RX_LANEn_ILAS2_NP(x) SetField(JESD_RX_LANEn_ILAS2,"NP",x) - `define GET_JESD_RX_LANEn_ILAS2_NP(x) GetField(JESD_RX_LANEn_ILAS2,"NP",x) - `define DEFAULT_JESD_RX_LANEn_ILAS2_NP GetResetValue(JESD_RX_LANEn_ILAS2,"NP") - `define UPDATE_JESD_RX_LANEn_ILAS2_NP(x,y) UpdateField(JESD_RX_LANEn_ILAS2,"NP",x,y) - `define SET_JESD_RX_LANEn_ILAS2_CS(x) SetField(JESD_RX_LANEn_ILAS2,"CS",x) - `define GET_JESD_RX_LANEn_ILAS2_CS(x) GetField(JESD_RX_LANEn_ILAS2,"CS",x) - `define DEFAULT_JESD_RX_LANEn_ILAS2_CS GetResetValue(JESD_RX_LANEn_ILAS2,"CS") - `define UPDATE_JESD_RX_LANEn_ILAS2_CS(x,y) UpdateField(JESD_RX_LANEn_ILAS2,"CS",x,y) - `define SET_JESD_RX_LANEn_ILAS2_N(x) SetField(JESD_RX_LANEn_ILAS2,"N",x) - `define GET_JESD_RX_LANEn_ILAS2_N(x) GetField(JESD_RX_LANEn_ILAS2,"N",x) - `define DEFAULT_JESD_RX_LANEn_ILAS2_N GetResetValue(JESD_RX_LANEn_ILAS2,"N") - `define UPDATE_JESD_RX_LANEn_ILAS2_N(x,y) UpdateField(JESD_RX_LANEn_ILAS2,"N",x,y) - `define SET_JESD_RX_LANEn_ILAS2_M(x) SetField(JESD_RX_LANEn_ILAS2,"M",x) - `define GET_JESD_RX_LANEn_ILAS2_M(x) GetField(JESD_RX_LANEn_ILAS2,"M",x) - `define DEFAULT_JESD_RX_LANEn_ILAS2_M GetResetValue(JESD_RX_LANEn_ILAS2,"M") - `define UPDATE_JESD_RX_LANEn_ILAS2_M(x,y) UpdateField(JESD_RX_LANEn_ILAS2,"M",x,y) - - const reg_t JESD_RX_LANEn_ILAS3 = '{ 'h031c + 'h20*n, "LANEn_ILAS3" , '{ - "FCHK": '{ 31, 24, RO, 'h00 }, - "HD": '{ 7, 7, RO, 'h0 }, - "CF": '{ 4, 0, RO, 'h00 }}}; - `define SET_JESD_RX_LANEn_ILAS3_FCHK(x) SetField(JESD_RX_LANEn_ILAS3,"FCHK",x) - `define GET_JESD_RX_LANEn_ILAS3_FCHK(x) GetField(JESD_RX_LANEn_ILAS3,"FCHK",x) - `define DEFAULT_JESD_RX_LANEn_ILAS3_FCHK GetResetValue(JESD_RX_LANEn_ILAS3,"FCHK") - `define UPDATE_JESD_RX_LANEn_ILAS3_FCHK(x,y) UpdateField(JESD_RX_LANEn_ILAS3,"FCHK",x,y) - `define SET_JESD_RX_LANEn_ILAS3_HD(x) SetField(JESD_RX_LANEn_ILAS3,"HD",x) - `define GET_JESD_RX_LANEn_ILAS3_HD(x) GetField(JESD_RX_LANEn_ILAS3,"HD",x) - `define DEFAULT_JESD_RX_LANEn_ILAS3_HD GetResetValue(JESD_RX_LANEn_ILAS3,"HD") - `define UPDATE_JESD_RX_LANEn_ILAS3_HD(x,y) UpdateField(JESD_RX_LANEn_ILAS3,"HD",x,y) - `define SET_JESD_RX_LANEn_ILAS3_CF(x) SetField(JESD_RX_LANEn_ILAS3,"CF",x) - `define GET_JESD_RX_LANEn_ILAS3_CF(x) GetField(JESD_RX_LANEn_ILAS3,"CF",x) - `define DEFAULT_JESD_RX_LANEn_ILAS3_CF GetResetValue(JESD_RX_LANEn_ILAS3,"CF") - `define UPDATE_JESD_RX_LANEn_ILAS3_CF(x,y) UpdateField(JESD_RX_LANEn_ILAS3,"CF",x,y) - - -endpackage + import logger_pkg::*; + import adi_api_pkg::*; + + class adi_regmap_jesd_rx extends adi_regmap; + + /* JESD204 RX (axi_jesd204_rx) */ + class VERSION_CLASS extends register_base; + field_base VERSION_MAJOR_F; + field_base VERSION_MINOR_F; + field_base VERSION_PATCH_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.VERSION_MAJOR_F = new("VERSION_MAJOR", 31, 16, RO, 'h1, this); + this.VERSION_MINOR_F = new("VERSION_MINOR", 15, 8, RO, 'h3, this); + this.VERSION_PATCH_F = new("VERSION_PATCH", 7, 0, RO, 'h61, this); + + this.initialization_done = 1; + endfunction: new + endclass: VERSION_CLASS + + class PERIPHERAL_ID_CLASS extends register_base; + field_base PERIPHERAL_ID_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.PERIPHERAL_ID_F = new("PERIPHERAL_ID", 31, 0, RO, 'hXXXXXXXX, this); + + this.initialization_done = 1; + endfunction: new + endclass: PERIPHERAL_ID_CLASS + + class SCRATCH_CLASS extends register_base; + field_base SCRATCH_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.SCRATCH_F = new("SCRATCH", 31, 0, RW, 'hXXXXXXXX, this); + + this.initialization_done = 1; + endfunction: new + endclass: SCRATCH_CLASS + + class IDENTIFICATION_CLASS extends register_base; + field_base IDENTIFICATION_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.IDENTIFICATION_F = new("IDENTIFICATION", 31, 0, RO, 'h32303452, this); + + this.initialization_done = 1; + endfunction: new + endclass: IDENTIFICATION_CLASS + + class SYNTH_NUM_LANES_CLASS extends register_base; + field_base SYNTH_NUM_LANES_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.SYNTH_NUM_LANES_F = new("SYNTH_NUM_LANES", 31, 0, RO, 'hXXXXXXXX, this); + + this.initialization_done = 1; + endfunction: new + endclass: SYNTH_NUM_LANES_CLASS + + class SYNTH_DATA_PATH_WIDTH_CLASS extends register_base; + field_base TPL_DATA_PATH_WIDTH_F; + field_base SYNTH_DATA_PATH_WIDTH_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.TPL_DATA_PATH_WIDTH_F = new("TPL_DATA_PATH_WIDTH", 15, 8, RO, 'h2, this); + this.SYNTH_DATA_PATH_WIDTH_F = new("SYNTH_DATA_PATH_WIDTH", 7, 0, RO, 'h2, this); + + this.initialization_done = 1; + endfunction: new + endclass: SYNTH_DATA_PATH_WIDTH_CLASS + + class SYNTH_1_CLASS extends register_base; + field_base ENABLE_CHAR_REPLACE_F; + field_base ENABLE_FRAME_ALIGN_ERR_RESET_F; + field_base ENABLE_FRAME_ALIGN_CHECK_F; + field_base ASYNC_CLK_F; + field_base DECODER_F; + field_base NUM_LINKS_F; + + function new( + input string name, + input int address, + input int ASYNC_CLK, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.ENABLE_CHAR_REPLACE_F = new("ENABLE_CHAR_REPLACE", 18, 18, RO, 'h0, this); + this.ENABLE_FRAME_ALIGN_ERR_RESET_F = new("ENABLE_FRAME_ALIGN_ERR_RESET", 17, 17, RO, 'h0, this); + this.ENABLE_FRAME_ALIGN_CHECK_F = new("ENABLE_FRAME_ALIGN_CHECK", 16, 16, RO, 'h1, this); + this.ASYNC_CLK_F = new("ASYNC_CLK", 12, 12, RO, ASYNC_CLK, this); + this.DECODER_F = new("DECODER", 9, 8, RO, 'hXXXXXXXX, this); + this.NUM_LINKS_F = new("NUM_LINKS", 7, 0, RO, 'hXXXXXXXX, this); + + this.initialization_done = 1; + endfunction: new + endclass: SYNTH_1_CLASS + + class SYNTH_ELASTIC_BUFFER_SIZE_CLASS extends register_base; + field_base SYNTH_ELASTIC_BUFFER_SIZE_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.SYNTH_ELASTIC_BUFFER_SIZE_F = new("SYNTH_ELASTIC_BUFFER_SIZE", 31, 0, RO, 'h100, this); + + this.initialization_done = 1; + endfunction: new + endclass: SYNTH_ELASTIC_BUFFER_SIZE_CLASS + + class IRQ_ENABLE_CLASS extends register_base; + field_base IRQ_ENABLE_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.IRQ_ENABLE_F = new("IRQ_ENABLE", 31, 0, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: IRQ_ENABLE_CLASS + + class IRQ_PENDING_CLASS extends register_base; + field_base IRQ_PENDING_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.IRQ_PENDING_F = new("IRQ_PENDING", 31, 0, RW1CV, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: IRQ_PENDING_CLASS + + class IRQ_SOURCE_CLASS extends register_base; + field_base IRQ_SOURCE_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.IRQ_SOURCE_F = new("IRQ_SOURCE", 31, 0, RW1CV, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: IRQ_SOURCE_CLASS + + class LINK_DISABLE_CLASS extends register_base; + field_base LINK_DISABLE_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.LINK_DISABLE_F = new("LINK_DISABLE", 0, 0, RW, 'h1, this); + + this.initialization_done = 1; + endfunction: new + endclass: LINK_DISABLE_CLASS + + class LINK_STATE_CLASS extends register_base; + field_base EXTERNAL_RESET_F; + field_base LINK_STATE_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.EXTERNAL_RESET_F = new("EXTERNAL_RESET", 1, 1, RO, 'hXXXXXXXX, this); + this.LINK_STATE_F = new("LINK_STATE", 0, 0, RO, 'h1, this); + + this.initialization_done = 1; + endfunction: new + endclass: LINK_STATE_CLASS + + class LINK_CLK_FREQ_CLASS extends register_base; + field_base LINK_CLK_FREQ_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.LINK_CLK_FREQ_F = new("LINK_CLK_FREQ", 20, 0, ROV, 'hXXXXXXXX, this); + + this.initialization_done = 1; + endfunction: new + endclass: LINK_CLK_FREQ_CLASS + + class DEVICE_CLK_FREQ_CLASS extends register_base; + field_base DEVICE_CLK_FREQ_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.DEVICE_CLK_FREQ_F = new("DEVICE_CLK_FREQ", 20, 0, ROV, 'hXXXXXXXX, this); + + this.initialization_done = 1; + endfunction: new + endclass: DEVICE_CLK_FREQ_CLASS + + class SYSREF_CONF_CLASS extends register_base; + field_base SYSREF_ONESHOT_F; + field_base SYSREF_DISABLE_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.SYSREF_ONESHOT_F = new("SYSREF_ONESHOT", 1, 1, RW, 'h0, this); + this.SYSREF_DISABLE_F = new("SYSREF_DISABLE", 0, 0, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: SYSREF_CONF_CLASS + + class SYSREF_LMFC_OFFSET_CLASS extends register_base; + field_base SYSREF_LMFC_OFFSET_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.SYSREF_LMFC_OFFSET_F = new("SYSREF_LMFC_OFFSET", 9, 0, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: SYSREF_LMFC_OFFSET_CLASS + + class SYSREF_STATUS_CLASS extends register_base; + field_base SYSREF_ALIGNMENT_ERROR_F; + field_base SYSREF_DETECTED_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.SYSREF_ALIGNMENT_ERROR_F = new("SYSREF_ALIGNMENT_ERROR", 1, 1, RW1CV, 'h0, this); + this.SYSREF_DETECTED_F = new("SYSREF_DETECTED", 0, 0, RW1CV, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: SYSREF_STATUS_CLASS + + class LANES_DISABLE_CLASS extends register_base; + field_base LANE_DISABLE0_F; + field_base LANE_DISABLE1_F; + field_base LANE_DISABLE2_F; + field_base LANE_DISABLE3_F; + field_base LANE_DISABLE4_F; + field_base LANE_DISABLE5_F; + field_base LANE_DISABLE6_F; + field_base LANE_DISABLE7_F; + field_base LANE_DISABLE8_F; + field_base LANE_DISABLE9_F; + field_base LANE_DISABLE10_F; + field_base LANE_DISABLE11_F; + field_base LANE_DISABLE12_F; + field_base LANE_DISABLE13_F; + field_base LANE_DISABLE14_F; + field_base LANE_DISABLE15_F; + field_base LANE_DISABLE16_F; + field_base LANE_DISABLE17_F; + field_base LANE_DISABLE18_F; + field_base LANE_DISABLE19_F; + field_base LANE_DISABLE20_F; + field_base LANE_DISABLE21_F; + field_base LANE_DISABLE22_F; + field_base LANE_DISABLE23_F; + field_base LANE_DISABLE24_F; + field_base LANE_DISABLE25_F; + field_base LANE_DISABLE26_F; + field_base LANE_DISABLE27_F; + field_base LANE_DISABLE28_F; + field_base LANE_DISABLE29_F; + field_base LANE_DISABLE30_F; + field_base LANE_DISABLE31_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.LANE_DISABLE0_F = new("LANE_DISABLE0", 0, 0, RW, 'h0, this); + this.LANE_DISABLE1_F = new("LANE_DISABLE1", 1, 1, RW, 'h0, this); + this.LANE_DISABLE2_F = new("LANE_DISABLE2", 2, 2, RW, 'h0, this); + this.LANE_DISABLE3_F = new("LANE_DISABLE3", 3, 3, RW, 'h0, this); + this.LANE_DISABLE4_F = new("LANE_DISABLE4", 4, 4, RW, 'h0, this); + this.LANE_DISABLE5_F = new("LANE_DISABLE5", 5, 5, RW, 'h0, this); + this.LANE_DISABLE6_F = new("LANE_DISABLE6", 6, 6, RW, 'h0, this); + this.LANE_DISABLE7_F = new("LANE_DISABLE7", 7, 7, RW, 'h0, this); + this.LANE_DISABLE8_F = new("LANE_DISABLE8", 8, 8, RW, 'h0, this); + this.LANE_DISABLE9_F = new("LANE_DISABLE9", 9, 9, RW, 'h0, this); + this.LANE_DISABLE10_F = new("LANE_DISABLE10", 10, 10, RW, 'h0, this); + this.LANE_DISABLE11_F = new("LANE_DISABLE11", 11, 11, RW, 'h0, this); + this.LANE_DISABLE12_F = new("LANE_DISABLE12", 12, 12, RW, 'h0, this); + this.LANE_DISABLE13_F = new("LANE_DISABLE13", 13, 13, RW, 'h0, this); + this.LANE_DISABLE14_F = new("LANE_DISABLE14", 14, 14, RW, 'h0, this); + this.LANE_DISABLE15_F = new("LANE_DISABLE15", 15, 15, RW, 'h0, this); + this.LANE_DISABLE16_F = new("LANE_DISABLE16", 16, 16, RW, 'h0, this); + this.LANE_DISABLE17_F = new("LANE_DISABLE17", 17, 17, RW, 'h0, this); + this.LANE_DISABLE18_F = new("LANE_DISABLE18", 18, 18, RW, 'h0, this); + this.LANE_DISABLE19_F = new("LANE_DISABLE19", 19, 19, RW, 'h0, this); + this.LANE_DISABLE20_F = new("LANE_DISABLE20", 20, 20, RW, 'h0, this); + this.LANE_DISABLE21_F = new("LANE_DISABLE21", 21, 21, RW, 'h0, this); + this.LANE_DISABLE22_F = new("LANE_DISABLE22", 22, 22, RW, 'h0, this); + this.LANE_DISABLE23_F = new("LANE_DISABLE23", 23, 23, RW, 'h0, this); + this.LANE_DISABLE24_F = new("LANE_DISABLE24", 24, 24, RW, 'h0, this); + this.LANE_DISABLE25_F = new("LANE_DISABLE25", 25, 25, RW, 'h0, this); + this.LANE_DISABLE26_F = new("LANE_DISABLE26", 26, 26, RW, 'h0, this); + this.LANE_DISABLE27_F = new("LANE_DISABLE27", 27, 27, RW, 'h0, this); + this.LANE_DISABLE28_F = new("LANE_DISABLE28", 28, 28, RW, 'h0, this); + this.LANE_DISABLE29_F = new("LANE_DISABLE29", 29, 29, RW, 'h0, this); + this.LANE_DISABLE30_F = new("LANE_DISABLE30", 30, 30, RW, 'h0, this); + this.LANE_DISABLE31_F = new("LANE_DISABLE31", 31, 31, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: LANES_DISABLE_CLASS + + class LINK_CONF0_CLASS extends register_base; + field_base OCTETS_PER_FRAME_F; + field_base OCTETS_PER_MULTIFRAME_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.OCTETS_PER_FRAME_F = new("OCTETS_PER_FRAME", 18, 16, RW, 'h0, this); + this.OCTETS_PER_MULTIFRAME_F = new("OCTETS_PER_MULTIFRAME", 9, 0, RW, 'h3, this); + + this.initialization_done = 1; + endfunction: new + endclass: LINK_CONF0_CLASS + + class LINK_CONF1_CLASS extends register_base; + field_base CHAR_REPLACEMENT_DISABLE_F; + field_base DESCRAMBLER_DISABLE_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.CHAR_REPLACEMENT_DISABLE_F = new("CHAR_REPLACEMENT_DISABLE", 1, 1, RW, 'h0, this); + this.DESCRAMBLER_DISABLE_F = new("DESCRAMBLER_DISABLE", 0, 0, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: LINK_CONF1_CLASS + + class MULTI_LINK_DISABLE_CLASS extends register_base; + field_base LINK_DISABLE0_F; + field_base LINK_DISABLE1_F; + field_base LINK_DISABLE2_F; + field_base LINK_DISABLE3_F; + field_base LINK_DISABLE4_F; + field_base LINK_DISABLE5_F; + field_base LINK_DISABLE6_F; + field_base LINK_DISABLE7_F; + field_base LINK_DISABLE8_F; + field_base LINK_DISABLE9_F; + field_base LINK_DISABLE10_F; + field_base LINK_DISABLE11_F; + field_base LINK_DISABLE12_F; + field_base LINK_DISABLE13_F; + field_base LINK_DISABLE14_F; + field_base LINK_DISABLE15_F; + field_base LINK_DISABLE16_F; + field_base LINK_DISABLE17_F; + field_base LINK_DISABLE18_F; + field_base LINK_DISABLE19_F; + field_base LINK_DISABLE20_F; + field_base LINK_DISABLE21_F; + field_base LINK_DISABLE22_F; + field_base LINK_DISABLE23_F; + field_base LINK_DISABLE24_F; + field_base LINK_DISABLE25_F; + field_base LINK_DISABLE26_F; + field_base LINK_DISABLE27_F; + field_base LINK_DISABLE28_F; + field_base LINK_DISABLE29_F; + field_base LINK_DISABLE30_F; + field_base LINK_DISABLE31_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.LINK_DISABLE0_F = new("LINK_DISABLE0", 0, 0, RW, 'h0, this); + this.LINK_DISABLE1_F = new("LINK_DISABLE1", 1, 1, RW, 'h0, this); + this.LINK_DISABLE2_F = new("LINK_DISABLE2", 2, 2, RW, 'h0, this); + this.LINK_DISABLE3_F = new("LINK_DISABLE3", 3, 3, RW, 'h0, this); + this.LINK_DISABLE4_F = new("LINK_DISABLE4", 4, 4, RW, 'h0, this); + this.LINK_DISABLE5_F = new("LINK_DISABLE5", 5, 5, RW, 'h0, this); + this.LINK_DISABLE6_F = new("LINK_DISABLE6", 6, 6, RW, 'h0, this); + this.LINK_DISABLE7_F = new("LINK_DISABLE7", 7, 7, RW, 'h0, this); + this.LINK_DISABLE8_F = new("LINK_DISABLE8", 8, 8, RW, 'h0, this); + this.LINK_DISABLE9_F = new("LINK_DISABLE9", 9, 9, RW, 'h0, this); + this.LINK_DISABLE10_F = new("LINK_DISABLE10", 10, 10, RW, 'h0, this); + this.LINK_DISABLE11_F = new("LINK_DISABLE11", 11, 11, RW, 'h0, this); + this.LINK_DISABLE12_F = new("LINK_DISABLE12", 12, 12, RW, 'h0, this); + this.LINK_DISABLE13_F = new("LINK_DISABLE13", 13, 13, RW, 'h0, this); + this.LINK_DISABLE14_F = new("LINK_DISABLE14", 14, 14, RW, 'h0, this); + this.LINK_DISABLE15_F = new("LINK_DISABLE15", 15, 15, RW, 'h0, this); + this.LINK_DISABLE16_F = new("LINK_DISABLE16", 16, 16, RW, 'h0, this); + this.LINK_DISABLE17_F = new("LINK_DISABLE17", 17, 17, RW, 'h0, this); + this.LINK_DISABLE18_F = new("LINK_DISABLE18", 18, 18, RW, 'h0, this); + this.LINK_DISABLE19_F = new("LINK_DISABLE19", 19, 19, RW, 'h0, this); + this.LINK_DISABLE20_F = new("LINK_DISABLE20", 20, 20, RW, 'h0, this); + this.LINK_DISABLE21_F = new("LINK_DISABLE21", 21, 21, RW, 'h0, this); + this.LINK_DISABLE22_F = new("LINK_DISABLE22", 22, 22, RW, 'h0, this); + this.LINK_DISABLE23_F = new("LINK_DISABLE23", 23, 23, RW, 'h0, this); + this.LINK_DISABLE24_F = new("LINK_DISABLE24", 24, 24, RW, 'h0, this); + this.LINK_DISABLE25_F = new("LINK_DISABLE25", 25, 25, RW, 'h0, this); + this.LINK_DISABLE26_F = new("LINK_DISABLE26", 26, 26, RW, 'h0, this); + this.LINK_DISABLE27_F = new("LINK_DISABLE27", 27, 27, RW, 'h0, this); + this.LINK_DISABLE28_F = new("LINK_DISABLE28", 28, 28, RW, 'h0, this); + this.LINK_DISABLE29_F = new("LINK_DISABLE29", 29, 29, RW, 'h0, this); + this.LINK_DISABLE30_F = new("LINK_DISABLE30", 30, 30, RW, 'h0, this); + this.LINK_DISABLE31_F = new("LINK_DISABLE31", 31, 31, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: MULTI_LINK_DISABLE_CLASS + + class LINK_CONF4_CLASS extends register_base; + field_base TPL_BEATS_PER_MULTIFRAME_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.TPL_BEATS_PER_MULTIFRAME_F = new("TPL_BEATS_PER_MULTIFRAME", 7, 0, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: LINK_CONF4_CLASS + + class LINK_CONF2_CLASS extends register_base; + field_base BUFFER_EARLY_RELEASE_F; + field_base BUFFER_DELAY_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.BUFFER_EARLY_RELEASE_F = new("BUFFER_EARLY_RELEASE", 16, 16, RW, 'h0, this); + this.BUFFER_DELAY_F = new("BUFFER_DELAY", 9, 2, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: LINK_CONF2_CLASS + + class LINK_CONF3_CLASS extends register_base; + field_base MASK_INVALID_HEADER_F; + field_base MASK_UNEXPECTED_EOMB_F; + field_base MASK_UNEXPECTED_EOEMB_F; + field_base MASK_CRC_MISMATCH_F; + field_base MASK_UNEXPECTEDK_F; + field_base MASK_NOTINTABLE_F; + field_base MASK_DISPERR_F; + field_base RESET_COUNTER_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.MASK_INVALID_HEADER_F = new("MASK_INVALID_HEADER", 14, 14, RW, 'h0, this); + this.MASK_UNEXPECTED_EOMB_F = new("MASK_UNEXPECTED_EOMB", 13, 13, RW, 'h0, this); + this.MASK_UNEXPECTED_EOEMB_F = new("MASK_UNEXPECTED_EOEMB", 12, 12, RW, 'h0, this); + this.MASK_CRC_MISMATCH_F = new("MASK_CRC_MISMATCH", 11, 11, RW, 'h0, this); + this.MASK_UNEXPECTEDK_F = new("MASK_UNEXPECTEDK", 10, 10, RW, 'h0, this); + this.MASK_NOTINTABLE_F = new("MASK_NOTINTABLE", 9, 9, RW, 'h0, this); + this.MASK_DISPERR_F = new("MASK_DISPERR", 8, 8, RW, 'h0, this); + this.RESET_COUNTER_F = new("RESET_COUNTER", 0, 0, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: LINK_CONF3_CLASS + + class LINK_STATUS_CLASS extends register_base; + field_base STATUS_STATE_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.STATUS_STATE_F = new("STATUS_STATE", 1, 0, ROV, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: LINK_STATUS_CLASS + + class LANEn_STATUS_CLASS extends register_base; + field_base EMB_STATE_F; + field_base ILAS_READY_F; + field_base IFS_READY_F; + field_base CGS_STATE_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.EMB_STATE_F = new("EMB_STATE", 10, 8, RO, 'h0, this); + this.ILAS_READY_F = new("ILAS_READY", 5, 5, ROV, 'h0, this); + this.IFS_READY_F = new("IFS_READY", 4, 4, ROV, 'h0, this); + this.CGS_STATE_F = new("CGS_STATE", 1, 0, ROV, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: LANEn_STATUS_CLASS + + class LANEn_LATENCY_CLASS extends register_base; + field_base LATENCY_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.LATENCY_F = new("LATENCY", 13, 0, ROV, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: LANEn_LATENCY_CLASS + + class LANEn_ERROR_STATISTICS_CLASS extends register_base; + field_base ERROR_REGISTER_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.ERROR_REGISTER_F = new("ERROR_REGISTER", 31, 0, RO, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: LANEn_ERROR_STATISTICS_CLASS + + class LANEn_LANE_FRAME_ALIGN_ERR_CNT_CLASS extends register_base; + field_base ERROR_REGISTER_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.ERROR_REGISTER_F = new("ERROR_REGISTER", 7, 0, RO, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: LANEn_LANE_FRAME_ALIGN_ERR_CNT_CLASS + + class LANEn_ILAS0_CLASS extends register_base; + field_base BID_F; + field_base DID_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.BID_F = new("BID", 27, 24, RO, 'h0, this); + this.DID_F = new("DID", 23, 16, RO, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: LANEn_ILAS0_CLASS + + class LANEn_ILAS1_CLASS extends register_base; + field_base K_F; + field_base F_F; + field_base SCR_F; + field_base L_F; + field_base LID_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.K_F = new("K", 28, 24, RO, 'h0, this); + this.F_F = new("F", 23, 16, RO, 'h0, this); + this.SCR_F = new("SCR", 15, 15, RO, 'h0, this); + this.L_F = new("L", 12, 8, RO, 'h0, this); + this.LID_F = new("LID", 4, 0, RO, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: LANEn_ILAS1_CLASS + + class LANEn_ILAS2_CLASS extends register_base; + field_base JESDV_F; + field_base S_F; + field_base SUBCLASSV_F; + field_base NP_F; + field_base CS_F; + field_base N_F; + field_base M_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.JESDV_F = new("JESDV", 31, 29, RO, 'h0, this); + this.S_F = new("S", 28, 24, RO, 'h0, this); + this.SUBCLASSV_F = new("SUBCLASSV", 23, 21, RO, 'h0, this); + this.NP_F = new("NP", 20, 16, RO, 'h0, this); + this.CS_F = new("CS", 15, 14, RO, 'h0, this); + this.N_F = new("N", 12, 8, RO, 'h0, this); + this.M_F = new("M", 7, 0, RO, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: LANEn_ILAS2_CLASS + + class LANEn_ILAS3_CLASS extends register_base; + field_base FCHK_F; + field_base HD_F; + field_base CF_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.FCHK_F = new("FCHK", 31, 24, RO, 'h0, this); + this.HD_F = new("HD", 7, 7, RO, 'h0, this); + this.CF_F = new("CF", 4, 0, RO, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: LANEn_ILAS3_CLASS + + VERSION_CLASS VERSION_R; + PERIPHERAL_ID_CLASS PERIPHERAL_ID_R; + SCRATCH_CLASS SCRATCH_R; + IDENTIFICATION_CLASS IDENTIFICATION_R; + SYNTH_NUM_LANES_CLASS SYNTH_NUM_LANES_R; + SYNTH_DATA_PATH_WIDTH_CLASS SYNTH_DATA_PATH_WIDTH_R; + SYNTH_1_CLASS SYNTH_1_R; + SYNTH_ELASTIC_BUFFER_SIZE_CLASS SYNTH_ELASTIC_BUFFER_SIZE_R; + IRQ_ENABLE_CLASS IRQ_ENABLE_R; + IRQ_PENDING_CLASS IRQ_PENDING_R; + IRQ_SOURCE_CLASS IRQ_SOURCE_R; + LINK_DISABLE_CLASS LINK_DISABLE_R; + LINK_STATE_CLASS LINK_STATE_R; + LINK_CLK_FREQ_CLASS LINK_CLK_FREQ_R; + DEVICE_CLK_FREQ_CLASS DEVICE_CLK_FREQ_R; + SYSREF_CONF_CLASS SYSREF_CONF_R; + SYSREF_LMFC_OFFSET_CLASS SYSREF_LMFC_OFFSET_R; + SYSREF_STATUS_CLASS SYSREF_STATUS_R; + LANES_DISABLE_CLASS LANES_DISABLE_R; + LINK_CONF0_CLASS LINK_CONF0_R; + LINK_CONF1_CLASS LINK_CONF1_R; + MULTI_LINK_DISABLE_CLASS MULTI_LINK_DISABLE_R; + LINK_CONF4_CLASS LINK_CONF4_R; + LINK_CONF2_CLASS LINK_CONF2_R; + LINK_CONF3_CLASS LINK_CONF3_R; + LINK_STATUS_CLASS LINK_STATUS_R; + LANEn_STATUS_CLASS LANE0_STATUS_R; + LANEn_STATUS_CLASS LANE1_STATUS_R; + LANEn_STATUS_CLASS LANE2_STATUS_R; + LANEn_STATUS_CLASS LANE3_STATUS_R; + LANEn_STATUS_CLASS LANE4_STATUS_R; + LANEn_STATUS_CLASS LANE5_STATUS_R; + LANEn_STATUS_CLASS LANE6_STATUS_R; + LANEn_STATUS_CLASS LANE7_STATUS_R; + LANEn_STATUS_CLASS LANE8_STATUS_R; + LANEn_STATUS_CLASS LANE9_STATUS_R; + LANEn_STATUS_CLASS LANE10_STATUS_R; + LANEn_STATUS_CLASS LANE11_STATUS_R; + LANEn_STATUS_CLASS LANE12_STATUS_R; + LANEn_STATUS_CLASS LANE13_STATUS_R; + LANEn_STATUS_CLASS LANE14_STATUS_R; + LANEn_STATUS_CLASS LANE15_STATUS_R; + LANEn_STATUS_CLASS LANE16_STATUS_R; + LANEn_STATUS_CLASS LANE17_STATUS_R; + LANEn_STATUS_CLASS LANE18_STATUS_R; + LANEn_STATUS_CLASS LANE19_STATUS_R; + LANEn_STATUS_CLASS LANE20_STATUS_R; + LANEn_STATUS_CLASS LANE21_STATUS_R; + LANEn_STATUS_CLASS LANE22_STATUS_R; + LANEn_STATUS_CLASS LANE23_STATUS_R; + LANEn_STATUS_CLASS LANE24_STATUS_R; + LANEn_STATUS_CLASS LANE25_STATUS_R; + LANEn_STATUS_CLASS LANE26_STATUS_R; + LANEn_STATUS_CLASS LANE27_STATUS_R; + LANEn_STATUS_CLASS LANE28_STATUS_R; + LANEn_STATUS_CLASS LANE29_STATUS_R; + LANEn_STATUS_CLASS LANE30_STATUS_R; + LANEn_STATUS_CLASS LANE31_STATUS_R; + LANEn_LATENCY_CLASS LANE0_LATENCY_R; + LANEn_LATENCY_CLASS LANE1_LATENCY_R; + LANEn_LATENCY_CLASS LANE2_LATENCY_R; + LANEn_LATENCY_CLASS LANE3_LATENCY_R; + LANEn_LATENCY_CLASS LANE4_LATENCY_R; + LANEn_LATENCY_CLASS LANE5_LATENCY_R; + LANEn_LATENCY_CLASS LANE6_LATENCY_R; + LANEn_LATENCY_CLASS LANE7_LATENCY_R; + LANEn_LATENCY_CLASS LANE8_LATENCY_R; + LANEn_LATENCY_CLASS LANE9_LATENCY_R; + LANEn_LATENCY_CLASS LANE10_LATENCY_R; + LANEn_LATENCY_CLASS LANE11_LATENCY_R; + LANEn_LATENCY_CLASS LANE12_LATENCY_R; + LANEn_LATENCY_CLASS LANE13_LATENCY_R; + LANEn_LATENCY_CLASS LANE14_LATENCY_R; + LANEn_LATENCY_CLASS LANE15_LATENCY_R; + LANEn_LATENCY_CLASS LANE16_LATENCY_R; + LANEn_LATENCY_CLASS LANE17_LATENCY_R; + LANEn_LATENCY_CLASS LANE18_LATENCY_R; + LANEn_LATENCY_CLASS LANE19_LATENCY_R; + LANEn_LATENCY_CLASS LANE20_LATENCY_R; + LANEn_LATENCY_CLASS LANE21_LATENCY_R; + LANEn_LATENCY_CLASS LANE22_LATENCY_R; + LANEn_LATENCY_CLASS LANE23_LATENCY_R; + LANEn_LATENCY_CLASS LANE24_LATENCY_R; + LANEn_LATENCY_CLASS LANE25_LATENCY_R; + LANEn_LATENCY_CLASS LANE26_LATENCY_R; + LANEn_LATENCY_CLASS LANE27_LATENCY_R; + LANEn_LATENCY_CLASS LANE28_LATENCY_R; + LANEn_LATENCY_CLASS LANE29_LATENCY_R; + LANEn_LATENCY_CLASS LANE30_LATENCY_R; + LANEn_LATENCY_CLASS LANE31_LATENCY_R; + LANEn_ERROR_STATISTICS_CLASS LANE0_ERROR_STATISTICS_R; + LANEn_ERROR_STATISTICS_CLASS LANE1_ERROR_STATISTICS_R; + LANEn_ERROR_STATISTICS_CLASS LANE2_ERROR_STATISTICS_R; + LANEn_ERROR_STATISTICS_CLASS LANE3_ERROR_STATISTICS_R; + LANEn_ERROR_STATISTICS_CLASS LANE4_ERROR_STATISTICS_R; + LANEn_ERROR_STATISTICS_CLASS LANE5_ERROR_STATISTICS_R; + LANEn_ERROR_STATISTICS_CLASS LANE6_ERROR_STATISTICS_R; + LANEn_ERROR_STATISTICS_CLASS LANE7_ERROR_STATISTICS_R; + LANEn_ERROR_STATISTICS_CLASS LANE8_ERROR_STATISTICS_R; + LANEn_ERROR_STATISTICS_CLASS LANE9_ERROR_STATISTICS_R; + LANEn_ERROR_STATISTICS_CLASS LANE10_ERROR_STATISTICS_R; + LANEn_ERROR_STATISTICS_CLASS LANE11_ERROR_STATISTICS_R; + LANEn_ERROR_STATISTICS_CLASS LANE12_ERROR_STATISTICS_R; + LANEn_ERROR_STATISTICS_CLASS LANE13_ERROR_STATISTICS_R; + LANEn_ERROR_STATISTICS_CLASS LANE14_ERROR_STATISTICS_R; + LANEn_ERROR_STATISTICS_CLASS LANE15_ERROR_STATISTICS_R; + LANEn_ERROR_STATISTICS_CLASS LANE16_ERROR_STATISTICS_R; + LANEn_ERROR_STATISTICS_CLASS LANE17_ERROR_STATISTICS_R; + LANEn_ERROR_STATISTICS_CLASS LANE18_ERROR_STATISTICS_R; + LANEn_ERROR_STATISTICS_CLASS LANE19_ERROR_STATISTICS_R; + LANEn_ERROR_STATISTICS_CLASS LANE20_ERROR_STATISTICS_R; + LANEn_ERROR_STATISTICS_CLASS LANE21_ERROR_STATISTICS_R; + LANEn_ERROR_STATISTICS_CLASS LANE22_ERROR_STATISTICS_R; + LANEn_ERROR_STATISTICS_CLASS LANE23_ERROR_STATISTICS_R; + LANEn_ERROR_STATISTICS_CLASS LANE24_ERROR_STATISTICS_R; + LANEn_ERROR_STATISTICS_CLASS LANE25_ERROR_STATISTICS_R; + LANEn_ERROR_STATISTICS_CLASS LANE26_ERROR_STATISTICS_R; + LANEn_ERROR_STATISTICS_CLASS LANE27_ERROR_STATISTICS_R; + LANEn_ERROR_STATISTICS_CLASS LANE28_ERROR_STATISTICS_R; + LANEn_ERROR_STATISTICS_CLASS LANE29_ERROR_STATISTICS_R; + LANEn_ERROR_STATISTICS_CLASS LANE30_ERROR_STATISTICS_R; + LANEn_ERROR_STATISTICS_CLASS LANE31_ERROR_STATISTICS_R; + LANEn_LANE_FRAME_ALIGN_ERR_CNT_CLASS LANE0_LANE_FRAME_ALIGN_ERR_CNT_R; + LANEn_LANE_FRAME_ALIGN_ERR_CNT_CLASS LANE1_LANE_FRAME_ALIGN_ERR_CNT_R; + LANEn_LANE_FRAME_ALIGN_ERR_CNT_CLASS LANE2_LANE_FRAME_ALIGN_ERR_CNT_R; + LANEn_LANE_FRAME_ALIGN_ERR_CNT_CLASS LANE3_LANE_FRAME_ALIGN_ERR_CNT_R; + LANEn_LANE_FRAME_ALIGN_ERR_CNT_CLASS LANE4_LANE_FRAME_ALIGN_ERR_CNT_R; + LANEn_LANE_FRAME_ALIGN_ERR_CNT_CLASS LANE5_LANE_FRAME_ALIGN_ERR_CNT_R; + LANEn_LANE_FRAME_ALIGN_ERR_CNT_CLASS LANE6_LANE_FRAME_ALIGN_ERR_CNT_R; + LANEn_LANE_FRAME_ALIGN_ERR_CNT_CLASS LANE7_LANE_FRAME_ALIGN_ERR_CNT_R; + LANEn_LANE_FRAME_ALIGN_ERR_CNT_CLASS LANE8_LANE_FRAME_ALIGN_ERR_CNT_R; + LANEn_LANE_FRAME_ALIGN_ERR_CNT_CLASS LANE9_LANE_FRAME_ALIGN_ERR_CNT_R; + LANEn_LANE_FRAME_ALIGN_ERR_CNT_CLASS LANE10_LANE_FRAME_ALIGN_ERR_CNT_R; + LANEn_LANE_FRAME_ALIGN_ERR_CNT_CLASS LANE11_LANE_FRAME_ALIGN_ERR_CNT_R; + LANEn_LANE_FRAME_ALIGN_ERR_CNT_CLASS LANE12_LANE_FRAME_ALIGN_ERR_CNT_R; + LANEn_LANE_FRAME_ALIGN_ERR_CNT_CLASS LANE13_LANE_FRAME_ALIGN_ERR_CNT_R; + LANEn_LANE_FRAME_ALIGN_ERR_CNT_CLASS LANE14_LANE_FRAME_ALIGN_ERR_CNT_R; + LANEn_LANE_FRAME_ALIGN_ERR_CNT_CLASS LANE15_LANE_FRAME_ALIGN_ERR_CNT_R; + LANEn_LANE_FRAME_ALIGN_ERR_CNT_CLASS LANE16_LANE_FRAME_ALIGN_ERR_CNT_R; + LANEn_LANE_FRAME_ALIGN_ERR_CNT_CLASS LANE17_LANE_FRAME_ALIGN_ERR_CNT_R; + LANEn_LANE_FRAME_ALIGN_ERR_CNT_CLASS LANE18_LANE_FRAME_ALIGN_ERR_CNT_R; + LANEn_LANE_FRAME_ALIGN_ERR_CNT_CLASS LANE19_LANE_FRAME_ALIGN_ERR_CNT_R; + LANEn_LANE_FRAME_ALIGN_ERR_CNT_CLASS LANE20_LANE_FRAME_ALIGN_ERR_CNT_R; + LANEn_LANE_FRAME_ALIGN_ERR_CNT_CLASS LANE21_LANE_FRAME_ALIGN_ERR_CNT_R; + LANEn_LANE_FRAME_ALIGN_ERR_CNT_CLASS LANE22_LANE_FRAME_ALIGN_ERR_CNT_R; + LANEn_LANE_FRAME_ALIGN_ERR_CNT_CLASS LANE23_LANE_FRAME_ALIGN_ERR_CNT_R; + LANEn_LANE_FRAME_ALIGN_ERR_CNT_CLASS LANE24_LANE_FRAME_ALIGN_ERR_CNT_R; + LANEn_LANE_FRAME_ALIGN_ERR_CNT_CLASS LANE25_LANE_FRAME_ALIGN_ERR_CNT_R; + LANEn_LANE_FRAME_ALIGN_ERR_CNT_CLASS LANE26_LANE_FRAME_ALIGN_ERR_CNT_R; + LANEn_LANE_FRAME_ALIGN_ERR_CNT_CLASS LANE27_LANE_FRAME_ALIGN_ERR_CNT_R; + LANEn_LANE_FRAME_ALIGN_ERR_CNT_CLASS LANE28_LANE_FRAME_ALIGN_ERR_CNT_R; + LANEn_LANE_FRAME_ALIGN_ERR_CNT_CLASS LANE29_LANE_FRAME_ALIGN_ERR_CNT_R; + LANEn_LANE_FRAME_ALIGN_ERR_CNT_CLASS LANE30_LANE_FRAME_ALIGN_ERR_CNT_R; + LANEn_LANE_FRAME_ALIGN_ERR_CNT_CLASS LANE31_LANE_FRAME_ALIGN_ERR_CNT_R; + LANEn_ILAS0_CLASS LANE0_ILAS0_R; + LANEn_ILAS0_CLASS LANE1_ILAS0_R; + LANEn_ILAS0_CLASS LANE2_ILAS0_R; + LANEn_ILAS0_CLASS LANE3_ILAS0_R; + LANEn_ILAS0_CLASS LANE4_ILAS0_R; + LANEn_ILAS0_CLASS LANE5_ILAS0_R; + LANEn_ILAS0_CLASS LANE6_ILAS0_R; + LANEn_ILAS0_CLASS LANE7_ILAS0_R; + LANEn_ILAS0_CLASS LANE8_ILAS0_R; + LANEn_ILAS0_CLASS LANE9_ILAS0_R; + LANEn_ILAS0_CLASS LANE10_ILAS0_R; + LANEn_ILAS0_CLASS LANE11_ILAS0_R; + LANEn_ILAS0_CLASS LANE12_ILAS0_R; + LANEn_ILAS0_CLASS LANE13_ILAS0_R; + LANEn_ILAS0_CLASS LANE14_ILAS0_R; + LANEn_ILAS0_CLASS LANE15_ILAS0_R; + LANEn_ILAS0_CLASS LANE16_ILAS0_R; + LANEn_ILAS0_CLASS LANE17_ILAS0_R; + LANEn_ILAS0_CLASS LANE18_ILAS0_R; + LANEn_ILAS0_CLASS LANE19_ILAS0_R; + LANEn_ILAS0_CLASS LANE20_ILAS0_R; + LANEn_ILAS0_CLASS LANE21_ILAS0_R; + LANEn_ILAS0_CLASS LANE22_ILAS0_R; + LANEn_ILAS0_CLASS LANE23_ILAS0_R; + LANEn_ILAS0_CLASS LANE24_ILAS0_R; + LANEn_ILAS0_CLASS LANE25_ILAS0_R; + LANEn_ILAS0_CLASS LANE26_ILAS0_R; + LANEn_ILAS0_CLASS LANE27_ILAS0_R; + LANEn_ILAS0_CLASS LANE28_ILAS0_R; + LANEn_ILAS0_CLASS LANE29_ILAS0_R; + LANEn_ILAS0_CLASS LANE30_ILAS0_R; + LANEn_ILAS0_CLASS LANE31_ILAS0_R; + LANEn_ILAS1_CLASS LANE0_ILAS1_R; + LANEn_ILAS1_CLASS LANE1_ILAS1_R; + LANEn_ILAS1_CLASS LANE2_ILAS1_R; + LANEn_ILAS1_CLASS LANE3_ILAS1_R; + LANEn_ILAS1_CLASS LANE4_ILAS1_R; + LANEn_ILAS1_CLASS LANE5_ILAS1_R; + LANEn_ILAS1_CLASS LANE6_ILAS1_R; + LANEn_ILAS1_CLASS LANE7_ILAS1_R; + LANEn_ILAS1_CLASS LANE8_ILAS1_R; + LANEn_ILAS1_CLASS LANE9_ILAS1_R; + LANEn_ILAS1_CLASS LANE10_ILAS1_R; + LANEn_ILAS1_CLASS LANE11_ILAS1_R; + LANEn_ILAS1_CLASS LANE12_ILAS1_R; + LANEn_ILAS1_CLASS LANE13_ILAS1_R; + LANEn_ILAS1_CLASS LANE14_ILAS1_R; + LANEn_ILAS1_CLASS LANE15_ILAS1_R; + LANEn_ILAS1_CLASS LANE16_ILAS1_R; + LANEn_ILAS1_CLASS LANE17_ILAS1_R; + LANEn_ILAS1_CLASS LANE18_ILAS1_R; + LANEn_ILAS1_CLASS LANE19_ILAS1_R; + LANEn_ILAS1_CLASS LANE20_ILAS1_R; + LANEn_ILAS1_CLASS LANE21_ILAS1_R; + LANEn_ILAS1_CLASS LANE22_ILAS1_R; + LANEn_ILAS1_CLASS LANE23_ILAS1_R; + LANEn_ILAS1_CLASS LANE24_ILAS1_R; + LANEn_ILAS1_CLASS LANE25_ILAS1_R; + LANEn_ILAS1_CLASS LANE26_ILAS1_R; + LANEn_ILAS1_CLASS LANE27_ILAS1_R; + LANEn_ILAS1_CLASS LANE28_ILAS1_R; + LANEn_ILAS1_CLASS LANE29_ILAS1_R; + LANEn_ILAS1_CLASS LANE30_ILAS1_R; + LANEn_ILAS1_CLASS LANE31_ILAS1_R; + LANEn_ILAS2_CLASS LANE0_ILAS2_R; + LANEn_ILAS2_CLASS LANE1_ILAS2_R; + LANEn_ILAS2_CLASS LANE2_ILAS2_R; + LANEn_ILAS2_CLASS LANE3_ILAS2_R; + LANEn_ILAS2_CLASS LANE4_ILAS2_R; + LANEn_ILAS2_CLASS LANE5_ILAS2_R; + LANEn_ILAS2_CLASS LANE6_ILAS2_R; + LANEn_ILAS2_CLASS LANE7_ILAS2_R; + LANEn_ILAS2_CLASS LANE8_ILAS2_R; + LANEn_ILAS2_CLASS LANE9_ILAS2_R; + LANEn_ILAS2_CLASS LANE10_ILAS2_R; + LANEn_ILAS2_CLASS LANE11_ILAS2_R; + LANEn_ILAS2_CLASS LANE12_ILAS2_R; + LANEn_ILAS2_CLASS LANE13_ILAS2_R; + LANEn_ILAS2_CLASS LANE14_ILAS2_R; + LANEn_ILAS2_CLASS LANE15_ILAS2_R; + LANEn_ILAS2_CLASS LANE16_ILAS2_R; + LANEn_ILAS2_CLASS LANE17_ILAS2_R; + LANEn_ILAS2_CLASS LANE18_ILAS2_R; + LANEn_ILAS2_CLASS LANE19_ILAS2_R; + LANEn_ILAS2_CLASS LANE20_ILAS2_R; + LANEn_ILAS2_CLASS LANE21_ILAS2_R; + LANEn_ILAS2_CLASS LANE22_ILAS2_R; + LANEn_ILAS2_CLASS LANE23_ILAS2_R; + LANEn_ILAS2_CLASS LANE24_ILAS2_R; + LANEn_ILAS2_CLASS LANE25_ILAS2_R; + LANEn_ILAS2_CLASS LANE26_ILAS2_R; + LANEn_ILAS2_CLASS LANE27_ILAS2_R; + LANEn_ILAS2_CLASS LANE28_ILAS2_R; + LANEn_ILAS2_CLASS LANE29_ILAS2_R; + LANEn_ILAS2_CLASS LANE30_ILAS2_R; + LANEn_ILAS2_CLASS LANE31_ILAS2_R; + LANEn_ILAS3_CLASS LANE0_ILAS3_R; + LANEn_ILAS3_CLASS LANE1_ILAS3_R; + LANEn_ILAS3_CLASS LANE2_ILAS3_R; + LANEn_ILAS3_CLASS LANE3_ILAS3_R; + LANEn_ILAS3_CLASS LANE4_ILAS3_R; + LANEn_ILAS3_CLASS LANE5_ILAS3_R; + LANEn_ILAS3_CLASS LANE6_ILAS3_R; + LANEn_ILAS3_CLASS LANE7_ILAS3_R; + LANEn_ILAS3_CLASS LANE8_ILAS3_R; + LANEn_ILAS3_CLASS LANE9_ILAS3_R; + LANEn_ILAS3_CLASS LANE10_ILAS3_R; + LANEn_ILAS3_CLASS LANE11_ILAS3_R; + LANEn_ILAS3_CLASS LANE12_ILAS3_R; + LANEn_ILAS3_CLASS LANE13_ILAS3_R; + LANEn_ILAS3_CLASS LANE14_ILAS3_R; + LANEn_ILAS3_CLASS LANE15_ILAS3_R; + LANEn_ILAS3_CLASS LANE16_ILAS3_R; + LANEn_ILAS3_CLASS LANE17_ILAS3_R; + LANEn_ILAS3_CLASS LANE18_ILAS3_R; + LANEn_ILAS3_CLASS LANE19_ILAS3_R; + LANEn_ILAS3_CLASS LANE20_ILAS3_R; + LANEn_ILAS3_CLASS LANE21_ILAS3_R; + LANEn_ILAS3_CLASS LANE22_ILAS3_R; + LANEn_ILAS3_CLASS LANE23_ILAS3_R; + LANEn_ILAS3_CLASS LANE24_ILAS3_R; + LANEn_ILAS3_CLASS LANE25_ILAS3_R; + LANEn_ILAS3_CLASS LANE26_ILAS3_R; + LANEn_ILAS3_CLASS LANE27_ILAS3_R; + LANEn_ILAS3_CLASS LANE28_ILAS3_R; + LANEn_ILAS3_CLASS LANE29_ILAS3_R; + LANEn_ILAS3_CLASS LANE30_ILAS3_R; + LANEn_ILAS3_CLASS LANE31_ILAS3_R; + + function new( + input string name, + input int address, + input int ASYNC_CLK, + input adi_api parent = null); + + super.new(name, address, parent); + + this.VERSION_R = new("VERSION", 'h0, this); + this.PERIPHERAL_ID_R = new("PERIPHERAL_ID", 'h4, this); + this.SCRATCH_R = new("SCRATCH", 'h8, this); + this.IDENTIFICATION_R = new("IDENTIFICATION", 'hc, this); + this.SYNTH_NUM_LANES_R = new("SYNTH_NUM_LANES", 'h10, this); + this.SYNTH_DATA_PATH_WIDTH_R = new("SYNTH_DATA_PATH_WIDTH", 'h14, this); + this.SYNTH_1_R = new("SYNTH_1", 'h18, ASYNC_CLK, this); + this.SYNTH_ELASTIC_BUFFER_SIZE_R = new("SYNTH_ELASTIC_BUFFER_SIZE", 'h40, this); + this.IRQ_ENABLE_R = new("IRQ_ENABLE", 'h80, this); + this.IRQ_PENDING_R = new("IRQ_PENDING", 'h84, this); + this.IRQ_SOURCE_R = new("IRQ_SOURCE", 'h88, this); + this.LINK_DISABLE_R = new("LINK_DISABLE", 'hc0, this); + this.LINK_STATE_R = new("LINK_STATE", 'hc4, this); + this.LINK_CLK_FREQ_R = new("LINK_CLK_FREQ", 'hc8, this); + this.DEVICE_CLK_FREQ_R = new("DEVICE_CLK_FREQ", 'hcc, this); + this.SYSREF_CONF_R = new("SYSREF_CONF", 'h100, this); + this.SYSREF_LMFC_OFFSET_R = new("SYSREF_LMFC_OFFSET", 'h104, this); + this.SYSREF_STATUS_R = new("SYSREF_STATUS", 'h108, this); + this.LANES_DISABLE_R = new("LANES_DISABLE", 'h200, this); + this.LINK_CONF0_R = new("LINK_CONF0", 'h210, this); + this.LINK_CONF1_R = new("LINK_CONF1", 'h214, this); + this.MULTI_LINK_DISABLE_R = new("MULTI_LINK_DISABLE", 'h218, this); + this.LINK_CONF4_R = new("LINK_CONF4", 'h21c, this); + this.LINK_CONF2_R = new("LINK_CONF2", 'h240, this); + this.LINK_CONF3_R = new("LINK_CONF3", 'h244, this); + this.LINK_STATUS_R = new("LINK_STATUS", 'h280, this); + this.LANE0_STATUS_R = new("LANE0_STATUS", 'h300, this); + this.LANE1_STATUS_R = new("LANE1_STATUS", 'h320, this); + this.LANE2_STATUS_R = new("LANE2_STATUS", 'h340, this); + this.LANE3_STATUS_R = new("LANE3_STATUS", 'h360, this); + this.LANE4_STATUS_R = new("LANE4_STATUS", 'h380, this); + this.LANE5_STATUS_R = new("LANE5_STATUS", 'h3a0, this); + this.LANE6_STATUS_R = new("LANE6_STATUS", 'h3c0, this); + this.LANE7_STATUS_R = new("LANE7_STATUS", 'h3e0, this); + this.LANE8_STATUS_R = new("LANE8_STATUS", 'h400, this); + this.LANE9_STATUS_R = new("LANE9_STATUS", 'h420, this); + this.LANE10_STATUS_R = new("LANE10_STATUS", 'h440, this); + this.LANE11_STATUS_R = new("LANE11_STATUS", 'h460, this); + this.LANE12_STATUS_R = new("LANE12_STATUS", 'h480, this); + this.LANE13_STATUS_R = new("LANE13_STATUS", 'h4a0, this); + this.LANE14_STATUS_R = new("LANE14_STATUS", 'h4c0, this); + this.LANE15_STATUS_R = new("LANE15_STATUS", 'h4e0, this); + this.LANE16_STATUS_R = new("LANE16_STATUS", 'h500, this); + this.LANE17_STATUS_R = new("LANE17_STATUS", 'h520, this); + this.LANE18_STATUS_R = new("LANE18_STATUS", 'h540, this); + this.LANE19_STATUS_R = new("LANE19_STATUS", 'h560, this); + this.LANE20_STATUS_R = new("LANE20_STATUS", 'h580, this); + this.LANE21_STATUS_R = new("LANE21_STATUS", 'h5a0, this); + this.LANE22_STATUS_R = new("LANE22_STATUS", 'h5c0, this); + this.LANE23_STATUS_R = new("LANE23_STATUS", 'h5e0, this); + this.LANE24_STATUS_R = new("LANE24_STATUS", 'h600, this); + this.LANE25_STATUS_R = new("LANE25_STATUS", 'h620, this); + this.LANE26_STATUS_R = new("LANE26_STATUS", 'h640, this); + this.LANE27_STATUS_R = new("LANE27_STATUS", 'h660, this); + this.LANE28_STATUS_R = new("LANE28_STATUS", 'h680, this); + this.LANE29_STATUS_R = new("LANE29_STATUS", 'h6a0, this); + this.LANE30_STATUS_R = new("LANE30_STATUS", 'h6c0, this); + this.LANE31_STATUS_R = new("LANE31_STATUS", 'h6e0, this); + this.LANE0_LATENCY_R = new("LANE0_LATENCY", 'h304, this); + this.LANE1_LATENCY_R = new("LANE1_LATENCY", 'h324, this); + this.LANE2_LATENCY_R = new("LANE2_LATENCY", 'h344, this); + this.LANE3_LATENCY_R = new("LANE3_LATENCY", 'h364, this); + this.LANE4_LATENCY_R = new("LANE4_LATENCY", 'h384, this); + this.LANE5_LATENCY_R = new("LANE5_LATENCY", 'h3a4, this); + this.LANE6_LATENCY_R = new("LANE6_LATENCY", 'h3c4, this); + this.LANE7_LATENCY_R = new("LANE7_LATENCY", 'h3e4, this); + this.LANE8_LATENCY_R = new("LANE8_LATENCY", 'h404, this); + this.LANE9_LATENCY_R = new("LANE9_LATENCY", 'h424, this); + this.LANE10_LATENCY_R = new("LANE10_LATENCY", 'h444, this); + this.LANE11_LATENCY_R = new("LANE11_LATENCY", 'h464, this); + this.LANE12_LATENCY_R = new("LANE12_LATENCY", 'h484, this); + this.LANE13_LATENCY_R = new("LANE13_LATENCY", 'h4a4, this); + this.LANE14_LATENCY_R = new("LANE14_LATENCY", 'h4c4, this); + this.LANE15_LATENCY_R = new("LANE15_LATENCY", 'h4e4, this); + this.LANE16_LATENCY_R = new("LANE16_LATENCY", 'h504, this); + this.LANE17_LATENCY_R = new("LANE17_LATENCY", 'h524, this); + this.LANE18_LATENCY_R = new("LANE18_LATENCY", 'h544, this); + this.LANE19_LATENCY_R = new("LANE19_LATENCY", 'h564, this); + this.LANE20_LATENCY_R = new("LANE20_LATENCY", 'h584, this); + this.LANE21_LATENCY_R = new("LANE21_LATENCY", 'h5a4, this); + this.LANE22_LATENCY_R = new("LANE22_LATENCY", 'h5c4, this); + this.LANE23_LATENCY_R = new("LANE23_LATENCY", 'h5e4, this); + this.LANE24_LATENCY_R = new("LANE24_LATENCY", 'h604, this); + this.LANE25_LATENCY_R = new("LANE25_LATENCY", 'h624, this); + this.LANE26_LATENCY_R = new("LANE26_LATENCY", 'h644, this); + this.LANE27_LATENCY_R = new("LANE27_LATENCY", 'h664, this); + this.LANE28_LATENCY_R = new("LANE28_LATENCY", 'h684, this); + this.LANE29_LATENCY_R = new("LANE29_LATENCY", 'h6a4, this); + this.LANE30_LATENCY_R = new("LANE30_LATENCY", 'h6c4, this); + this.LANE31_LATENCY_R = new("LANE31_LATENCY", 'h6e4, this); + this.LANE0_ERROR_STATISTICS_R = new("LANE0_ERROR_STATISTICS", 'h308, this); + this.LANE1_ERROR_STATISTICS_R = new("LANE1_ERROR_STATISTICS", 'h328, this); + this.LANE2_ERROR_STATISTICS_R = new("LANE2_ERROR_STATISTICS", 'h348, this); + this.LANE3_ERROR_STATISTICS_R = new("LANE3_ERROR_STATISTICS", 'h368, this); + this.LANE4_ERROR_STATISTICS_R = new("LANE4_ERROR_STATISTICS", 'h388, this); + this.LANE5_ERROR_STATISTICS_R = new("LANE5_ERROR_STATISTICS", 'h3a8, this); + this.LANE6_ERROR_STATISTICS_R = new("LANE6_ERROR_STATISTICS", 'h3c8, this); + this.LANE7_ERROR_STATISTICS_R = new("LANE7_ERROR_STATISTICS", 'h3e8, this); + this.LANE8_ERROR_STATISTICS_R = new("LANE8_ERROR_STATISTICS", 'h408, this); + this.LANE9_ERROR_STATISTICS_R = new("LANE9_ERROR_STATISTICS", 'h428, this); + this.LANE10_ERROR_STATISTICS_R = new("LANE10_ERROR_STATISTICS", 'h448, this); + this.LANE11_ERROR_STATISTICS_R = new("LANE11_ERROR_STATISTICS", 'h468, this); + this.LANE12_ERROR_STATISTICS_R = new("LANE12_ERROR_STATISTICS", 'h488, this); + this.LANE13_ERROR_STATISTICS_R = new("LANE13_ERROR_STATISTICS", 'h4a8, this); + this.LANE14_ERROR_STATISTICS_R = new("LANE14_ERROR_STATISTICS", 'h4c8, this); + this.LANE15_ERROR_STATISTICS_R = new("LANE15_ERROR_STATISTICS", 'h4e8, this); + this.LANE16_ERROR_STATISTICS_R = new("LANE16_ERROR_STATISTICS", 'h508, this); + this.LANE17_ERROR_STATISTICS_R = new("LANE17_ERROR_STATISTICS", 'h528, this); + this.LANE18_ERROR_STATISTICS_R = new("LANE18_ERROR_STATISTICS", 'h548, this); + this.LANE19_ERROR_STATISTICS_R = new("LANE19_ERROR_STATISTICS", 'h568, this); + this.LANE20_ERROR_STATISTICS_R = new("LANE20_ERROR_STATISTICS", 'h588, this); + this.LANE21_ERROR_STATISTICS_R = new("LANE21_ERROR_STATISTICS", 'h5a8, this); + this.LANE22_ERROR_STATISTICS_R = new("LANE22_ERROR_STATISTICS", 'h5c8, this); + this.LANE23_ERROR_STATISTICS_R = new("LANE23_ERROR_STATISTICS", 'h5e8, this); + this.LANE24_ERROR_STATISTICS_R = new("LANE24_ERROR_STATISTICS", 'h608, this); + this.LANE25_ERROR_STATISTICS_R = new("LANE25_ERROR_STATISTICS", 'h628, this); + this.LANE26_ERROR_STATISTICS_R = new("LANE26_ERROR_STATISTICS", 'h648, this); + this.LANE27_ERROR_STATISTICS_R = new("LANE27_ERROR_STATISTICS", 'h668, this); + this.LANE28_ERROR_STATISTICS_R = new("LANE28_ERROR_STATISTICS", 'h688, this); + this.LANE29_ERROR_STATISTICS_R = new("LANE29_ERROR_STATISTICS", 'h6a8, this); + this.LANE30_ERROR_STATISTICS_R = new("LANE30_ERROR_STATISTICS", 'h6c8, this); + this.LANE31_ERROR_STATISTICS_R = new("LANE31_ERROR_STATISTICS", 'h6e8, this); + this.LANE0_LANE_FRAME_ALIGN_ERR_CNT_R = new("LANE0_LANE_FRAME_ALIGN_ERR_CNT", 'h30c, this); + this.LANE1_LANE_FRAME_ALIGN_ERR_CNT_R = new("LANE1_LANE_FRAME_ALIGN_ERR_CNT", 'h32c, this); + this.LANE2_LANE_FRAME_ALIGN_ERR_CNT_R = new("LANE2_LANE_FRAME_ALIGN_ERR_CNT", 'h34c, this); + this.LANE3_LANE_FRAME_ALIGN_ERR_CNT_R = new("LANE3_LANE_FRAME_ALIGN_ERR_CNT", 'h36c, this); + this.LANE4_LANE_FRAME_ALIGN_ERR_CNT_R = new("LANE4_LANE_FRAME_ALIGN_ERR_CNT", 'h38c, this); + this.LANE5_LANE_FRAME_ALIGN_ERR_CNT_R = new("LANE5_LANE_FRAME_ALIGN_ERR_CNT", 'h3ac, this); + this.LANE6_LANE_FRAME_ALIGN_ERR_CNT_R = new("LANE6_LANE_FRAME_ALIGN_ERR_CNT", 'h3cc, this); + this.LANE7_LANE_FRAME_ALIGN_ERR_CNT_R = new("LANE7_LANE_FRAME_ALIGN_ERR_CNT", 'h3ec, this); + this.LANE8_LANE_FRAME_ALIGN_ERR_CNT_R = new("LANE8_LANE_FRAME_ALIGN_ERR_CNT", 'h40c, this); + this.LANE9_LANE_FRAME_ALIGN_ERR_CNT_R = new("LANE9_LANE_FRAME_ALIGN_ERR_CNT", 'h42c, this); + this.LANE10_LANE_FRAME_ALIGN_ERR_CNT_R = new("LANE10_LANE_FRAME_ALIGN_ERR_CNT", 'h44c, this); + this.LANE11_LANE_FRAME_ALIGN_ERR_CNT_R = new("LANE11_LANE_FRAME_ALIGN_ERR_CNT", 'h46c, this); + this.LANE12_LANE_FRAME_ALIGN_ERR_CNT_R = new("LANE12_LANE_FRAME_ALIGN_ERR_CNT", 'h48c, this); + this.LANE13_LANE_FRAME_ALIGN_ERR_CNT_R = new("LANE13_LANE_FRAME_ALIGN_ERR_CNT", 'h4ac, this); + this.LANE14_LANE_FRAME_ALIGN_ERR_CNT_R = new("LANE14_LANE_FRAME_ALIGN_ERR_CNT", 'h4cc, this); + this.LANE15_LANE_FRAME_ALIGN_ERR_CNT_R = new("LANE15_LANE_FRAME_ALIGN_ERR_CNT", 'h4ec, this); + this.LANE16_LANE_FRAME_ALIGN_ERR_CNT_R = new("LANE16_LANE_FRAME_ALIGN_ERR_CNT", 'h50c, this); + this.LANE17_LANE_FRAME_ALIGN_ERR_CNT_R = new("LANE17_LANE_FRAME_ALIGN_ERR_CNT", 'h52c, this); + this.LANE18_LANE_FRAME_ALIGN_ERR_CNT_R = new("LANE18_LANE_FRAME_ALIGN_ERR_CNT", 'h54c, this); + this.LANE19_LANE_FRAME_ALIGN_ERR_CNT_R = new("LANE19_LANE_FRAME_ALIGN_ERR_CNT", 'h56c, this); + this.LANE20_LANE_FRAME_ALIGN_ERR_CNT_R = new("LANE20_LANE_FRAME_ALIGN_ERR_CNT", 'h58c, this); + this.LANE21_LANE_FRAME_ALIGN_ERR_CNT_R = new("LANE21_LANE_FRAME_ALIGN_ERR_CNT", 'h5ac, this); + this.LANE22_LANE_FRAME_ALIGN_ERR_CNT_R = new("LANE22_LANE_FRAME_ALIGN_ERR_CNT", 'h5cc, this); + this.LANE23_LANE_FRAME_ALIGN_ERR_CNT_R = new("LANE23_LANE_FRAME_ALIGN_ERR_CNT", 'h5ec, this); + this.LANE24_LANE_FRAME_ALIGN_ERR_CNT_R = new("LANE24_LANE_FRAME_ALIGN_ERR_CNT", 'h60c, this); + this.LANE25_LANE_FRAME_ALIGN_ERR_CNT_R = new("LANE25_LANE_FRAME_ALIGN_ERR_CNT", 'h62c, this); + this.LANE26_LANE_FRAME_ALIGN_ERR_CNT_R = new("LANE26_LANE_FRAME_ALIGN_ERR_CNT", 'h64c, this); + this.LANE27_LANE_FRAME_ALIGN_ERR_CNT_R = new("LANE27_LANE_FRAME_ALIGN_ERR_CNT", 'h66c, this); + this.LANE28_LANE_FRAME_ALIGN_ERR_CNT_R = new("LANE28_LANE_FRAME_ALIGN_ERR_CNT", 'h68c, this); + this.LANE29_LANE_FRAME_ALIGN_ERR_CNT_R = new("LANE29_LANE_FRAME_ALIGN_ERR_CNT", 'h6ac, this); + this.LANE30_LANE_FRAME_ALIGN_ERR_CNT_R = new("LANE30_LANE_FRAME_ALIGN_ERR_CNT", 'h6cc, this); + this.LANE31_LANE_FRAME_ALIGN_ERR_CNT_R = new("LANE31_LANE_FRAME_ALIGN_ERR_CNT", 'h6ec, this); + this.LANE0_ILAS0_R = new("LANE0_ILAS0", 'h310, this); + this.LANE1_ILAS0_R = new("LANE1_ILAS0", 'h330, this); + this.LANE2_ILAS0_R = new("LANE2_ILAS0", 'h350, this); + this.LANE3_ILAS0_R = new("LANE3_ILAS0", 'h370, this); + this.LANE4_ILAS0_R = new("LANE4_ILAS0", 'h390, this); + this.LANE5_ILAS0_R = new("LANE5_ILAS0", 'h3b0, this); + this.LANE6_ILAS0_R = new("LANE6_ILAS0", 'h3d0, this); + this.LANE7_ILAS0_R = new("LANE7_ILAS0", 'h3f0, this); + this.LANE8_ILAS0_R = new("LANE8_ILAS0", 'h410, this); + this.LANE9_ILAS0_R = new("LANE9_ILAS0", 'h430, this); + this.LANE10_ILAS0_R = new("LANE10_ILAS0", 'h450, this); + this.LANE11_ILAS0_R = new("LANE11_ILAS0", 'h470, this); + this.LANE12_ILAS0_R = new("LANE12_ILAS0", 'h490, this); + this.LANE13_ILAS0_R = new("LANE13_ILAS0", 'h4b0, this); + this.LANE14_ILAS0_R = new("LANE14_ILAS0", 'h4d0, this); + this.LANE15_ILAS0_R = new("LANE15_ILAS0", 'h4f0, this); + this.LANE16_ILAS0_R = new("LANE16_ILAS0", 'h510, this); + this.LANE17_ILAS0_R = new("LANE17_ILAS0", 'h530, this); + this.LANE18_ILAS0_R = new("LANE18_ILAS0", 'h550, this); + this.LANE19_ILAS0_R = new("LANE19_ILAS0", 'h570, this); + this.LANE20_ILAS0_R = new("LANE20_ILAS0", 'h590, this); + this.LANE21_ILAS0_R = new("LANE21_ILAS0", 'h5b0, this); + this.LANE22_ILAS0_R = new("LANE22_ILAS0", 'h5d0, this); + this.LANE23_ILAS0_R = new("LANE23_ILAS0", 'h5f0, this); + this.LANE24_ILAS0_R = new("LANE24_ILAS0", 'h610, this); + this.LANE25_ILAS0_R = new("LANE25_ILAS0", 'h630, this); + this.LANE26_ILAS0_R = new("LANE26_ILAS0", 'h650, this); + this.LANE27_ILAS0_R = new("LANE27_ILAS0", 'h670, this); + this.LANE28_ILAS0_R = new("LANE28_ILAS0", 'h690, this); + this.LANE29_ILAS0_R = new("LANE29_ILAS0", 'h6b0, this); + this.LANE30_ILAS0_R = new("LANE30_ILAS0", 'h6d0, this); + this.LANE31_ILAS0_R = new("LANE31_ILAS0", 'h6f0, this); + this.LANE0_ILAS1_R = new("LANE0_ILAS1", 'h314, this); + this.LANE1_ILAS1_R = new("LANE1_ILAS1", 'h334, this); + this.LANE2_ILAS1_R = new("LANE2_ILAS1", 'h354, this); + this.LANE3_ILAS1_R = new("LANE3_ILAS1", 'h374, this); + this.LANE4_ILAS1_R = new("LANE4_ILAS1", 'h394, this); + this.LANE5_ILAS1_R = new("LANE5_ILAS1", 'h3b4, this); + this.LANE6_ILAS1_R = new("LANE6_ILAS1", 'h3d4, this); + this.LANE7_ILAS1_R = new("LANE7_ILAS1", 'h3f4, this); + this.LANE8_ILAS1_R = new("LANE8_ILAS1", 'h414, this); + this.LANE9_ILAS1_R = new("LANE9_ILAS1", 'h434, this); + this.LANE10_ILAS1_R = new("LANE10_ILAS1", 'h454, this); + this.LANE11_ILAS1_R = new("LANE11_ILAS1", 'h474, this); + this.LANE12_ILAS1_R = new("LANE12_ILAS1", 'h494, this); + this.LANE13_ILAS1_R = new("LANE13_ILAS1", 'h4b4, this); + this.LANE14_ILAS1_R = new("LANE14_ILAS1", 'h4d4, this); + this.LANE15_ILAS1_R = new("LANE15_ILAS1", 'h4f4, this); + this.LANE16_ILAS1_R = new("LANE16_ILAS1", 'h514, this); + this.LANE17_ILAS1_R = new("LANE17_ILAS1", 'h534, this); + this.LANE18_ILAS1_R = new("LANE18_ILAS1", 'h554, this); + this.LANE19_ILAS1_R = new("LANE19_ILAS1", 'h574, this); + this.LANE20_ILAS1_R = new("LANE20_ILAS1", 'h594, this); + this.LANE21_ILAS1_R = new("LANE21_ILAS1", 'h5b4, this); + this.LANE22_ILAS1_R = new("LANE22_ILAS1", 'h5d4, this); + this.LANE23_ILAS1_R = new("LANE23_ILAS1", 'h5f4, this); + this.LANE24_ILAS1_R = new("LANE24_ILAS1", 'h614, this); + this.LANE25_ILAS1_R = new("LANE25_ILAS1", 'h634, this); + this.LANE26_ILAS1_R = new("LANE26_ILAS1", 'h654, this); + this.LANE27_ILAS1_R = new("LANE27_ILAS1", 'h674, this); + this.LANE28_ILAS1_R = new("LANE28_ILAS1", 'h694, this); + this.LANE29_ILAS1_R = new("LANE29_ILAS1", 'h6b4, this); + this.LANE30_ILAS1_R = new("LANE30_ILAS1", 'h6d4, this); + this.LANE31_ILAS1_R = new("LANE31_ILAS1", 'h6f4, this); + this.LANE0_ILAS2_R = new("LANE0_ILAS2", 'h318, this); + this.LANE1_ILAS2_R = new("LANE1_ILAS2", 'h338, this); + this.LANE2_ILAS2_R = new("LANE2_ILAS2", 'h358, this); + this.LANE3_ILAS2_R = new("LANE3_ILAS2", 'h378, this); + this.LANE4_ILAS2_R = new("LANE4_ILAS2", 'h398, this); + this.LANE5_ILAS2_R = new("LANE5_ILAS2", 'h3b8, this); + this.LANE6_ILAS2_R = new("LANE6_ILAS2", 'h3d8, this); + this.LANE7_ILAS2_R = new("LANE7_ILAS2", 'h3f8, this); + this.LANE8_ILAS2_R = new("LANE8_ILAS2", 'h418, this); + this.LANE9_ILAS2_R = new("LANE9_ILAS2", 'h438, this); + this.LANE10_ILAS2_R = new("LANE10_ILAS2", 'h458, this); + this.LANE11_ILAS2_R = new("LANE11_ILAS2", 'h478, this); + this.LANE12_ILAS2_R = new("LANE12_ILAS2", 'h498, this); + this.LANE13_ILAS2_R = new("LANE13_ILAS2", 'h4b8, this); + this.LANE14_ILAS2_R = new("LANE14_ILAS2", 'h4d8, this); + this.LANE15_ILAS2_R = new("LANE15_ILAS2", 'h4f8, this); + this.LANE16_ILAS2_R = new("LANE16_ILAS2", 'h518, this); + this.LANE17_ILAS2_R = new("LANE17_ILAS2", 'h538, this); + this.LANE18_ILAS2_R = new("LANE18_ILAS2", 'h558, this); + this.LANE19_ILAS2_R = new("LANE19_ILAS2", 'h578, this); + this.LANE20_ILAS2_R = new("LANE20_ILAS2", 'h598, this); + this.LANE21_ILAS2_R = new("LANE21_ILAS2", 'h5b8, this); + this.LANE22_ILAS2_R = new("LANE22_ILAS2", 'h5d8, this); + this.LANE23_ILAS2_R = new("LANE23_ILAS2", 'h5f8, this); + this.LANE24_ILAS2_R = new("LANE24_ILAS2", 'h618, this); + this.LANE25_ILAS2_R = new("LANE25_ILAS2", 'h638, this); + this.LANE26_ILAS2_R = new("LANE26_ILAS2", 'h658, this); + this.LANE27_ILAS2_R = new("LANE27_ILAS2", 'h678, this); + this.LANE28_ILAS2_R = new("LANE28_ILAS2", 'h698, this); + this.LANE29_ILAS2_R = new("LANE29_ILAS2", 'h6b8, this); + this.LANE30_ILAS2_R = new("LANE30_ILAS2", 'h6d8, this); + this.LANE31_ILAS2_R = new("LANE31_ILAS2", 'h6f8, this); + this.LANE0_ILAS3_R = new("LANE0_ILAS3", 'h31c, this); + this.LANE1_ILAS3_R = new("LANE1_ILAS3", 'h33c, this); + this.LANE2_ILAS3_R = new("LANE2_ILAS3", 'h35c, this); + this.LANE3_ILAS3_R = new("LANE3_ILAS3", 'h37c, this); + this.LANE4_ILAS3_R = new("LANE4_ILAS3", 'h39c, this); + this.LANE5_ILAS3_R = new("LANE5_ILAS3", 'h3bc, this); + this.LANE6_ILAS3_R = new("LANE6_ILAS3", 'h3dc, this); + this.LANE7_ILAS3_R = new("LANE7_ILAS3", 'h3fc, this); + this.LANE8_ILAS3_R = new("LANE8_ILAS3", 'h41c, this); + this.LANE9_ILAS3_R = new("LANE9_ILAS3", 'h43c, this); + this.LANE10_ILAS3_R = new("LANE10_ILAS3", 'h45c, this); + this.LANE11_ILAS3_R = new("LANE11_ILAS3", 'h47c, this); + this.LANE12_ILAS3_R = new("LANE12_ILAS3", 'h49c, this); + this.LANE13_ILAS3_R = new("LANE13_ILAS3", 'h4bc, this); + this.LANE14_ILAS3_R = new("LANE14_ILAS3", 'h4dc, this); + this.LANE15_ILAS3_R = new("LANE15_ILAS3", 'h4fc, this); + this.LANE16_ILAS3_R = new("LANE16_ILAS3", 'h51c, this); + this.LANE17_ILAS3_R = new("LANE17_ILAS3", 'h53c, this); + this.LANE18_ILAS3_R = new("LANE18_ILAS3", 'h55c, this); + this.LANE19_ILAS3_R = new("LANE19_ILAS3", 'h57c, this); + this.LANE20_ILAS3_R = new("LANE20_ILAS3", 'h59c, this); + this.LANE21_ILAS3_R = new("LANE21_ILAS3", 'h5bc, this); + this.LANE22_ILAS3_R = new("LANE22_ILAS3", 'h5dc, this); + this.LANE23_ILAS3_R = new("LANE23_ILAS3", 'h5fc, this); + this.LANE24_ILAS3_R = new("LANE24_ILAS3", 'h61c, this); + this.LANE25_ILAS3_R = new("LANE25_ILAS3", 'h63c, this); + this.LANE26_ILAS3_R = new("LANE26_ILAS3", 'h65c, this); + this.LANE27_ILAS3_R = new("LANE27_ILAS3", 'h67c, this); + this.LANE28_ILAS3_R = new("LANE28_ILAS3", 'h69c, this); + this.LANE29_ILAS3_R = new("LANE29_ILAS3", 'h6bc, this); + this.LANE30_ILAS3_R = new("LANE30_ILAS3", 'h6dc, this); + this.LANE31_ILAS3_R = new("LANE31_ILAS3", 'h6fc, this); + + this.info($sformatf("Initialized"), ADI_VERBOSITY_HIGH); + endfunction: new + + endclass: adi_regmap_jesd_rx + +endpackage: adi_regmap_jesd_rx_pkg diff --git a/library/regmaps/adi_regmap_jesd_tpl_pkg.sv b/library/regmaps/adi_regmap_jesd_tpl_pkg.sv index 07f12cd6..40c40ee5 100644 --- a/library/regmaps/adi_regmap_jesd_tpl_pkg.sv +++ b/library/regmaps/adi_regmap_jesd_tpl_pkg.sv @@ -1,6 +1,6 @@ // *************************************************************************** // *************************************************************************** -// Copyright (C) 2014 - 2024 Analog Devices, Inc. All rights reserved. +// Copyright (C) 2014 - 2025 Analog Devices, Inc. All rights reserved. // // In this HDL repository, there are many different and unique modules, consisting // of various HDL (Verilog or VHDL) components. The individual modules are @@ -33,61 +33,115 @@ // *************************************************************************** // *************************************************************************** /* Auto generated Register Map */ -/* Thu Mar 28 13:22:23 2024 */ +/* Jan 28 13:30:17 2025 v0.3.55 */ package adi_regmap_jesd_tpl_pkg; - import adi_regmap_pkg::*; - - -/* JESD TPL (up_tpl_common) */ - - const reg_t JESD_TPL_REG_TPL_CNTRL = '{ 'h0200, "REG_TPL_CNTRL" , '{ - "PROFILE_SEL": '{ 3, 0, RW, 'h00 }}}; - `define SET_JESD_TPL_REG_TPL_CNTRL_PROFILE_SEL(x) SetField(JESD_TPL_REG_TPL_CNTRL,"PROFILE_SEL",x) - `define GET_JESD_TPL_REG_TPL_CNTRL_PROFILE_SEL(x) GetField(JESD_TPL_REG_TPL_CNTRL,"PROFILE_SEL",x) - `define DEFAULT_JESD_TPL_REG_TPL_CNTRL_PROFILE_SEL GetResetValue(JESD_TPL_REG_TPL_CNTRL,"PROFILE_SEL") - `define UPDATE_JESD_TPL_REG_TPL_CNTRL_PROFILE_SEL(x,y) UpdateField(JESD_TPL_REG_TPL_CNTRL,"PROFILE_SEL",x,y) - - const reg_t JESD_TPL_REG_TPL_STATUS = '{ 'h0204, "REG_TPL_STATUS" , '{ - "PROFILE_NUM": '{ 3, 0, RO, 'h00 }}}; - `define SET_JESD_TPL_REG_TPL_STATUS_PROFILE_NUM(x) SetField(JESD_TPL_REG_TPL_STATUS,"PROFILE_NUM",x) - `define GET_JESD_TPL_REG_TPL_STATUS_PROFILE_NUM(x) GetField(JESD_TPL_REG_TPL_STATUS,"PROFILE_NUM",x) - `define DEFAULT_JESD_TPL_REG_TPL_STATUS_PROFILE_NUM GetResetValue(JESD_TPL_REG_TPL_STATUS,"PROFILE_NUM") - `define UPDATE_JESD_TPL_REG_TPL_STATUS_PROFILE_NUM(x,y) UpdateField(JESD_TPL_REG_TPL_STATUS,"PROFILE_NUM",x,y) - - const reg_t JESD_TPL_REG_TPL_DESCRIPTOR_1 = '{ 'h0240, "REG_TPL_DESCRIPTOR_1" , '{ - "JESD_F": '{ 31, 24, RO, 'h00 }, - "JESD_S": '{ 23, 16, RO, 'h00 }, - "JESD_L": '{ 15, 8, RO, 'h00 }, - "JESD_M": '{ 7, 0, RO, 'h00 }}}; - `define SET_JESD_TPL_REG_TPL_DESCRIPTOR_1_JESD_F(x) SetField(JESD_TPL_REG_TPL_DESCRIPTOR_1,"JESD_F",x) - `define GET_JESD_TPL_REG_TPL_DESCRIPTOR_1_JESD_F(x) GetField(JESD_TPL_REG_TPL_DESCRIPTOR_1,"JESD_F",x) - `define DEFAULT_JESD_TPL_REG_TPL_DESCRIPTOR_1_JESD_F GetResetValue(JESD_TPL_REG_TPL_DESCRIPTOR_1,"JESD_F") - `define UPDATE_JESD_TPL_REG_TPL_DESCRIPTOR_1_JESD_F(x,y) UpdateField(JESD_TPL_REG_TPL_DESCRIPTOR_1,"JESD_F",x,y) - `define SET_JESD_TPL_REG_TPL_DESCRIPTOR_1_JESD_S(x) SetField(JESD_TPL_REG_TPL_DESCRIPTOR_1,"JESD_S",x) - `define GET_JESD_TPL_REG_TPL_DESCRIPTOR_1_JESD_S(x) GetField(JESD_TPL_REG_TPL_DESCRIPTOR_1,"JESD_S",x) - `define DEFAULT_JESD_TPL_REG_TPL_DESCRIPTOR_1_JESD_S GetResetValue(JESD_TPL_REG_TPL_DESCRIPTOR_1,"JESD_S") - `define UPDATE_JESD_TPL_REG_TPL_DESCRIPTOR_1_JESD_S(x,y) UpdateField(JESD_TPL_REG_TPL_DESCRIPTOR_1,"JESD_S",x,y) - `define SET_JESD_TPL_REG_TPL_DESCRIPTOR_1_JESD_L(x) SetField(JESD_TPL_REG_TPL_DESCRIPTOR_1,"JESD_L",x) - `define GET_JESD_TPL_REG_TPL_DESCRIPTOR_1_JESD_L(x) GetField(JESD_TPL_REG_TPL_DESCRIPTOR_1,"JESD_L",x) - `define DEFAULT_JESD_TPL_REG_TPL_DESCRIPTOR_1_JESD_L GetResetValue(JESD_TPL_REG_TPL_DESCRIPTOR_1,"JESD_L") - `define UPDATE_JESD_TPL_REG_TPL_DESCRIPTOR_1_JESD_L(x,y) UpdateField(JESD_TPL_REG_TPL_DESCRIPTOR_1,"JESD_L",x,y) - `define SET_JESD_TPL_REG_TPL_DESCRIPTOR_1_JESD_M(x) SetField(JESD_TPL_REG_TPL_DESCRIPTOR_1,"JESD_M",x) - `define GET_JESD_TPL_REG_TPL_DESCRIPTOR_1_JESD_M(x) GetField(JESD_TPL_REG_TPL_DESCRIPTOR_1,"JESD_M",x) - `define DEFAULT_JESD_TPL_REG_TPL_DESCRIPTOR_1_JESD_M GetResetValue(JESD_TPL_REG_TPL_DESCRIPTOR_1,"JESD_M") - `define UPDATE_JESD_TPL_REG_TPL_DESCRIPTOR_1_JESD_M(x,y) UpdateField(JESD_TPL_REG_TPL_DESCRIPTOR_1,"JESD_M",x,y) - - const reg_t JESD_TPL_REG_TPL_DESCRIPTOR_2 = '{ 'h0244, "REG_TPL_DESCRIPTOR_2" , '{ - "JESD_N": '{ 7, 0, RO, 'h00 }, - "JESD_NP": '{ 15, 8, RO, 'h00 }}}; - `define SET_JESD_TPL_REG_TPL_DESCRIPTOR_2_JESD_N(x) SetField(JESD_TPL_REG_TPL_DESCRIPTOR_2,"JESD_N",x) - `define GET_JESD_TPL_REG_TPL_DESCRIPTOR_2_JESD_N(x) GetField(JESD_TPL_REG_TPL_DESCRIPTOR_2,"JESD_N",x) - `define DEFAULT_JESD_TPL_REG_TPL_DESCRIPTOR_2_JESD_N GetResetValue(JESD_TPL_REG_TPL_DESCRIPTOR_2,"JESD_N") - `define UPDATE_JESD_TPL_REG_TPL_DESCRIPTOR_2_JESD_N(x,y) UpdateField(JESD_TPL_REG_TPL_DESCRIPTOR_2,"JESD_N",x,y) - `define SET_JESD_TPL_REG_TPL_DESCRIPTOR_2_JESD_NP(x) SetField(JESD_TPL_REG_TPL_DESCRIPTOR_2,"JESD_NP",x) - `define GET_JESD_TPL_REG_TPL_DESCRIPTOR_2_JESD_NP(x) GetField(JESD_TPL_REG_TPL_DESCRIPTOR_2,"JESD_NP",x) - `define DEFAULT_JESD_TPL_REG_TPL_DESCRIPTOR_2_JESD_NP GetResetValue(JESD_TPL_REG_TPL_DESCRIPTOR_2,"JESD_NP") - `define UPDATE_JESD_TPL_REG_TPL_DESCRIPTOR_2_JESD_NP(x,y) UpdateField(JESD_TPL_REG_TPL_DESCRIPTOR_2,"JESD_NP",x,y) - - -endpackage + import logger_pkg::*; + import adi_api_pkg::*; + + class adi_regmap_jesd_tpl extends adi_regmap; + + /* JESD TPL (up_tpl_common) */ + class TPL_CNTRL_CLASS extends register_base; + field_base PROFILE_SEL_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.PROFILE_SEL_F = new("PROFILE_SEL", 3, 0, RW, 'hXXXXXXXX, this); + + this.initialization_done = 1; + endfunction: new + endclass: TPL_CNTRL_CLASS + + class TPL_STATUS_CLASS extends register_base; + field_base PROFILE_NUM_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.PROFILE_NUM_F = new("PROFILE_NUM", 3, 0, RO, 'hXXXXXXXX, this); + + this.initialization_done = 1; + endfunction: new + endclass: TPL_STATUS_CLASS + + class TPL_DESCRIPTORn_1_CLASS extends register_base; + field_base JESD_F_F; + field_base JESD_S_F; + field_base JESD_L_F; + field_base JESD_M_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.JESD_F_F = new("JESD_F", 31, 24, RO, 'hXXXXXXXX, this); + this.JESD_S_F = new("JESD_S", 23, 16, RO, 'hXXXXXXXX, this); + this.JESD_L_F = new("JESD_L", 15, 8, RO, 'hXXXXXXXX, this); + this.JESD_M_F = new("JESD_M", 7, 0, RO, 'hXXXXXXXX, this); + + this.initialization_done = 1; + endfunction: new + endclass: TPL_DESCRIPTORn_1_CLASS + + class TPL_DESCRIPTORn_2_CLASS extends register_base; + field_base JESD_N_F; + field_base JESD_NP_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.JESD_N_F = new("JESD_N", 7, 0, RO, 'hXXXXXXXX, this); + this.JESD_NP_F = new("JESD_NP", 15, 8, RO, 'hXXXXXXXX, this); + + this.initialization_done = 1; + endfunction: new + endclass: TPL_DESCRIPTORn_2_CLASS + + TPL_CNTRL_CLASS TPL_CNTRL_R; + TPL_STATUS_CLASS TPL_STATUS_R; + TPL_DESCRIPTORn_1_CLASS TPL_DESCRIPTOR0_1_R; + TPL_DESCRIPTORn_1_CLASS TPL_DESCRIPTOR1_1_R; + TPL_DESCRIPTORn_1_CLASS TPL_DESCRIPTOR2_1_R; + TPL_DESCRIPTORn_2_CLASS TPL_DESCRIPTOR0_2_R; + TPL_DESCRIPTORn_2_CLASS TPL_DESCRIPTOR1_2_R; + TPL_DESCRIPTORn_2_CLASS TPL_DESCRIPTOR2_2_R; + + function new( + input string name, + input int address, + input adi_api parent = null); + + super.new(name, address, parent); + + this.TPL_CNTRL_R = new("TPL_CNTRL", 'h200, this); + this.TPL_STATUS_R = new("TPL_STATUS", 'h204, this); + this.TPL_DESCRIPTOR0_1_R = new("TPL_DESCRIPTOR0_1", 'h240, this); + this.TPL_DESCRIPTOR1_1_R = new("TPL_DESCRIPTOR1_1", 'h248, this); + this.TPL_DESCRIPTOR2_1_R = new("TPL_DESCRIPTOR2_1", 'h250, this); + this.TPL_DESCRIPTOR0_2_R = new("TPL_DESCRIPTOR0_2", 'h244, this); + this.TPL_DESCRIPTOR1_2_R = new("TPL_DESCRIPTOR1_2", 'h24c, this); + this.TPL_DESCRIPTOR2_2_R = new("TPL_DESCRIPTOR2_2", 'h254, this); + + this.info($sformatf("Initialized"), ADI_VERBOSITY_HIGH); + endfunction: new + + endclass: adi_regmap_jesd_tpl + +endpackage: adi_regmap_jesd_tpl_pkg diff --git a/library/regmaps/adi_regmap_jesd_tx_pkg.sv b/library/regmaps/adi_regmap_jesd_tx_pkg.sv index 577b10b0..b346faca 100644 --- a/library/regmaps/adi_regmap_jesd_tx_pkg.sv +++ b/library/regmaps/adi_regmap_jesd_tx_pkg.sv @@ -1,6 +1,6 @@ // *************************************************************************** // *************************************************************************** -// Copyright (C) 2014 - 2024 Analog Devices, Inc. All rights reserved. +// Copyright (C) 2014 - 2025 Analog Devices, Inc. All rights reserved. // // In this HDL repository, there are many different and unique modules, consisting // of various HDL (Verilog or VHDL) components. The individual modules are @@ -33,358 +33,995 @@ // *************************************************************************** // *************************************************************************** /* Auto generated Register Map */ -/* Thu Mar 28 13:22:23 2024 */ +/* Jan 28 13:30:17 2025 v0.3.55 */ package adi_regmap_jesd_tx_pkg; - import adi_regmap_pkg::*; - - -/* JESD204 TX (axi_jesd204_tx) */ - - const reg_t JESD_TX_VERSION = '{ 'h0000, "VERSION" , '{ - "VERSION_MAJOR": '{ 31, 16, RO, 'h0001 }, - "VERSION_MINOR": '{ 15, 8, RO, 'h03 }, - "VERSION_PATCH": '{ 7, 0, RO, 'h61 }}}; - `define SET_JESD_TX_VERSION_VERSION_MAJOR(x) SetField(JESD_TX_VERSION,"VERSION_MAJOR",x) - `define GET_JESD_TX_VERSION_VERSION_MAJOR(x) GetField(JESD_TX_VERSION,"VERSION_MAJOR",x) - `define DEFAULT_JESD_TX_VERSION_VERSION_MAJOR GetResetValue(JESD_TX_VERSION,"VERSION_MAJOR") - `define UPDATE_JESD_TX_VERSION_VERSION_MAJOR(x,y) UpdateField(JESD_TX_VERSION,"VERSION_MAJOR",x,y) - `define SET_JESD_TX_VERSION_VERSION_MINOR(x) SetField(JESD_TX_VERSION,"VERSION_MINOR",x) - `define GET_JESD_TX_VERSION_VERSION_MINOR(x) GetField(JESD_TX_VERSION,"VERSION_MINOR",x) - `define DEFAULT_JESD_TX_VERSION_VERSION_MINOR GetResetValue(JESD_TX_VERSION,"VERSION_MINOR") - `define UPDATE_JESD_TX_VERSION_VERSION_MINOR(x,y) UpdateField(JESD_TX_VERSION,"VERSION_MINOR",x,y) - `define SET_JESD_TX_VERSION_VERSION_PATCH(x) SetField(JESD_TX_VERSION,"VERSION_PATCH",x) - `define GET_JESD_TX_VERSION_VERSION_PATCH(x) GetField(JESD_TX_VERSION,"VERSION_PATCH",x) - `define DEFAULT_JESD_TX_VERSION_VERSION_PATCH GetResetValue(JESD_TX_VERSION,"VERSION_PATCH") - `define UPDATE_JESD_TX_VERSION_VERSION_PATCH(x,y) UpdateField(JESD_TX_VERSION,"VERSION_PATCH",x,y) - - const reg_t JESD_TX_PERIPHERAL_ID = '{ 'h0004, "PERIPHERAL_ID" , '{ - "PERIPHERAL_ID": '{ 31, 0, RO, 'h???????? }}}; - `define SET_JESD_TX_PERIPHERAL_ID_PERIPHERAL_ID(x) SetField(JESD_TX_PERIPHERAL_ID,"PERIPHERAL_ID",x) - `define GET_JESD_TX_PERIPHERAL_ID_PERIPHERAL_ID(x) GetField(JESD_TX_PERIPHERAL_ID,"PERIPHERAL_ID",x) - `define DEFAULT_JESD_TX_PERIPHERAL_ID_PERIPHERAL_ID GetResetValue(JESD_TX_PERIPHERAL_ID,"PERIPHERAL_ID") - `define UPDATE_JESD_TX_PERIPHERAL_ID_PERIPHERAL_ID(x,y) UpdateField(JESD_TX_PERIPHERAL_ID,"PERIPHERAL_ID",x,y) - - const reg_t JESD_TX_SCRATCH = '{ 'h0008, "SCRATCH" , '{ - "SCRATCH": '{ 31, 0, RW, 'h00000000 }}}; - `define SET_JESD_TX_SCRATCH_SCRATCH(x) SetField(JESD_TX_SCRATCH,"SCRATCH",x) - `define GET_JESD_TX_SCRATCH_SCRATCH(x) GetField(JESD_TX_SCRATCH,"SCRATCH",x) - `define DEFAULT_JESD_TX_SCRATCH_SCRATCH GetResetValue(JESD_TX_SCRATCH,"SCRATCH") - `define UPDATE_JESD_TX_SCRATCH_SCRATCH(x,y) UpdateField(JESD_TX_SCRATCH,"SCRATCH",x,y) - - const reg_t JESD_TX_IDENTIFICATION = '{ 'h000c, "IDENTIFICATION" , '{ - "IDENTIFICATION": '{ 31, 0, RO, 'h32303454 }}}; - `define SET_JESD_TX_IDENTIFICATION_IDENTIFICATION(x) SetField(JESD_TX_IDENTIFICATION,"IDENTIFICATION",x) - `define GET_JESD_TX_IDENTIFICATION_IDENTIFICATION(x) GetField(JESD_TX_IDENTIFICATION,"IDENTIFICATION",x) - `define DEFAULT_JESD_TX_IDENTIFICATION_IDENTIFICATION GetResetValue(JESD_TX_IDENTIFICATION,"IDENTIFICATION") - `define UPDATE_JESD_TX_IDENTIFICATION_IDENTIFICATION(x,y) UpdateField(JESD_TX_IDENTIFICATION,"IDENTIFICATION",x,y) - - const reg_t JESD_TX_SYNTH_NUM_LANES = '{ 'h0010, "SYNTH_NUM_LANES" , '{ - "SYNTH_NUM_LANES": '{ 31, 0, RO, 'h???????? }}}; - `define SET_JESD_TX_SYNTH_NUM_LANES_SYNTH_NUM_LANES(x) SetField(JESD_TX_SYNTH_NUM_LANES,"SYNTH_NUM_LANES",x) - `define GET_JESD_TX_SYNTH_NUM_LANES_SYNTH_NUM_LANES(x) GetField(JESD_TX_SYNTH_NUM_LANES,"SYNTH_NUM_LANES",x) - `define DEFAULT_JESD_TX_SYNTH_NUM_LANES_SYNTH_NUM_LANES GetResetValue(JESD_TX_SYNTH_NUM_LANES,"SYNTH_NUM_LANES") - `define UPDATE_JESD_TX_SYNTH_NUM_LANES_SYNTH_NUM_LANES(x,y) UpdateField(JESD_TX_SYNTH_NUM_LANES,"SYNTH_NUM_LANES",x,y) - - const reg_t JESD_TX_SYNTH_DATA_PATH_WIDTH = '{ 'h0014, "SYNTH_DATA_PATH_WIDTH" , '{ - "TPL_DATA_PATH_WIDTH": '{ 15, 8, RO, 'h00000002 }, - "SYNTH_DATA_PATH_WIDTH": '{ 7, 0, RO, 'h00000002 }}}; - `define SET_JESD_TX_SYNTH_DATA_PATH_WIDTH_TPL_DATA_PATH_WIDTH(x) SetField(JESD_TX_SYNTH_DATA_PATH_WIDTH,"TPL_DATA_PATH_WIDTH",x) - `define GET_JESD_TX_SYNTH_DATA_PATH_WIDTH_TPL_DATA_PATH_WIDTH(x) GetField(JESD_TX_SYNTH_DATA_PATH_WIDTH,"TPL_DATA_PATH_WIDTH",x) - `define DEFAULT_JESD_TX_SYNTH_DATA_PATH_WIDTH_TPL_DATA_PATH_WIDTH GetResetValue(JESD_TX_SYNTH_DATA_PATH_WIDTH,"TPL_DATA_PATH_WIDTH") - `define UPDATE_JESD_TX_SYNTH_DATA_PATH_WIDTH_TPL_DATA_PATH_WIDTH(x,y) UpdateField(JESD_TX_SYNTH_DATA_PATH_WIDTH,"TPL_DATA_PATH_WIDTH",x,y) - `define SET_JESD_TX_SYNTH_DATA_PATH_WIDTH_SYNTH_DATA_PATH_WIDTH(x) SetField(JESD_TX_SYNTH_DATA_PATH_WIDTH,"SYNTH_DATA_PATH_WIDTH",x) - `define GET_JESD_TX_SYNTH_DATA_PATH_WIDTH_SYNTH_DATA_PATH_WIDTH(x) GetField(JESD_TX_SYNTH_DATA_PATH_WIDTH,"SYNTH_DATA_PATH_WIDTH",x) - `define DEFAULT_JESD_TX_SYNTH_DATA_PATH_WIDTH_SYNTH_DATA_PATH_WIDTH GetResetValue(JESD_TX_SYNTH_DATA_PATH_WIDTH,"SYNTH_DATA_PATH_WIDTH") - `define UPDATE_JESD_TX_SYNTH_DATA_PATH_WIDTH_SYNTH_DATA_PATH_WIDTH(x,y) UpdateField(JESD_TX_SYNTH_DATA_PATH_WIDTH,"SYNTH_DATA_PATH_WIDTH",x,y) - - const reg_t JESD_TX_SYNTH_REG_1 = '{ 'h0018, "SYNTH_REG_1" , '{ - "ENABLE_CHAR_REPLACE": '{ 18, 18, RO, 'h00 }, - "ASYNC_CLK": '{ 12, 12, RO, 0 }, - "ENCODER": '{ 9, 8, RO, 'h?? }, - "NUM_LINKS": '{ 7, 0, RO, 'h?? }}}; - `define SET_JESD_TX_SYNTH_REG_1_ENABLE_CHAR_REPLACE(x) SetField(JESD_TX_SYNTH_REG_1,"ENABLE_CHAR_REPLACE",x) - `define GET_JESD_TX_SYNTH_REG_1_ENABLE_CHAR_REPLACE(x) GetField(JESD_TX_SYNTH_REG_1,"ENABLE_CHAR_REPLACE",x) - `define DEFAULT_JESD_TX_SYNTH_REG_1_ENABLE_CHAR_REPLACE GetResetValue(JESD_TX_SYNTH_REG_1,"ENABLE_CHAR_REPLACE") - `define UPDATE_JESD_TX_SYNTH_REG_1_ENABLE_CHAR_REPLACE(x,y) UpdateField(JESD_TX_SYNTH_REG_1,"ENABLE_CHAR_REPLACE",x,y) - `define SET_JESD_TX_SYNTH_REG_1_ASYNC_CLK(x) SetField(JESD_TX_SYNTH_REG_1,"ASYNC_CLK",x) - `define GET_JESD_TX_SYNTH_REG_1_ASYNC_CLK(x) GetField(JESD_TX_SYNTH_REG_1,"ASYNC_CLK",x) - `define DEFAULT_JESD_TX_SYNTH_REG_1_ASYNC_CLK GetResetValue(JESD_TX_SYNTH_REG_1,"ASYNC_CLK") - `define UPDATE_JESD_TX_SYNTH_REG_1_ASYNC_CLK(x,y) UpdateField(JESD_TX_SYNTH_REG_1,"ASYNC_CLK",x,y) - `define SET_JESD_TX_SYNTH_REG_1_ENCODER(x) SetField(JESD_TX_SYNTH_REG_1,"ENCODER",x) - `define GET_JESD_TX_SYNTH_REG_1_ENCODER(x) GetField(JESD_TX_SYNTH_REG_1,"ENCODER",x) - `define DEFAULT_JESD_TX_SYNTH_REG_1_ENCODER GetResetValue(JESD_TX_SYNTH_REG_1,"ENCODER") - `define UPDATE_JESD_TX_SYNTH_REG_1_ENCODER(x,y) UpdateField(JESD_TX_SYNTH_REG_1,"ENCODER",x,y) - `define SET_JESD_TX_SYNTH_REG_1_NUM_LINKS(x) SetField(JESD_TX_SYNTH_REG_1,"NUM_LINKS",x) - `define GET_JESD_TX_SYNTH_REG_1_NUM_LINKS(x) GetField(JESD_TX_SYNTH_REG_1,"NUM_LINKS",x) - `define DEFAULT_JESD_TX_SYNTH_REG_1_NUM_LINKS GetResetValue(JESD_TX_SYNTH_REG_1,"NUM_LINKS") - `define UPDATE_JESD_TX_SYNTH_REG_1_NUM_LINKS(x,y) UpdateField(JESD_TX_SYNTH_REG_1,"NUM_LINKS",x,y) - - const reg_t JESD_TX_IRQ_ENABLE = '{ 'h0080, "IRQ_ENABLE" , '{ - "IRQ_ENABLE": '{ 31, 0, RW, 'h00000000 }}}; - `define SET_JESD_TX_IRQ_ENABLE_IRQ_ENABLE(x) SetField(JESD_TX_IRQ_ENABLE,"IRQ_ENABLE",x) - `define GET_JESD_TX_IRQ_ENABLE_IRQ_ENABLE(x) GetField(JESD_TX_IRQ_ENABLE,"IRQ_ENABLE",x) - `define DEFAULT_JESD_TX_IRQ_ENABLE_IRQ_ENABLE GetResetValue(JESD_TX_IRQ_ENABLE,"IRQ_ENABLE") - `define UPDATE_JESD_TX_IRQ_ENABLE_IRQ_ENABLE(x,y) UpdateField(JESD_TX_IRQ_ENABLE,"IRQ_ENABLE",x,y) - - const reg_t JESD_TX_IRQ_PENDING = '{ 'h0084, "IRQ_PENDING" , '{ - "IRQ_PENDING": '{ 31, 0, RW1CV, 'h00000000 }}}; - `define SET_JESD_TX_IRQ_PENDING_IRQ_PENDING(x) SetField(JESD_TX_IRQ_PENDING,"IRQ_PENDING",x) - `define GET_JESD_TX_IRQ_PENDING_IRQ_PENDING(x) GetField(JESD_TX_IRQ_PENDING,"IRQ_PENDING",x) - `define DEFAULT_JESD_TX_IRQ_PENDING_IRQ_PENDING GetResetValue(JESD_TX_IRQ_PENDING,"IRQ_PENDING") - `define UPDATE_JESD_TX_IRQ_PENDING_IRQ_PENDING(x,y) UpdateField(JESD_TX_IRQ_PENDING,"IRQ_PENDING",x,y) - - const reg_t JESD_TX_IRQ_SOURCE = '{ 'h0088, "IRQ_SOURCE" , '{ - "IRQ_SOURCE": '{ 31, 0, RW1CV, 'h00000000 }}}; - `define SET_JESD_TX_IRQ_SOURCE_IRQ_SOURCE(x) SetField(JESD_TX_IRQ_SOURCE,"IRQ_SOURCE",x) - `define GET_JESD_TX_IRQ_SOURCE_IRQ_SOURCE(x) GetField(JESD_TX_IRQ_SOURCE,"IRQ_SOURCE",x) - `define DEFAULT_JESD_TX_IRQ_SOURCE_IRQ_SOURCE GetResetValue(JESD_TX_IRQ_SOURCE,"IRQ_SOURCE") - `define UPDATE_JESD_TX_IRQ_SOURCE_IRQ_SOURCE(x,y) UpdateField(JESD_TX_IRQ_SOURCE,"IRQ_SOURCE",x,y) - - const reg_t JESD_TX_LINK_DISABLE = '{ 'h00c0, "LINK_DISABLE" , '{ - "LINK_DISABLE": '{ 0, 0, RW, 'h1 }}}; - `define SET_JESD_TX_LINK_DISABLE_LINK_DISABLE(x) SetField(JESD_TX_LINK_DISABLE,"LINK_DISABLE",x) - `define GET_JESD_TX_LINK_DISABLE_LINK_DISABLE(x) GetField(JESD_TX_LINK_DISABLE,"LINK_DISABLE",x) - `define DEFAULT_JESD_TX_LINK_DISABLE_LINK_DISABLE GetResetValue(JESD_TX_LINK_DISABLE,"LINK_DISABLE") - `define UPDATE_JESD_TX_LINK_DISABLE_LINK_DISABLE(x,y) UpdateField(JESD_TX_LINK_DISABLE,"LINK_DISABLE",x,y) - - const reg_t JESD_TX_LINK_STATE = '{ 'h00c4, "LINK_STATE" , '{ - "EXTERNAL_RESET": '{ 1, 1, RO, 'h? }, - "LINK_STATE": '{ 0, 0, RO, 'h1 }}}; - `define SET_JESD_TX_LINK_STATE_EXTERNAL_RESET(x) SetField(JESD_TX_LINK_STATE,"EXTERNAL_RESET",x) - `define GET_JESD_TX_LINK_STATE_EXTERNAL_RESET(x) GetField(JESD_TX_LINK_STATE,"EXTERNAL_RESET",x) - `define DEFAULT_JESD_TX_LINK_STATE_EXTERNAL_RESET GetResetValue(JESD_TX_LINK_STATE,"EXTERNAL_RESET") - `define UPDATE_JESD_TX_LINK_STATE_EXTERNAL_RESET(x,y) UpdateField(JESD_TX_LINK_STATE,"EXTERNAL_RESET",x,y) - `define SET_JESD_TX_LINK_STATE_LINK_STATE(x) SetField(JESD_TX_LINK_STATE,"LINK_STATE",x) - `define GET_JESD_TX_LINK_STATE_LINK_STATE(x) GetField(JESD_TX_LINK_STATE,"LINK_STATE",x) - `define DEFAULT_JESD_TX_LINK_STATE_LINK_STATE GetResetValue(JESD_TX_LINK_STATE,"LINK_STATE") - `define UPDATE_JESD_TX_LINK_STATE_LINK_STATE(x,y) UpdateField(JESD_TX_LINK_STATE,"LINK_STATE",x,y) - - const reg_t JESD_TX_LINK_CLK_FREQ = '{ 'h00c8, "LINK_CLK_FREQ" , '{ - "LINK_CLK_FREQ": '{ 31, 0, ROV, 'h????????? }}}; - `define SET_JESD_TX_LINK_CLK_FREQ_LINK_CLK_FREQ(x) SetField(JESD_TX_LINK_CLK_FREQ,"LINK_CLK_FREQ",x) - `define GET_JESD_TX_LINK_CLK_FREQ_LINK_CLK_FREQ(x) GetField(JESD_TX_LINK_CLK_FREQ,"LINK_CLK_FREQ",x) - `define DEFAULT_JESD_TX_LINK_CLK_FREQ_LINK_CLK_FREQ GetResetValue(JESD_TX_LINK_CLK_FREQ,"LINK_CLK_FREQ") - `define UPDATE_JESD_TX_LINK_CLK_FREQ_LINK_CLK_FREQ(x,y) UpdateField(JESD_TX_LINK_CLK_FREQ,"LINK_CLK_FREQ",x,y) - - const reg_t JESD_TX_DEVICE_CLK_FREQ = '{ 'h00cc, "DEVICE_CLK_FREQ" , '{ - "DEVICE_CLK_FREQ": '{ 20, 0, ROV, 'h????????? }}}; - `define SET_JESD_TX_DEVICE_CLK_FREQ_DEVICE_CLK_FREQ(x) SetField(JESD_TX_DEVICE_CLK_FREQ,"DEVICE_CLK_FREQ",x) - `define GET_JESD_TX_DEVICE_CLK_FREQ_DEVICE_CLK_FREQ(x) GetField(JESD_TX_DEVICE_CLK_FREQ,"DEVICE_CLK_FREQ",x) - `define DEFAULT_JESD_TX_DEVICE_CLK_FREQ_DEVICE_CLK_FREQ GetResetValue(JESD_TX_DEVICE_CLK_FREQ,"DEVICE_CLK_FREQ") - `define UPDATE_JESD_TX_DEVICE_CLK_FREQ_DEVICE_CLK_FREQ(x,y) UpdateField(JESD_TX_DEVICE_CLK_FREQ,"DEVICE_CLK_FREQ",x,y) - - const reg_t JESD_TX_SYSREF_CONF = '{ 'h0100, "SYSREF_CONF" , '{ - "SYSREF_ONESHOT": '{ 1, 1, RW, 'h0 }, - "SYSREF_DISABLE": '{ 0, 0, RW, 'h0 }}}; - `define SET_JESD_TX_SYSREF_CONF_SYSREF_ONESHOT(x) SetField(JESD_TX_SYSREF_CONF,"SYSREF_ONESHOT",x) - `define GET_JESD_TX_SYSREF_CONF_SYSREF_ONESHOT(x) GetField(JESD_TX_SYSREF_CONF,"SYSREF_ONESHOT",x) - `define DEFAULT_JESD_TX_SYSREF_CONF_SYSREF_ONESHOT GetResetValue(JESD_TX_SYSREF_CONF,"SYSREF_ONESHOT") - `define UPDATE_JESD_TX_SYSREF_CONF_SYSREF_ONESHOT(x,y) UpdateField(JESD_TX_SYSREF_CONF,"SYSREF_ONESHOT",x,y) - `define SET_JESD_TX_SYSREF_CONF_SYSREF_DISABLE(x) SetField(JESD_TX_SYSREF_CONF,"SYSREF_DISABLE",x) - `define GET_JESD_TX_SYSREF_CONF_SYSREF_DISABLE(x) GetField(JESD_TX_SYSREF_CONF,"SYSREF_DISABLE",x) - `define DEFAULT_JESD_TX_SYSREF_CONF_SYSREF_DISABLE GetResetValue(JESD_TX_SYSREF_CONF,"SYSREF_DISABLE") - `define UPDATE_JESD_TX_SYSREF_CONF_SYSREF_DISABLE(x,y) UpdateField(JESD_TX_SYSREF_CONF,"SYSREF_DISABLE",x,y) - - const reg_t JESD_TX_SYSREF_LMFC_OFFSET = '{ 'h0104, "SYSREF_LMFC_OFFSET" , '{ - "SYSREF_LMFC_OFFSET": '{ 9, 0, RW, 'h00 }}}; - `define SET_JESD_TX_SYSREF_LMFC_OFFSET_SYSREF_LMFC_OFFSET(x) SetField(JESD_TX_SYSREF_LMFC_OFFSET,"SYSREF_LMFC_OFFSET",x) - `define GET_JESD_TX_SYSREF_LMFC_OFFSET_SYSREF_LMFC_OFFSET(x) GetField(JESD_TX_SYSREF_LMFC_OFFSET,"SYSREF_LMFC_OFFSET",x) - `define DEFAULT_JESD_TX_SYSREF_LMFC_OFFSET_SYSREF_LMFC_OFFSET GetResetValue(JESD_TX_SYSREF_LMFC_OFFSET,"SYSREF_LMFC_OFFSET") - `define UPDATE_JESD_TX_SYSREF_LMFC_OFFSET_SYSREF_LMFC_OFFSET(x,y) UpdateField(JESD_TX_SYSREF_LMFC_OFFSET,"SYSREF_LMFC_OFFSET",x,y) - - const reg_t JESD_TX_SYSREF_STATUS = '{ 'h0108, "SYSREF_STATUS" , '{ - "SYSREF_ALIGNMENT_ERROR": '{ 1, 1, RW1CV, 'h0 }, - "SYSREF_DETECTED": '{ 0, 0, RW1CV, 'h0 }}}; - `define SET_JESD_TX_SYSREF_STATUS_SYSREF_ALIGNMENT_ERROR(x) SetField(JESD_TX_SYSREF_STATUS,"SYSREF_ALIGNMENT_ERROR",x) - `define GET_JESD_TX_SYSREF_STATUS_SYSREF_ALIGNMENT_ERROR(x) GetField(JESD_TX_SYSREF_STATUS,"SYSREF_ALIGNMENT_ERROR",x) - `define DEFAULT_JESD_TX_SYSREF_STATUS_SYSREF_ALIGNMENT_ERROR GetResetValue(JESD_TX_SYSREF_STATUS,"SYSREF_ALIGNMENT_ERROR") - `define UPDATE_JESD_TX_SYSREF_STATUS_SYSREF_ALIGNMENT_ERROR(x,y) UpdateField(JESD_TX_SYSREF_STATUS,"SYSREF_ALIGNMENT_ERROR",x,y) - `define SET_JESD_TX_SYSREF_STATUS_SYSREF_DETECTED(x) SetField(JESD_TX_SYSREF_STATUS,"SYSREF_DETECTED",x) - `define GET_JESD_TX_SYSREF_STATUS_SYSREF_DETECTED(x) GetField(JESD_TX_SYSREF_STATUS,"SYSREF_DETECTED",x) - `define DEFAULT_JESD_TX_SYSREF_STATUS_SYSREF_DETECTED GetResetValue(JESD_TX_SYSREF_STATUS,"SYSREF_DETECTED") - `define UPDATE_JESD_TX_SYSREF_STATUS_SYSREF_DETECTED(x,y) UpdateField(JESD_TX_SYSREF_STATUS,"SYSREF_DETECTED",x,y) - - const reg_t JESD_TX_LANES_DISABLE = '{ 'h0200, "LANES_DISABLE" , '{ - "LANE_DISABLEn": '{ n, n, RW, 'h0 }}}; - `define SET_JESD_TX_LANES_DISABLE_LANE_DISABLEn(x) SetField(JESD_TX_LANES_DISABLE,"LANE_DISABLEn",x) - `define GET_JESD_TX_LANES_DISABLE_LANE_DISABLEn(x) GetField(JESD_TX_LANES_DISABLE,"LANE_DISABLEn",x) - `define DEFAULT_JESD_TX_LANES_DISABLE_LANE_DISABLEn GetResetValue(JESD_TX_LANES_DISABLE,"LANE_DISABLEn") - `define UPDATE_JESD_TX_LANES_DISABLE_LANE_DISABLEn(x,y) UpdateField(JESD_TX_LANES_DISABLE,"LANE_DISABLEn",x,y) - - const reg_t JESD_TX_LINK_CONF0 = '{ 'h0210, "LINK_CONF0" , '{ - "OCTETS_PER_FRAME": '{ 18, 16, RW, 'h00 }, - "OCTETS_PER_MULTIFRAME": '{ 9, 0, RW, 'h03 }}}; - `define SET_JESD_TX_LINK_CONF0_OCTETS_PER_FRAME(x) SetField(JESD_TX_LINK_CONF0,"OCTETS_PER_FRAME",x) - `define GET_JESD_TX_LINK_CONF0_OCTETS_PER_FRAME(x) GetField(JESD_TX_LINK_CONF0,"OCTETS_PER_FRAME",x) - `define DEFAULT_JESD_TX_LINK_CONF0_OCTETS_PER_FRAME GetResetValue(JESD_TX_LINK_CONF0,"OCTETS_PER_FRAME") - `define UPDATE_JESD_TX_LINK_CONF0_OCTETS_PER_FRAME(x,y) UpdateField(JESD_TX_LINK_CONF0,"OCTETS_PER_FRAME",x,y) - `define SET_JESD_TX_LINK_CONF0_OCTETS_PER_MULTIFRAME(x) SetField(JESD_TX_LINK_CONF0,"OCTETS_PER_MULTIFRAME",x) - `define GET_JESD_TX_LINK_CONF0_OCTETS_PER_MULTIFRAME(x) GetField(JESD_TX_LINK_CONF0,"OCTETS_PER_MULTIFRAME",x) - `define DEFAULT_JESD_TX_LINK_CONF0_OCTETS_PER_MULTIFRAME GetResetValue(JESD_TX_LINK_CONF0,"OCTETS_PER_MULTIFRAME") - `define UPDATE_JESD_TX_LINK_CONF0_OCTETS_PER_MULTIFRAME(x,y) UpdateField(JESD_TX_LINK_CONF0,"OCTETS_PER_MULTIFRAME",x,y) - - const reg_t JESD_TX_LINK_CONF1 = '{ 'h0214, "LINK_CONF1" , '{ - "CHAR_REPLACEMENT_DISABLE": '{ 1, 1, RW, 'h0 }, - "SCRAMBLER_DISABLE": '{ 0, 0, RW, 'h0 }}}; - `define SET_JESD_TX_LINK_CONF1_CHAR_REPLACEMENT_DISABLE(x) SetField(JESD_TX_LINK_CONF1,"CHAR_REPLACEMENT_DISABLE",x) - `define GET_JESD_TX_LINK_CONF1_CHAR_REPLACEMENT_DISABLE(x) GetField(JESD_TX_LINK_CONF1,"CHAR_REPLACEMENT_DISABLE",x) - `define DEFAULT_JESD_TX_LINK_CONF1_CHAR_REPLACEMENT_DISABLE GetResetValue(JESD_TX_LINK_CONF1,"CHAR_REPLACEMENT_DISABLE") - `define UPDATE_JESD_TX_LINK_CONF1_CHAR_REPLACEMENT_DISABLE(x,y) UpdateField(JESD_TX_LINK_CONF1,"CHAR_REPLACEMENT_DISABLE",x,y) - `define SET_JESD_TX_LINK_CONF1_SCRAMBLER_DISABLE(x) SetField(JESD_TX_LINK_CONF1,"SCRAMBLER_DISABLE",x) - `define GET_JESD_TX_LINK_CONF1_SCRAMBLER_DISABLE(x) GetField(JESD_TX_LINK_CONF1,"SCRAMBLER_DISABLE",x) - `define DEFAULT_JESD_TX_LINK_CONF1_SCRAMBLER_DISABLE GetResetValue(JESD_TX_LINK_CONF1,"SCRAMBLER_DISABLE") - `define UPDATE_JESD_TX_LINK_CONF1_SCRAMBLER_DISABLE(x,y) UpdateField(JESD_TX_LINK_CONF1,"SCRAMBLER_DISABLE",x,y) - - const reg_t JESD_TX_MULTI_LINK_DISABLE = '{ 'h0218, "MULTI_LINK_DISABLE" , '{ - "LINK_DISABLEn": '{ n, n, RW, 'h0 }}}; - `define SET_JESD_TX_MULTI_LINK_DISABLE_LINK_DISABLEn(x) SetField(JESD_TX_MULTI_LINK_DISABLE,"LINK_DISABLEn",x) - `define GET_JESD_TX_MULTI_LINK_DISABLE_LINK_DISABLEn(x) GetField(JESD_TX_MULTI_LINK_DISABLE,"LINK_DISABLEn",x) - `define DEFAULT_JESD_TX_MULTI_LINK_DISABLE_LINK_DISABLEn GetResetValue(JESD_TX_MULTI_LINK_DISABLE,"LINK_DISABLEn") - `define UPDATE_JESD_TX_MULTI_LINK_DISABLE_LINK_DISABLEn(x,y) UpdateField(JESD_TX_MULTI_LINK_DISABLE,"LINK_DISABLEn",x,y) - - const reg_t JESD_TX_LINK_CONF4 = '{ 'h021c, "LINK_CONF4" , '{ - "TPL_BEATS_PER_MULTIFRAME": '{ 7, 0, RW, 'h00 }}}; - `define SET_JESD_TX_LINK_CONF4_TPL_BEATS_PER_MULTIFRAME(x) SetField(JESD_TX_LINK_CONF4,"TPL_BEATS_PER_MULTIFRAME",x) - `define GET_JESD_TX_LINK_CONF4_TPL_BEATS_PER_MULTIFRAME(x) GetField(JESD_TX_LINK_CONF4,"TPL_BEATS_PER_MULTIFRAME",x) - `define DEFAULT_JESD_TX_LINK_CONF4_TPL_BEATS_PER_MULTIFRAME GetResetValue(JESD_TX_LINK_CONF4,"TPL_BEATS_PER_MULTIFRAME") - `define UPDATE_JESD_TX_LINK_CONF4_TPL_BEATS_PER_MULTIFRAME(x,y) UpdateField(JESD_TX_LINK_CONF4,"TPL_BEATS_PER_MULTIFRAME",x,y) - - const reg_t JESD_TX_LINK_CONF2 = '{ 'h0240, "LINK_CONF2" , '{ - "SKIP_ILAS": '{ 2, 2, RW, 'h0 }, - "CONTINUOUS_ILAS": '{ 1, 1, RW, 'h0 }, - "CONTINUOUS_CGS": '{ 0, 0, RW, 'h0 }}}; - `define SET_JESD_TX_LINK_CONF2_SKIP_ILAS(x) SetField(JESD_TX_LINK_CONF2,"SKIP_ILAS",x) - `define GET_JESD_TX_LINK_CONF2_SKIP_ILAS(x) GetField(JESD_TX_LINK_CONF2,"SKIP_ILAS",x) - `define DEFAULT_JESD_TX_LINK_CONF2_SKIP_ILAS GetResetValue(JESD_TX_LINK_CONF2,"SKIP_ILAS") - `define UPDATE_JESD_TX_LINK_CONF2_SKIP_ILAS(x,y) UpdateField(JESD_TX_LINK_CONF2,"SKIP_ILAS",x,y) - `define SET_JESD_TX_LINK_CONF2_CONTINUOUS_ILAS(x) SetField(JESD_TX_LINK_CONF2,"CONTINUOUS_ILAS",x) - `define GET_JESD_TX_LINK_CONF2_CONTINUOUS_ILAS(x) GetField(JESD_TX_LINK_CONF2,"CONTINUOUS_ILAS",x) - `define DEFAULT_JESD_TX_LINK_CONF2_CONTINUOUS_ILAS GetResetValue(JESD_TX_LINK_CONF2,"CONTINUOUS_ILAS") - `define UPDATE_JESD_TX_LINK_CONF2_CONTINUOUS_ILAS(x,y) UpdateField(JESD_TX_LINK_CONF2,"CONTINUOUS_ILAS",x,y) - `define SET_JESD_TX_LINK_CONF2_CONTINUOUS_CGS(x) SetField(JESD_TX_LINK_CONF2,"CONTINUOUS_CGS",x) - `define GET_JESD_TX_LINK_CONF2_CONTINUOUS_CGS(x) GetField(JESD_TX_LINK_CONF2,"CONTINUOUS_CGS",x) - `define DEFAULT_JESD_TX_LINK_CONF2_CONTINUOUS_CGS GetResetValue(JESD_TX_LINK_CONF2,"CONTINUOUS_CGS") - `define UPDATE_JESD_TX_LINK_CONF2_CONTINUOUS_CGS(x,y) UpdateField(JESD_TX_LINK_CONF2,"CONTINUOUS_CGS",x,y) - - const reg_t JESD_TX_LINK_CONF3 = '{ 'h0244, "LINK_CONF3" , '{ - "MFRAMES_PER_ILAS": '{ 7, 0, RW, 'h03 }}}; - `define SET_JESD_TX_LINK_CONF3_MFRAMES_PER_ILAS(x) SetField(JESD_TX_LINK_CONF3,"MFRAMES_PER_ILAS",x) - `define GET_JESD_TX_LINK_CONF3_MFRAMES_PER_ILAS(x) GetField(JESD_TX_LINK_CONF3,"MFRAMES_PER_ILAS",x) - `define DEFAULT_JESD_TX_LINK_CONF3_MFRAMES_PER_ILAS GetResetValue(JESD_TX_LINK_CONF3,"MFRAMES_PER_ILAS") - `define UPDATE_JESD_TX_LINK_CONF3_MFRAMES_PER_ILAS(x,y) UpdateField(JESD_TX_LINK_CONF3,"MFRAMES_PER_ILAS",x,y) - - const reg_t JESD_TX_MANUAL_SYNC_REQUEST = '{ 'h0248, "MANUAL_SYNC_REQUEST" , '{ - "MANUAL_SYNC_REQUEST": '{ 0, 0, W1S, 'h0 }}}; - `define SET_JESD_TX_MANUAL_SYNC_REQUEST_MANUAL_SYNC_REQUEST(x) SetField(JESD_TX_MANUAL_SYNC_REQUEST,"MANUAL_SYNC_REQUEST",x) - `define GET_JESD_TX_MANUAL_SYNC_REQUEST_MANUAL_SYNC_REQUEST(x) GetField(JESD_TX_MANUAL_SYNC_REQUEST,"MANUAL_SYNC_REQUEST",x) - `define DEFAULT_JESD_TX_MANUAL_SYNC_REQUEST_MANUAL_SYNC_REQUEST GetResetValue(JESD_TX_MANUAL_SYNC_REQUEST,"MANUAL_SYNC_REQUEST") - `define UPDATE_JESD_TX_MANUAL_SYNC_REQUEST_MANUAL_SYNC_REQUEST(x,y) UpdateField(JESD_TX_MANUAL_SYNC_REQUEST,"MANUAL_SYNC_REQUEST",x,y) - - const reg_t JESD_TX_LINK_STATUS = '{ 'h0280, "LINK_STATUS" , '{ - "STATUS_SYNC": '{ 11, 4, ROV, 'h?? }, - "STATUS_STATE": '{ 1, 0, ROV, 'h00 }}}; - `define SET_JESD_TX_LINK_STATUS_STATUS_SYNC(x) SetField(JESD_TX_LINK_STATUS,"STATUS_SYNC",x) - `define GET_JESD_TX_LINK_STATUS_STATUS_SYNC(x) GetField(JESD_TX_LINK_STATUS,"STATUS_SYNC",x) - `define DEFAULT_JESD_TX_LINK_STATUS_STATUS_SYNC GetResetValue(JESD_TX_LINK_STATUS,"STATUS_SYNC") - `define UPDATE_JESD_TX_LINK_STATUS_STATUS_SYNC(x,y) UpdateField(JESD_TX_LINK_STATUS,"STATUS_SYNC",x,y) - `define SET_JESD_TX_LINK_STATUS_STATUS_STATE(x) SetField(JESD_TX_LINK_STATUS,"STATUS_STATE",x) - `define GET_JESD_TX_LINK_STATUS_STATUS_STATE(x) GetField(JESD_TX_LINK_STATUS,"STATUS_STATE",x) - `define DEFAULT_JESD_TX_LINK_STATUS_STATUS_STATE GetResetValue(JESD_TX_LINK_STATUS,"STATUS_STATE") - `define UPDATE_JESD_TX_LINK_STATUS_STATUS_STATE(x,y) UpdateField(JESD_TX_LINK_STATUS,"STATUS_STATE",x,y) - - const reg_t JESD_TX_LANEn_ILAS0 = '{ 'h0310 + 'h20*n, "LANEn_ILAS0" , '{ - "BID": '{ 27, 24, RW, 'h0 }, - "DID": '{ 23, 16, RW, 'h00 }}}; - `define SET_JESD_TX_LANEn_ILAS0_BID(x) SetField(JESD_TX_LANEn_ILAS0,"BID",x) - `define GET_JESD_TX_LANEn_ILAS0_BID(x) GetField(JESD_TX_LANEn_ILAS0,"BID",x) - `define DEFAULT_JESD_TX_LANEn_ILAS0_BID GetResetValue(JESD_TX_LANEn_ILAS0,"BID") - `define UPDATE_JESD_TX_LANEn_ILAS0_BID(x,y) UpdateField(JESD_TX_LANEn_ILAS0,"BID",x,y) - `define SET_JESD_TX_LANEn_ILAS0_DID(x) SetField(JESD_TX_LANEn_ILAS0,"DID",x) - `define GET_JESD_TX_LANEn_ILAS0_DID(x) GetField(JESD_TX_LANEn_ILAS0,"DID",x) - `define DEFAULT_JESD_TX_LANEn_ILAS0_DID GetResetValue(JESD_TX_LANEn_ILAS0,"DID") - `define UPDATE_JESD_TX_LANEn_ILAS0_DID(x,y) UpdateField(JESD_TX_LANEn_ILAS0,"DID",x,y) - - const reg_t JESD_TX_LANEn_ILAS1 = '{ 'h0314 + 'h20*n, "LANEn_ILAS1" , '{ - "K": '{ 28, 24, RW, 'h00 }, - "F": '{ 23, 16, RW, 'h00 }, - "SCR": '{ 15, 15, RW, 'h0 }, - "L": '{ 12, 8, RW, 'h00 }, - "LID": '{ 4, 0, RW, 'h00 }}}; - `define SET_JESD_TX_LANEn_ILAS1_K(x) SetField(JESD_TX_LANEn_ILAS1,"K",x) - `define GET_JESD_TX_LANEn_ILAS1_K(x) GetField(JESD_TX_LANEn_ILAS1,"K",x) - `define DEFAULT_JESD_TX_LANEn_ILAS1_K GetResetValue(JESD_TX_LANEn_ILAS1,"K") - `define UPDATE_JESD_TX_LANEn_ILAS1_K(x,y) UpdateField(JESD_TX_LANEn_ILAS1,"K",x,y) - `define SET_JESD_TX_LANEn_ILAS1_F(x) SetField(JESD_TX_LANEn_ILAS1,"F",x) - `define GET_JESD_TX_LANEn_ILAS1_F(x) GetField(JESD_TX_LANEn_ILAS1,"F",x) - `define DEFAULT_JESD_TX_LANEn_ILAS1_F GetResetValue(JESD_TX_LANEn_ILAS1,"F") - `define UPDATE_JESD_TX_LANEn_ILAS1_F(x,y) UpdateField(JESD_TX_LANEn_ILAS1,"F",x,y) - `define SET_JESD_TX_LANEn_ILAS1_SCR(x) SetField(JESD_TX_LANEn_ILAS1,"SCR",x) - `define GET_JESD_TX_LANEn_ILAS1_SCR(x) GetField(JESD_TX_LANEn_ILAS1,"SCR",x) - `define DEFAULT_JESD_TX_LANEn_ILAS1_SCR GetResetValue(JESD_TX_LANEn_ILAS1,"SCR") - `define UPDATE_JESD_TX_LANEn_ILAS1_SCR(x,y) UpdateField(JESD_TX_LANEn_ILAS1,"SCR",x,y) - `define SET_JESD_TX_LANEn_ILAS1_L(x) SetField(JESD_TX_LANEn_ILAS1,"L",x) - `define GET_JESD_TX_LANEn_ILAS1_L(x) GetField(JESD_TX_LANEn_ILAS1,"L",x) - `define DEFAULT_JESD_TX_LANEn_ILAS1_L GetResetValue(JESD_TX_LANEn_ILAS1,"L") - `define UPDATE_JESD_TX_LANEn_ILAS1_L(x,y) UpdateField(JESD_TX_LANEn_ILAS1,"L",x,y) - `define SET_JESD_TX_LANEn_ILAS1_LID(x) SetField(JESD_TX_LANEn_ILAS1,"LID",x) - `define GET_JESD_TX_LANEn_ILAS1_LID(x) GetField(JESD_TX_LANEn_ILAS1,"LID",x) - `define DEFAULT_JESD_TX_LANEn_ILAS1_LID GetResetValue(JESD_TX_LANEn_ILAS1,"LID") - `define UPDATE_JESD_TX_LANEn_ILAS1_LID(x,y) UpdateField(JESD_TX_LANEn_ILAS1,"LID",x,y) - - const reg_t JESD_TX_LANEn_ILAS2 = '{ 'h0318 + 'h20*n, "LANEn_ILAS2" , '{ - "JESDV": '{ 31, 29, RW, 'h0 }, - "S": '{ 28, 24, RW, 'h00 }, - "SUBCLASSV": '{ 23, 21, RW, 'h0 }, - "NP": '{ 20, 16, RW, 'h00 }, - "CS": '{ 15, 14, RW, 'h0 }, - "N": '{ 12, 8, RW, 'h00 }, - "M": '{ 7, 0, RW, 'h00 }}}; - `define SET_JESD_TX_LANEn_ILAS2_JESDV(x) SetField(JESD_TX_LANEn_ILAS2,"JESDV",x) - `define GET_JESD_TX_LANEn_ILAS2_JESDV(x) GetField(JESD_TX_LANEn_ILAS2,"JESDV",x) - `define DEFAULT_JESD_TX_LANEn_ILAS2_JESDV GetResetValue(JESD_TX_LANEn_ILAS2,"JESDV") - `define UPDATE_JESD_TX_LANEn_ILAS2_JESDV(x,y) UpdateField(JESD_TX_LANEn_ILAS2,"JESDV",x,y) - `define SET_JESD_TX_LANEn_ILAS2_S(x) SetField(JESD_TX_LANEn_ILAS2,"S",x) - `define GET_JESD_TX_LANEn_ILAS2_S(x) GetField(JESD_TX_LANEn_ILAS2,"S",x) - `define DEFAULT_JESD_TX_LANEn_ILAS2_S GetResetValue(JESD_TX_LANEn_ILAS2,"S") - `define UPDATE_JESD_TX_LANEn_ILAS2_S(x,y) UpdateField(JESD_TX_LANEn_ILAS2,"S",x,y) - `define SET_JESD_TX_LANEn_ILAS2_SUBCLASSV(x) SetField(JESD_TX_LANEn_ILAS2,"SUBCLASSV",x) - `define GET_JESD_TX_LANEn_ILAS2_SUBCLASSV(x) GetField(JESD_TX_LANEn_ILAS2,"SUBCLASSV",x) - `define DEFAULT_JESD_TX_LANEn_ILAS2_SUBCLASSV GetResetValue(JESD_TX_LANEn_ILAS2,"SUBCLASSV") - `define UPDATE_JESD_TX_LANEn_ILAS2_SUBCLASSV(x,y) UpdateField(JESD_TX_LANEn_ILAS2,"SUBCLASSV",x,y) - `define SET_JESD_TX_LANEn_ILAS2_NP(x) SetField(JESD_TX_LANEn_ILAS2,"NP",x) - `define GET_JESD_TX_LANEn_ILAS2_NP(x) GetField(JESD_TX_LANEn_ILAS2,"NP",x) - `define DEFAULT_JESD_TX_LANEn_ILAS2_NP GetResetValue(JESD_TX_LANEn_ILAS2,"NP") - `define UPDATE_JESD_TX_LANEn_ILAS2_NP(x,y) UpdateField(JESD_TX_LANEn_ILAS2,"NP",x,y) - `define SET_JESD_TX_LANEn_ILAS2_CS(x) SetField(JESD_TX_LANEn_ILAS2,"CS",x) - `define GET_JESD_TX_LANEn_ILAS2_CS(x) GetField(JESD_TX_LANEn_ILAS2,"CS",x) - `define DEFAULT_JESD_TX_LANEn_ILAS2_CS GetResetValue(JESD_TX_LANEn_ILAS2,"CS") - `define UPDATE_JESD_TX_LANEn_ILAS2_CS(x,y) UpdateField(JESD_TX_LANEn_ILAS2,"CS",x,y) - `define SET_JESD_TX_LANEn_ILAS2_N(x) SetField(JESD_TX_LANEn_ILAS2,"N",x) - `define GET_JESD_TX_LANEn_ILAS2_N(x) GetField(JESD_TX_LANEn_ILAS2,"N",x) - `define DEFAULT_JESD_TX_LANEn_ILAS2_N GetResetValue(JESD_TX_LANEn_ILAS2,"N") - `define UPDATE_JESD_TX_LANEn_ILAS2_N(x,y) UpdateField(JESD_TX_LANEn_ILAS2,"N",x,y) - `define SET_JESD_TX_LANEn_ILAS2_M(x) SetField(JESD_TX_LANEn_ILAS2,"M",x) - `define GET_JESD_TX_LANEn_ILAS2_M(x) GetField(JESD_TX_LANEn_ILAS2,"M",x) - `define DEFAULT_JESD_TX_LANEn_ILAS2_M GetResetValue(JESD_TX_LANEn_ILAS2,"M") - `define UPDATE_JESD_TX_LANEn_ILAS2_M(x,y) UpdateField(JESD_TX_LANEn_ILAS2,"M",x,y) - - const reg_t JESD_TX_LANEn_ILAS3 = '{ 'h031c + 'h20*n, "LANEn_ILAS3" , '{ - "FCHK": '{ 31, 24, RW, 'h00 }, - "HD": '{ 7, 7, RW, 'h0 }, - "CF": '{ 4, 0, RO, 'h00 }}}; - `define SET_JESD_TX_LANEn_ILAS3_FCHK(x) SetField(JESD_TX_LANEn_ILAS3,"FCHK",x) - `define GET_JESD_TX_LANEn_ILAS3_FCHK(x) GetField(JESD_TX_LANEn_ILAS3,"FCHK",x) - `define DEFAULT_JESD_TX_LANEn_ILAS3_FCHK GetResetValue(JESD_TX_LANEn_ILAS3,"FCHK") - `define UPDATE_JESD_TX_LANEn_ILAS3_FCHK(x,y) UpdateField(JESD_TX_LANEn_ILAS3,"FCHK",x,y) - `define SET_JESD_TX_LANEn_ILAS3_HD(x) SetField(JESD_TX_LANEn_ILAS3,"HD",x) - `define GET_JESD_TX_LANEn_ILAS3_HD(x) GetField(JESD_TX_LANEn_ILAS3,"HD",x) - `define DEFAULT_JESD_TX_LANEn_ILAS3_HD GetResetValue(JESD_TX_LANEn_ILAS3,"HD") - `define UPDATE_JESD_TX_LANEn_ILAS3_HD(x,y) UpdateField(JESD_TX_LANEn_ILAS3,"HD",x,y) - `define SET_JESD_TX_LANEn_ILAS3_CF(x) SetField(JESD_TX_LANEn_ILAS3,"CF",x) - `define GET_JESD_TX_LANEn_ILAS3_CF(x) GetField(JESD_TX_LANEn_ILAS3,"CF",x) - `define DEFAULT_JESD_TX_LANEn_ILAS3_CF GetResetValue(JESD_TX_LANEn_ILAS3,"CF") - `define UPDATE_JESD_TX_LANEn_ILAS3_CF(x,y) UpdateField(JESD_TX_LANEn_ILAS3,"CF",x,y) - - -endpackage + import logger_pkg::*; + import adi_api_pkg::*; + + class adi_regmap_jesd_tx extends adi_regmap; + + /* JESD204 TX (axi_jesd204_tx) */ + class VERSION_CLASS extends register_base; + field_base VERSION_MAJOR_F; + field_base VERSION_MINOR_F; + field_base VERSION_PATCH_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.VERSION_MAJOR_F = new("VERSION_MAJOR", 31, 16, RO, 'h1, this); + this.VERSION_MINOR_F = new("VERSION_MINOR", 15, 8, RO, 'h3, this); + this.VERSION_PATCH_F = new("VERSION_PATCH", 7, 0, RO, 'h61, this); + + this.initialization_done = 1; + endfunction: new + endclass: VERSION_CLASS + + class PERIPHERAL_ID_CLASS extends register_base; + field_base PERIPHERAL_ID_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.PERIPHERAL_ID_F = new("PERIPHERAL_ID", 31, 0, RO, 'hXXXXXXXX, this); + + this.initialization_done = 1; + endfunction: new + endclass: PERIPHERAL_ID_CLASS + + class SCRATCH_CLASS extends register_base; + field_base SCRATCH_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.SCRATCH_F = new("SCRATCH", 31, 0, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: SCRATCH_CLASS + + class IDENTIFICATION_CLASS extends register_base; + field_base IDENTIFICATION_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.IDENTIFICATION_F = new("IDENTIFICATION", 31, 0, RO, 'h32303454, this); + + this.initialization_done = 1; + endfunction: new + endclass: IDENTIFICATION_CLASS + + class SYNTH_NUM_LANES_CLASS extends register_base; + field_base SYNTH_NUM_LANES_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.SYNTH_NUM_LANES_F = new("SYNTH_NUM_LANES", 31, 0, RO, 'hXXXXXXXX, this); + + this.initialization_done = 1; + endfunction: new + endclass: SYNTH_NUM_LANES_CLASS + + class SYNTH_DATA_PATH_WIDTH_CLASS extends register_base; + field_base TPL_DATA_PATH_WIDTH_F; + field_base SYNTH_DATA_PATH_WIDTH_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.TPL_DATA_PATH_WIDTH_F = new("TPL_DATA_PATH_WIDTH", 15, 8, RO, 'h2, this); + this.SYNTH_DATA_PATH_WIDTH_F = new("SYNTH_DATA_PATH_WIDTH", 7, 0, RO, 'h2, this); + + this.initialization_done = 1; + endfunction: new + endclass: SYNTH_DATA_PATH_WIDTH_CLASS + + class SYNTH_1_CLASS extends register_base; + field_base ENABLE_CHAR_REPLACE_F; + field_base ASYNC_CLK_F; + field_base ENCODER_F; + field_base NUM_LINKS_F; + + function new( + input string name, + input int address, + input int ASYNC_CLK, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.ENABLE_CHAR_REPLACE_F = new("ENABLE_CHAR_REPLACE", 18, 18, RO, 'h0, this); + this.ASYNC_CLK_F = new("ASYNC_CLK", 12, 12, RO, ASYNC_CLK, this); + this.ENCODER_F = new("ENCODER", 9, 8, RO, 'hXXXXXXXX, this); + this.NUM_LINKS_F = new("NUM_LINKS", 7, 0, RO, 'hXXXXXXXX, this); + + this.initialization_done = 1; + endfunction: new + endclass: SYNTH_1_CLASS + + class IRQ_ENABLE_CLASS extends register_base; + field_base IRQ_ENABLE_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.IRQ_ENABLE_F = new("IRQ_ENABLE", 31, 0, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: IRQ_ENABLE_CLASS + + class IRQ_PENDING_CLASS extends register_base; + field_base IRQ_PENDING_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.IRQ_PENDING_F = new("IRQ_PENDING", 31, 0, RW1CV, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: IRQ_PENDING_CLASS + + class IRQ_SOURCE_CLASS extends register_base; + field_base IRQ_SOURCE_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.IRQ_SOURCE_F = new("IRQ_SOURCE", 31, 0, RW1CV, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: IRQ_SOURCE_CLASS + + class LINK_DISABLE_CLASS extends register_base; + field_base LINK_DISABLE_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.LINK_DISABLE_F = new("LINK_DISABLE", 0, 0, RW, 'h1, this); + + this.initialization_done = 1; + endfunction: new + endclass: LINK_DISABLE_CLASS + + class LINK_STATE_CLASS extends register_base; + field_base EXTERNAL_RESET_F; + field_base LINK_STATE_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.EXTERNAL_RESET_F = new("EXTERNAL_RESET", 1, 1, RO, 'hXXXXXXXX, this); + this.LINK_STATE_F = new("LINK_STATE", 0, 0, RO, 'h1, this); + + this.initialization_done = 1; + endfunction: new + endclass: LINK_STATE_CLASS + + class LINK_CLK_FREQ_CLASS extends register_base; + field_base LINK_CLK_FREQ_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.LINK_CLK_FREQ_F = new("LINK_CLK_FREQ", 31, 0, ROV, 'hXXXXXXXX, this); + + this.initialization_done = 1; + endfunction: new + endclass: LINK_CLK_FREQ_CLASS + + class DEVICE_CLK_FREQ_CLASS extends register_base; + field_base DEVICE_CLK_FREQ_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.DEVICE_CLK_FREQ_F = new("DEVICE_CLK_FREQ", 20, 0, ROV, 'hXXXXXXXX, this); + + this.initialization_done = 1; + endfunction: new + endclass: DEVICE_CLK_FREQ_CLASS + + class SYSREF_CONF_CLASS extends register_base; + field_base SYSREF_ONESHOT_F; + field_base SYSREF_DISABLE_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.SYSREF_ONESHOT_F = new("SYSREF_ONESHOT", 1, 1, RW, 'h0, this); + this.SYSREF_DISABLE_F = new("SYSREF_DISABLE", 0, 0, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: SYSREF_CONF_CLASS + + class SYSREF_LMFC_OFFSET_CLASS extends register_base; + field_base SYSREF_LMFC_OFFSET_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.SYSREF_LMFC_OFFSET_F = new("SYSREF_LMFC_OFFSET", 9, 0, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: SYSREF_LMFC_OFFSET_CLASS + + class SYSREF_STATUS_CLASS extends register_base; + field_base SYSREF_ALIGNMENT_ERROR_F; + field_base SYSREF_DETECTED_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.SYSREF_ALIGNMENT_ERROR_F = new("SYSREF_ALIGNMENT_ERROR", 1, 1, RW1CV, 'h0, this); + this.SYSREF_DETECTED_F = new("SYSREF_DETECTED", 0, 0, RW1CV, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: SYSREF_STATUS_CLASS + + class LANES_DISABLE_CLASS extends register_base; + field_base LANE_DISABLE0_F; + field_base LANE_DISABLE1_F; + field_base LANE_DISABLE2_F; + field_base LANE_DISABLE3_F; + field_base LANE_DISABLE4_F; + field_base LANE_DISABLE5_F; + field_base LANE_DISABLE6_F; + field_base LANE_DISABLE7_F; + field_base LANE_DISABLE8_F; + field_base LANE_DISABLE9_F; + field_base LANE_DISABLE10_F; + field_base LANE_DISABLE11_F; + field_base LANE_DISABLE12_F; + field_base LANE_DISABLE13_F; + field_base LANE_DISABLE14_F; + field_base LANE_DISABLE15_F; + field_base LANE_DISABLE16_F; + field_base LANE_DISABLE17_F; + field_base LANE_DISABLE18_F; + field_base LANE_DISABLE19_F; + field_base LANE_DISABLE20_F; + field_base LANE_DISABLE21_F; + field_base LANE_DISABLE22_F; + field_base LANE_DISABLE23_F; + field_base LANE_DISABLE24_F; + field_base LANE_DISABLE25_F; + field_base LANE_DISABLE26_F; + field_base LANE_DISABLE27_F; + field_base LANE_DISABLE28_F; + field_base LANE_DISABLE29_F; + field_base LANE_DISABLE30_F; + field_base LANE_DISABLE31_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.LANE_DISABLE0_F = new("LANE_DISABLE0", 0, 0, RW, 'h0, this); + this.LANE_DISABLE1_F = new("LANE_DISABLE1", 1, 1, RW, 'h0, this); + this.LANE_DISABLE2_F = new("LANE_DISABLE2", 2, 2, RW, 'h0, this); + this.LANE_DISABLE3_F = new("LANE_DISABLE3", 3, 3, RW, 'h0, this); + this.LANE_DISABLE4_F = new("LANE_DISABLE4", 4, 4, RW, 'h0, this); + this.LANE_DISABLE5_F = new("LANE_DISABLE5", 5, 5, RW, 'h0, this); + this.LANE_DISABLE6_F = new("LANE_DISABLE6", 6, 6, RW, 'h0, this); + this.LANE_DISABLE7_F = new("LANE_DISABLE7", 7, 7, RW, 'h0, this); + this.LANE_DISABLE8_F = new("LANE_DISABLE8", 8, 8, RW, 'h0, this); + this.LANE_DISABLE9_F = new("LANE_DISABLE9", 9, 9, RW, 'h0, this); + this.LANE_DISABLE10_F = new("LANE_DISABLE10", 10, 10, RW, 'h0, this); + this.LANE_DISABLE11_F = new("LANE_DISABLE11", 11, 11, RW, 'h0, this); + this.LANE_DISABLE12_F = new("LANE_DISABLE12", 12, 12, RW, 'h0, this); + this.LANE_DISABLE13_F = new("LANE_DISABLE13", 13, 13, RW, 'h0, this); + this.LANE_DISABLE14_F = new("LANE_DISABLE14", 14, 14, RW, 'h0, this); + this.LANE_DISABLE15_F = new("LANE_DISABLE15", 15, 15, RW, 'h0, this); + this.LANE_DISABLE16_F = new("LANE_DISABLE16", 16, 16, RW, 'h0, this); + this.LANE_DISABLE17_F = new("LANE_DISABLE17", 17, 17, RW, 'h0, this); + this.LANE_DISABLE18_F = new("LANE_DISABLE18", 18, 18, RW, 'h0, this); + this.LANE_DISABLE19_F = new("LANE_DISABLE19", 19, 19, RW, 'h0, this); + this.LANE_DISABLE20_F = new("LANE_DISABLE20", 20, 20, RW, 'h0, this); + this.LANE_DISABLE21_F = new("LANE_DISABLE21", 21, 21, RW, 'h0, this); + this.LANE_DISABLE22_F = new("LANE_DISABLE22", 22, 22, RW, 'h0, this); + this.LANE_DISABLE23_F = new("LANE_DISABLE23", 23, 23, RW, 'h0, this); + this.LANE_DISABLE24_F = new("LANE_DISABLE24", 24, 24, RW, 'h0, this); + this.LANE_DISABLE25_F = new("LANE_DISABLE25", 25, 25, RW, 'h0, this); + this.LANE_DISABLE26_F = new("LANE_DISABLE26", 26, 26, RW, 'h0, this); + this.LANE_DISABLE27_F = new("LANE_DISABLE27", 27, 27, RW, 'h0, this); + this.LANE_DISABLE28_F = new("LANE_DISABLE28", 28, 28, RW, 'h0, this); + this.LANE_DISABLE29_F = new("LANE_DISABLE29", 29, 29, RW, 'h0, this); + this.LANE_DISABLE30_F = new("LANE_DISABLE30", 30, 30, RW, 'h0, this); + this.LANE_DISABLE31_F = new("LANE_DISABLE31", 31, 31, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: LANES_DISABLE_CLASS + + class LINK_CONF0_CLASS extends register_base; + field_base OCTETS_PER_FRAME_F; + field_base OCTETS_PER_MULTIFRAME_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.OCTETS_PER_FRAME_F = new("OCTETS_PER_FRAME", 18, 16, RW, 'h0, this); + this.OCTETS_PER_MULTIFRAME_F = new("OCTETS_PER_MULTIFRAME", 9, 0, RW, 'h3, this); + + this.initialization_done = 1; + endfunction: new + endclass: LINK_CONF0_CLASS + + class LINK_CONF1_CLASS extends register_base; + field_base CHAR_REPLACEMENT_DISABLE_F; + field_base SCRAMBLER_DISABLE_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.CHAR_REPLACEMENT_DISABLE_F = new("CHAR_REPLACEMENT_DISABLE", 1, 1, RW, 'h0, this); + this.SCRAMBLER_DISABLE_F = new("SCRAMBLER_DISABLE", 0, 0, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: LINK_CONF1_CLASS + + class MULTI_LINK_DISABLE_CLASS extends register_base; + field_base LINK_DISABLE0_F; + field_base LINK_DISABLE1_F; + field_base LINK_DISABLE2_F; + field_base LINK_DISABLE3_F; + field_base LINK_DISABLE4_F; + field_base LINK_DISABLE5_F; + field_base LINK_DISABLE6_F; + field_base LINK_DISABLE7_F; + field_base LINK_DISABLE8_F; + field_base LINK_DISABLE9_F; + field_base LINK_DISABLE10_F; + field_base LINK_DISABLE11_F; + field_base LINK_DISABLE12_F; + field_base LINK_DISABLE13_F; + field_base LINK_DISABLE14_F; + field_base LINK_DISABLE15_F; + field_base LINK_DISABLE16_F; + field_base LINK_DISABLE17_F; + field_base LINK_DISABLE18_F; + field_base LINK_DISABLE19_F; + field_base LINK_DISABLE20_F; + field_base LINK_DISABLE21_F; + field_base LINK_DISABLE22_F; + field_base LINK_DISABLE23_F; + field_base LINK_DISABLE24_F; + field_base LINK_DISABLE25_F; + field_base LINK_DISABLE26_F; + field_base LINK_DISABLE27_F; + field_base LINK_DISABLE28_F; + field_base LINK_DISABLE29_F; + field_base LINK_DISABLE30_F; + field_base LINK_DISABLE31_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.LINK_DISABLE0_F = new("LINK_DISABLE0", 0, 0, RW, 'h0, this); + this.LINK_DISABLE1_F = new("LINK_DISABLE1", 1, 1, RW, 'h0, this); + this.LINK_DISABLE2_F = new("LINK_DISABLE2", 2, 2, RW, 'h0, this); + this.LINK_DISABLE3_F = new("LINK_DISABLE3", 3, 3, RW, 'h0, this); + this.LINK_DISABLE4_F = new("LINK_DISABLE4", 4, 4, RW, 'h0, this); + this.LINK_DISABLE5_F = new("LINK_DISABLE5", 5, 5, RW, 'h0, this); + this.LINK_DISABLE6_F = new("LINK_DISABLE6", 6, 6, RW, 'h0, this); + this.LINK_DISABLE7_F = new("LINK_DISABLE7", 7, 7, RW, 'h0, this); + this.LINK_DISABLE8_F = new("LINK_DISABLE8", 8, 8, RW, 'h0, this); + this.LINK_DISABLE9_F = new("LINK_DISABLE9", 9, 9, RW, 'h0, this); + this.LINK_DISABLE10_F = new("LINK_DISABLE10", 10, 10, RW, 'h0, this); + this.LINK_DISABLE11_F = new("LINK_DISABLE11", 11, 11, RW, 'h0, this); + this.LINK_DISABLE12_F = new("LINK_DISABLE12", 12, 12, RW, 'h0, this); + this.LINK_DISABLE13_F = new("LINK_DISABLE13", 13, 13, RW, 'h0, this); + this.LINK_DISABLE14_F = new("LINK_DISABLE14", 14, 14, RW, 'h0, this); + this.LINK_DISABLE15_F = new("LINK_DISABLE15", 15, 15, RW, 'h0, this); + this.LINK_DISABLE16_F = new("LINK_DISABLE16", 16, 16, RW, 'h0, this); + this.LINK_DISABLE17_F = new("LINK_DISABLE17", 17, 17, RW, 'h0, this); + this.LINK_DISABLE18_F = new("LINK_DISABLE18", 18, 18, RW, 'h0, this); + this.LINK_DISABLE19_F = new("LINK_DISABLE19", 19, 19, RW, 'h0, this); + this.LINK_DISABLE20_F = new("LINK_DISABLE20", 20, 20, RW, 'h0, this); + this.LINK_DISABLE21_F = new("LINK_DISABLE21", 21, 21, RW, 'h0, this); + this.LINK_DISABLE22_F = new("LINK_DISABLE22", 22, 22, RW, 'h0, this); + this.LINK_DISABLE23_F = new("LINK_DISABLE23", 23, 23, RW, 'h0, this); + this.LINK_DISABLE24_F = new("LINK_DISABLE24", 24, 24, RW, 'h0, this); + this.LINK_DISABLE25_F = new("LINK_DISABLE25", 25, 25, RW, 'h0, this); + this.LINK_DISABLE26_F = new("LINK_DISABLE26", 26, 26, RW, 'h0, this); + this.LINK_DISABLE27_F = new("LINK_DISABLE27", 27, 27, RW, 'h0, this); + this.LINK_DISABLE28_F = new("LINK_DISABLE28", 28, 28, RW, 'h0, this); + this.LINK_DISABLE29_F = new("LINK_DISABLE29", 29, 29, RW, 'h0, this); + this.LINK_DISABLE30_F = new("LINK_DISABLE30", 30, 30, RW, 'h0, this); + this.LINK_DISABLE31_F = new("LINK_DISABLE31", 31, 31, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: MULTI_LINK_DISABLE_CLASS + + class LINK_CONF4_CLASS extends register_base; + field_base TPL_BEATS_PER_MULTIFRAME_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.TPL_BEATS_PER_MULTIFRAME_F = new("TPL_BEATS_PER_MULTIFRAME", 7, 0, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: LINK_CONF4_CLASS + + class LINK_CONF2_CLASS extends register_base; + field_base SKIP_ILAS_F; + field_base CONTINUOUS_ILAS_F; + field_base CONTINUOUS_CGS_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.SKIP_ILAS_F = new("SKIP_ILAS", 2, 2, RW, 'h0, this); + this.CONTINUOUS_ILAS_F = new("CONTINUOUS_ILAS", 1, 1, RW, 'h0, this); + this.CONTINUOUS_CGS_F = new("CONTINUOUS_CGS", 0, 0, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: LINK_CONF2_CLASS + + class LINK_CONF3_CLASS extends register_base; + field_base MFRAMES_PER_ILAS_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.MFRAMES_PER_ILAS_F = new("MFRAMES_PER_ILAS", 7, 0, RW, 'h3, this); + + this.initialization_done = 1; + endfunction: new + endclass: LINK_CONF3_CLASS + + class MANUAL_SYNC_REQUEST_CLASS extends register_base; + field_base MANUAL_SYNC_REQUEST_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.MANUAL_SYNC_REQUEST_F = new("MANUAL_SYNC_REQUEST", 0, 0, W1S, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: MANUAL_SYNC_REQUEST_CLASS + + class LINK_STATUS_CLASS extends register_base; + field_base STATUS_SYNC_F; + field_base STATUS_STATE_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.STATUS_SYNC_F = new("STATUS_SYNC", 11, 4, ROV, 'hXXXXXXXX, this); + this.STATUS_STATE_F = new("STATUS_STATE", 1, 0, ROV, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: LINK_STATUS_CLASS + + class LANEn_ILAS0_CLASS extends register_base; + field_base BID_F; + field_base DID_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.BID_F = new("BID", 27, 24, RW, 'h0, this); + this.DID_F = new("DID", 23, 16, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: LANEn_ILAS0_CLASS + + class LANEn_ILAS1_CLASS extends register_base; + field_base K_F; + field_base F_F; + field_base SCR_F; + field_base L_F; + field_base LID_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.K_F = new("K", 28, 24, RW, 'h0, this); + this.F_F = new("F", 23, 16, RW, 'h0, this); + this.SCR_F = new("SCR", 15, 15, RW, 'h0, this); + this.L_F = new("L", 12, 8, RW, 'h0, this); + this.LID_F = new("LID", 4, 0, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: LANEn_ILAS1_CLASS + + class LANEn_ILAS2_CLASS extends register_base; + field_base JESDV_F; + field_base S_F; + field_base SUBCLASSV_F; + field_base NP_F; + field_base CS_F; + field_base N_F; + field_base M_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.JESDV_F = new("JESDV", 31, 29, RW, 'h0, this); + this.S_F = new("S", 28, 24, RW, 'h0, this); + this.SUBCLASSV_F = new("SUBCLASSV", 23, 21, RW, 'h0, this); + this.NP_F = new("NP", 20, 16, RW, 'h0, this); + this.CS_F = new("CS", 15, 14, RW, 'h0, this); + this.N_F = new("N", 12, 8, RW, 'h0, this); + this.M_F = new("M", 7, 0, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: LANEn_ILAS2_CLASS + + class LANEn_ILAS3_CLASS extends register_base; + field_base FCHK_F; + field_base HD_F; + field_base CF_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.FCHK_F = new("FCHK", 31, 24, RW, 'h0, this); + this.HD_F = new("HD", 7, 7, RW, 'h0, this); + this.CF_F = new("CF", 4, 0, RO, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: LANEn_ILAS3_CLASS + + VERSION_CLASS VERSION_R; + PERIPHERAL_ID_CLASS PERIPHERAL_ID_R; + SCRATCH_CLASS SCRATCH_R; + IDENTIFICATION_CLASS IDENTIFICATION_R; + SYNTH_NUM_LANES_CLASS SYNTH_NUM_LANES_R; + SYNTH_DATA_PATH_WIDTH_CLASS SYNTH_DATA_PATH_WIDTH_R; + SYNTH_1_CLASS SYNTH_1_R; + IRQ_ENABLE_CLASS IRQ_ENABLE_R; + IRQ_PENDING_CLASS IRQ_PENDING_R; + IRQ_SOURCE_CLASS IRQ_SOURCE_R; + LINK_DISABLE_CLASS LINK_DISABLE_R; + LINK_STATE_CLASS LINK_STATE_R; + LINK_CLK_FREQ_CLASS LINK_CLK_FREQ_R; + DEVICE_CLK_FREQ_CLASS DEVICE_CLK_FREQ_R; + SYSREF_CONF_CLASS SYSREF_CONF_R; + SYSREF_LMFC_OFFSET_CLASS SYSREF_LMFC_OFFSET_R; + SYSREF_STATUS_CLASS SYSREF_STATUS_R; + LANES_DISABLE_CLASS LANES_DISABLE_R; + LINK_CONF0_CLASS LINK_CONF0_R; + LINK_CONF1_CLASS LINK_CONF1_R; + MULTI_LINK_DISABLE_CLASS MULTI_LINK_DISABLE_R; + LINK_CONF4_CLASS LINK_CONF4_R; + LINK_CONF2_CLASS LINK_CONF2_R; + LINK_CONF3_CLASS LINK_CONF3_R; + MANUAL_SYNC_REQUEST_CLASS MANUAL_SYNC_REQUEST_R; + LINK_STATUS_CLASS LINK_STATUS_R; + LANEn_ILAS0_CLASS LANE0_ILAS0_R; + LANEn_ILAS0_CLASS LANE1_ILAS0_R; + LANEn_ILAS0_CLASS LANE2_ILAS0_R; + LANEn_ILAS0_CLASS LANE3_ILAS0_R; + LANEn_ILAS0_CLASS LANE4_ILAS0_R; + LANEn_ILAS0_CLASS LANE5_ILAS0_R; + LANEn_ILAS0_CLASS LANE6_ILAS0_R; + LANEn_ILAS0_CLASS LANE7_ILAS0_R; + LANEn_ILAS0_CLASS LANE8_ILAS0_R; + LANEn_ILAS0_CLASS LANE9_ILAS0_R; + LANEn_ILAS0_CLASS LANE10_ILAS0_R; + LANEn_ILAS0_CLASS LANE11_ILAS0_R; + LANEn_ILAS0_CLASS LANE12_ILAS0_R; + LANEn_ILAS0_CLASS LANE13_ILAS0_R; + LANEn_ILAS0_CLASS LANE14_ILAS0_R; + LANEn_ILAS0_CLASS LANE15_ILAS0_R; + LANEn_ILAS0_CLASS LANE16_ILAS0_R; + LANEn_ILAS0_CLASS LANE17_ILAS0_R; + LANEn_ILAS0_CLASS LANE18_ILAS0_R; + LANEn_ILAS0_CLASS LANE19_ILAS0_R; + LANEn_ILAS0_CLASS LANE20_ILAS0_R; + LANEn_ILAS0_CLASS LANE21_ILAS0_R; + LANEn_ILAS0_CLASS LANE22_ILAS0_R; + LANEn_ILAS0_CLASS LANE23_ILAS0_R; + LANEn_ILAS0_CLASS LANE24_ILAS0_R; + LANEn_ILAS0_CLASS LANE25_ILAS0_R; + LANEn_ILAS0_CLASS LANE26_ILAS0_R; + LANEn_ILAS0_CLASS LANE27_ILAS0_R; + LANEn_ILAS0_CLASS LANE28_ILAS0_R; + LANEn_ILAS0_CLASS LANE29_ILAS0_R; + LANEn_ILAS0_CLASS LANE30_ILAS0_R; + LANEn_ILAS0_CLASS LANE31_ILAS0_R; + LANEn_ILAS1_CLASS LANE0_ILAS1_R; + LANEn_ILAS1_CLASS LANE1_ILAS1_R; + LANEn_ILAS1_CLASS LANE2_ILAS1_R; + LANEn_ILAS1_CLASS LANE3_ILAS1_R; + LANEn_ILAS1_CLASS LANE4_ILAS1_R; + LANEn_ILAS1_CLASS LANE5_ILAS1_R; + LANEn_ILAS1_CLASS LANE6_ILAS1_R; + LANEn_ILAS1_CLASS LANE7_ILAS1_R; + LANEn_ILAS1_CLASS LANE8_ILAS1_R; + LANEn_ILAS1_CLASS LANE9_ILAS1_R; + LANEn_ILAS1_CLASS LANE10_ILAS1_R; + LANEn_ILAS1_CLASS LANE11_ILAS1_R; + LANEn_ILAS1_CLASS LANE12_ILAS1_R; + LANEn_ILAS1_CLASS LANE13_ILAS1_R; + LANEn_ILAS1_CLASS LANE14_ILAS1_R; + LANEn_ILAS1_CLASS LANE15_ILAS1_R; + LANEn_ILAS1_CLASS LANE16_ILAS1_R; + LANEn_ILAS1_CLASS LANE17_ILAS1_R; + LANEn_ILAS1_CLASS LANE18_ILAS1_R; + LANEn_ILAS1_CLASS LANE19_ILAS1_R; + LANEn_ILAS1_CLASS LANE20_ILAS1_R; + LANEn_ILAS1_CLASS LANE21_ILAS1_R; + LANEn_ILAS1_CLASS LANE22_ILAS1_R; + LANEn_ILAS1_CLASS LANE23_ILAS1_R; + LANEn_ILAS1_CLASS LANE24_ILAS1_R; + LANEn_ILAS1_CLASS LANE25_ILAS1_R; + LANEn_ILAS1_CLASS LANE26_ILAS1_R; + LANEn_ILAS1_CLASS LANE27_ILAS1_R; + LANEn_ILAS1_CLASS LANE28_ILAS1_R; + LANEn_ILAS1_CLASS LANE29_ILAS1_R; + LANEn_ILAS1_CLASS LANE30_ILAS1_R; + LANEn_ILAS1_CLASS LANE31_ILAS1_R; + LANEn_ILAS2_CLASS LANE0_ILAS2_R; + LANEn_ILAS2_CLASS LANE1_ILAS2_R; + LANEn_ILAS2_CLASS LANE2_ILAS2_R; + LANEn_ILAS2_CLASS LANE3_ILAS2_R; + LANEn_ILAS2_CLASS LANE4_ILAS2_R; + LANEn_ILAS2_CLASS LANE5_ILAS2_R; + LANEn_ILAS2_CLASS LANE6_ILAS2_R; + LANEn_ILAS2_CLASS LANE7_ILAS2_R; + LANEn_ILAS2_CLASS LANE8_ILAS2_R; + LANEn_ILAS2_CLASS LANE9_ILAS2_R; + LANEn_ILAS2_CLASS LANE10_ILAS2_R; + LANEn_ILAS2_CLASS LANE11_ILAS2_R; + LANEn_ILAS2_CLASS LANE12_ILAS2_R; + LANEn_ILAS2_CLASS LANE13_ILAS2_R; + LANEn_ILAS2_CLASS LANE14_ILAS2_R; + LANEn_ILAS2_CLASS LANE15_ILAS2_R; + LANEn_ILAS2_CLASS LANE16_ILAS2_R; + LANEn_ILAS2_CLASS LANE17_ILAS2_R; + LANEn_ILAS2_CLASS LANE18_ILAS2_R; + LANEn_ILAS2_CLASS LANE19_ILAS2_R; + LANEn_ILAS2_CLASS LANE20_ILAS2_R; + LANEn_ILAS2_CLASS LANE21_ILAS2_R; + LANEn_ILAS2_CLASS LANE22_ILAS2_R; + LANEn_ILAS2_CLASS LANE23_ILAS2_R; + LANEn_ILAS2_CLASS LANE24_ILAS2_R; + LANEn_ILAS2_CLASS LANE25_ILAS2_R; + LANEn_ILAS2_CLASS LANE26_ILAS2_R; + LANEn_ILAS2_CLASS LANE27_ILAS2_R; + LANEn_ILAS2_CLASS LANE28_ILAS2_R; + LANEn_ILAS2_CLASS LANE29_ILAS2_R; + LANEn_ILAS2_CLASS LANE30_ILAS2_R; + LANEn_ILAS2_CLASS LANE31_ILAS2_R; + LANEn_ILAS3_CLASS LANE0_ILAS3_R; + LANEn_ILAS3_CLASS LANE1_ILAS3_R; + LANEn_ILAS3_CLASS LANE2_ILAS3_R; + LANEn_ILAS3_CLASS LANE3_ILAS3_R; + LANEn_ILAS3_CLASS LANE4_ILAS3_R; + LANEn_ILAS3_CLASS LANE5_ILAS3_R; + LANEn_ILAS3_CLASS LANE6_ILAS3_R; + LANEn_ILAS3_CLASS LANE7_ILAS3_R; + LANEn_ILAS3_CLASS LANE8_ILAS3_R; + LANEn_ILAS3_CLASS LANE9_ILAS3_R; + LANEn_ILAS3_CLASS LANE10_ILAS3_R; + LANEn_ILAS3_CLASS LANE11_ILAS3_R; + LANEn_ILAS3_CLASS LANE12_ILAS3_R; + LANEn_ILAS3_CLASS LANE13_ILAS3_R; + LANEn_ILAS3_CLASS LANE14_ILAS3_R; + LANEn_ILAS3_CLASS LANE15_ILAS3_R; + LANEn_ILAS3_CLASS LANE16_ILAS3_R; + LANEn_ILAS3_CLASS LANE17_ILAS3_R; + LANEn_ILAS3_CLASS LANE18_ILAS3_R; + LANEn_ILAS3_CLASS LANE19_ILAS3_R; + LANEn_ILAS3_CLASS LANE20_ILAS3_R; + LANEn_ILAS3_CLASS LANE21_ILAS3_R; + LANEn_ILAS3_CLASS LANE22_ILAS3_R; + LANEn_ILAS3_CLASS LANE23_ILAS3_R; + LANEn_ILAS3_CLASS LANE24_ILAS3_R; + LANEn_ILAS3_CLASS LANE25_ILAS3_R; + LANEn_ILAS3_CLASS LANE26_ILAS3_R; + LANEn_ILAS3_CLASS LANE27_ILAS3_R; + LANEn_ILAS3_CLASS LANE28_ILAS3_R; + LANEn_ILAS3_CLASS LANE29_ILAS3_R; + LANEn_ILAS3_CLASS LANE30_ILAS3_R; + LANEn_ILAS3_CLASS LANE31_ILAS3_R; + + function new( + input string name, + input int address, + input int ASYNC_CLK, + input adi_api parent = null); + + super.new(name, address, parent); + + this.VERSION_R = new("VERSION", 'h0, this); + this.PERIPHERAL_ID_R = new("PERIPHERAL_ID", 'h4, this); + this.SCRATCH_R = new("SCRATCH", 'h8, this); + this.IDENTIFICATION_R = new("IDENTIFICATION", 'hc, this); + this.SYNTH_NUM_LANES_R = new("SYNTH_NUM_LANES", 'h10, this); + this.SYNTH_DATA_PATH_WIDTH_R = new("SYNTH_DATA_PATH_WIDTH", 'h14, this); + this.SYNTH_1_R = new("SYNTH_1", 'h18, ASYNC_CLK, this); + this.IRQ_ENABLE_R = new("IRQ_ENABLE", 'h80, this); + this.IRQ_PENDING_R = new("IRQ_PENDING", 'h84, this); + this.IRQ_SOURCE_R = new("IRQ_SOURCE", 'h88, this); + this.LINK_DISABLE_R = new("LINK_DISABLE", 'hc0, this); + this.LINK_STATE_R = new("LINK_STATE", 'hc4, this); + this.LINK_CLK_FREQ_R = new("LINK_CLK_FREQ", 'hc8, this); + this.DEVICE_CLK_FREQ_R = new("DEVICE_CLK_FREQ", 'hcc, this); + this.SYSREF_CONF_R = new("SYSREF_CONF", 'h100, this); + this.SYSREF_LMFC_OFFSET_R = new("SYSREF_LMFC_OFFSET", 'h104, this); + this.SYSREF_STATUS_R = new("SYSREF_STATUS", 'h108, this); + this.LANES_DISABLE_R = new("LANES_DISABLE", 'h200, this); + this.LINK_CONF0_R = new("LINK_CONF0", 'h210, this); + this.LINK_CONF1_R = new("LINK_CONF1", 'h214, this); + this.MULTI_LINK_DISABLE_R = new("MULTI_LINK_DISABLE", 'h218, this); + this.LINK_CONF4_R = new("LINK_CONF4", 'h21c, this); + this.LINK_CONF2_R = new("LINK_CONF2", 'h240, this); + this.LINK_CONF3_R = new("LINK_CONF3", 'h244, this); + this.MANUAL_SYNC_REQUEST_R = new("MANUAL_SYNC_REQUEST", 'h248, this); + this.LINK_STATUS_R = new("LINK_STATUS", 'h280, this); + this.LANE0_ILAS0_R = new("LANE0_ILAS0", 'h310, this); + this.LANE1_ILAS0_R = new("LANE1_ILAS0", 'h330, this); + this.LANE2_ILAS0_R = new("LANE2_ILAS0", 'h350, this); + this.LANE3_ILAS0_R = new("LANE3_ILAS0", 'h370, this); + this.LANE4_ILAS0_R = new("LANE4_ILAS0", 'h390, this); + this.LANE5_ILAS0_R = new("LANE5_ILAS0", 'h3b0, this); + this.LANE6_ILAS0_R = new("LANE6_ILAS0", 'h3d0, this); + this.LANE7_ILAS0_R = new("LANE7_ILAS0", 'h3f0, this); + this.LANE8_ILAS0_R = new("LANE8_ILAS0", 'h410, this); + this.LANE9_ILAS0_R = new("LANE9_ILAS0", 'h430, this); + this.LANE10_ILAS0_R = new("LANE10_ILAS0", 'h450, this); + this.LANE11_ILAS0_R = new("LANE11_ILAS0", 'h470, this); + this.LANE12_ILAS0_R = new("LANE12_ILAS0", 'h490, this); + this.LANE13_ILAS0_R = new("LANE13_ILAS0", 'h4b0, this); + this.LANE14_ILAS0_R = new("LANE14_ILAS0", 'h4d0, this); + this.LANE15_ILAS0_R = new("LANE15_ILAS0", 'h4f0, this); + this.LANE16_ILAS0_R = new("LANE16_ILAS0", 'h510, this); + this.LANE17_ILAS0_R = new("LANE17_ILAS0", 'h530, this); + this.LANE18_ILAS0_R = new("LANE18_ILAS0", 'h550, this); + this.LANE19_ILAS0_R = new("LANE19_ILAS0", 'h570, this); + this.LANE20_ILAS0_R = new("LANE20_ILAS0", 'h590, this); + this.LANE21_ILAS0_R = new("LANE21_ILAS0", 'h5b0, this); + this.LANE22_ILAS0_R = new("LANE22_ILAS0", 'h5d0, this); + this.LANE23_ILAS0_R = new("LANE23_ILAS0", 'h5f0, this); + this.LANE24_ILAS0_R = new("LANE24_ILAS0", 'h610, this); + this.LANE25_ILAS0_R = new("LANE25_ILAS0", 'h630, this); + this.LANE26_ILAS0_R = new("LANE26_ILAS0", 'h650, this); + this.LANE27_ILAS0_R = new("LANE27_ILAS0", 'h670, this); + this.LANE28_ILAS0_R = new("LANE28_ILAS0", 'h690, this); + this.LANE29_ILAS0_R = new("LANE29_ILAS0", 'h6b0, this); + this.LANE30_ILAS0_R = new("LANE30_ILAS0", 'h6d0, this); + this.LANE31_ILAS0_R = new("LANE31_ILAS0", 'h6f0, this); + this.LANE0_ILAS1_R = new("LANE0_ILAS1", 'h314, this); + this.LANE1_ILAS1_R = new("LANE1_ILAS1", 'h334, this); + this.LANE2_ILAS1_R = new("LANE2_ILAS1", 'h354, this); + this.LANE3_ILAS1_R = new("LANE3_ILAS1", 'h374, this); + this.LANE4_ILAS1_R = new("LANE4_ILAS1", 'h394, this); + this.LANE5_ILAS1_R = new("LANE5_ILAS1", 'h3b4, this); + this.LANE6_ILAS1_R = new("LANE6_ILAS1", 'h3d4, this); + this.LANE7_ILAS1_R = new("LANE7_ILAS1", 'h3f4, this); + this.LANE8_ILAS1_R = new("LANE8_ILAS1", 'h414, this); + this.LANE9_ILAS1_R = new("LANE9_ILAS1", 'h434, this); + this.LANE10_ILAS1_R = new("LANE10_ILAS1", 'h454, this); + this.LANE11_ILAS1_R = new("LANE11_ILAS1", 'h474, this); + this.LANE12_ILAS1_R = new("LANE12_ILAS1", 'h494, this); + this.LANE13_ILAS1_R = new("LANE13_ILAS1", 'h4b4, this); + this.LANE14_ILAS1_R = new("LANE14_ILAS1", 'h4d4, this); + this.LANE15_ILAS1_R = new("LANE15_ILAS1", 'h4f4, this); + this.LANE16_ILAS1_R = new("LANE16_ILAS1", 'h514, this); + this.LANE17_ILAS1_R = new("LANE17_ILAS1", 'h534, this); + this.LANE18_ILAS1_R = new("LANE18_ILAS1", 'h554, this); + this.LANE19_ILAS1_R = new("LANE19_ILAS1", 'h574, this); + this.LANE20_ILAS1_R = new("LANE20_ILAS1", 'h594, this); + this.LANE21_ILAS1_R = new("LANE21_ILAS1", 'h5b4, this); + this.LANE22_ILAS1_R = new("LANE22_ILAS1", 'h5d4, this); + this.LANE23_ILAS1_R = new("LANE23_ILAS1", 'h5f4, this); + this.LANE24_ILAS1_R = new("LANE24_ILAS1", 'h614, this); + this.LANE25_ILAS1_R = new("LANE25_ILAS1", 'h634, this); + this.LANE26_ILAS1_R = new("LANE26_ILAS1", 'h654, this); + this.LANE27_ILAS1_R = new("LANE27_ILAS1", 'h674, this); + this.LANE28_ILAS1_R = new("LANE28_ILAS1", 'h694, this); + this.LANE29_ILAS1_R = new("LANE29_ILAS1", 'h6b4, this); + this.LANE30_ILAS1_R = new("LANE30_ILAS1", 'h6d4, this); + this.LANE31_ILAS1_R = new("LANE31_ILAS1", 'h6f4, this); + this.LANE0_ILAS2_R = new("LANE0_ILAS2", 'h318, this); + this.LANE1_ILAS2_R = new("LANE1_ILAS2", 'h338, this); + this.LANE2_ILAS2_R = new("LANE2_ILAS2", 'h358, this); + this.LANE3_ILAS2_R = new("LANE3_ILAS2", 'h378, this); + this.LANE4_ILAS2_R = new("LANE4_ILAS2", 'h398, this); + this.LANE5_ILAS2_R = new("LANE5_ILAS2", 'h3b8, this); + this.LANE6_ILAS2_R = new("LANE6_ILAS2", 'h3d8, this); + this.LANE7_ILAS2_R = new("LANE7_ILAS2", 'h3f8, this); + this.LANE8_ILAS2_R = new("LANE8_ILAS2", 'h418, this); + this.LANE9_ILAS2_R = new("LANE9_ILAS2", 'h438, this); + this.LANE10_ILAS2_R = new("LANE10_ILAS2", 'h458, this); + this.LANE11_ILAS2_R = new("LANE11_ILAS2", 'h478, this); + this.LANE12_ILAS2_R = new("LANE12_ILAS2", 'h498, this); + this.LANE13_ILAS2_R = new("LANE13_ILAS2", 'h4b8, this); + this.LANE14_ILAS2_R = new("LANE14_ILAS2", 'h4d8, this); + this.LANE15_ILAS2_R = new("LANE15_ILAS2", 'h4f8, this); + this.LANE16_ILAS2_R = new("LANE16_ILAS2", 'h518, this); + this.LANE17_ILAS2_R = new("LANE17_ILAS2", 'h538, this); + this.LANE18_ILAS2_R = new("LANE18_ILAS2", 'h558, this); + this.LANE19_ILAS2_R = new("LANE19_ILAS2", 'h578, this); + this.LANE20_ILAS2_R = new("LANE20_ILAS2", 'h598, this); + this.LANE21_ILAS2_R = new("LANE21_ILAS2", 'h5b8, this); + this.LANE22_ILAS2_R = new("LANE22_ILAS2", 'h5d8, this); + this.LANE23_ILAS2_R = new("LANE23_ILAS2", 'h5f8, this); + this.LANE24_ILAS2_R = new("LANE24_ILAS2", 'h618, this); + this.LANE25_ILAS2_R = new("LANE25_ILAS2", 'h638, this); + this.LANE26_ILAS2_R = new("LANE26_ILAS2", 'h658, this); + this.LANE27_ILAS2_R = new("LANE27_ILAS2", 'h678, this); + this.LANE28_ILAS2_R = new("LANE28_ILAS2", 'h698, this); + this.LANE29_ILAS2_R = new("LANE29_ILAS2", 'h6b8, this); + this.LANE30_ILAS2_R = new("LANE30_ILAS2", 'h6d8, this); + this.LANE31_ILAS2_R = new("LANE31_ILAS2", 'h6f8, this); + this.LANE0_ILAS3_R = new("LANE0_ILAS3", 'h31c, this); + this.LANE1_ILAS3_R = new("LANE1_ILAS3", 'h33c, this); + this.LANE2_ILAS3_R = new("LANE2_ILAS3", 'h35c, this); + this.LANE3_ILAS3_R = new("LANE3_ILAS3", 'h37c, this); + this.LANE4_ILAS3_R = new("LANE4_ILAS3", 'h39c, this); + this.LANE5_ILAS3_R = new("LANE5_ILAS3", 'h3bc, this); + this.LANE6_ILAS3_R = new("LANE6_ILAS3", 'h3dc, this); + this.LANE7_ILAS3_R = new("LANE7_ILAS3", 'h3fc, this); + this.LANE8_ILAS3_R = new("LANE8_ILAS3", 'h41c, this); + this.LANE9_ILAS3_R = new("LANE9_ILAS3", 'h43c, this); + this.LANE10_ILAS3_R = new("LANE10_ILAS3", 'h45c, this); + this.LANE11_ILAS3_R = new("LANE11_ILAS3", 'h47c, this); + this.LANE12_ILAS3_R = new("LANE12_ILAS3", 'h49c, this); + this.LANE13_ILAS3_R = new("LANE13_ILAS3", 'h4bc, this); + this.LANE14_ILAS3_R = new("LANE14_ILAS3", 'h4dc, this); + this.LANE15_ILAS3_R = new("LANE15_ILAS3", 'h4fc, this); + this.LANE16_ILAS3_R = new("LANE16_ILAS3", 'h51c, this); + this.LANE17_ILAS3_R = new("LANE17_ILAS3", 'h53c, this); + this.LANE18_ILAS3_R = new("LANE18_ILAS3", 'h55c, this); + this.LANE19_ILAS3_R = new("LANE19_ILAS3", 'h57c, this); + this.LANE20_ILAS3_R = new("LANE20_ILAS3", 'h59c, this); + this.LANE21_ILAS3_R = new("LANE21_ILAS3", 'h5bc, this); + this.LANE22_ILAS3_R = new("LANE22_ILAS3", 'h5dc, this); + this.LANE23_ILAS3_R = new("LANE23_ILAS3", 'h5fc, this); + this.LANE24_ILAS3_R = new("LANE24_ILAS3", 'h61c, this); + this.LANE25_ILAS3_R = new("LANE25_ILAS3", 'h63c, this); + this.LANE26_ILAS3_R = new("LANE26_ILAS3", 'h65c, this); + this.LANE27_ILAS3_R = new("LANE27_ILAS3", 'h67c, this); + this.LANE28_ILAS3_R = new("LANE28_ILAS3", 'h69c, this); + this.LANE29_ILAS3_R = new("LANE29_ILAS3", 'h6bc, this); + this.LANE30_ILAS3_R = new("LANE30_ILAS3", 'h6dc, this); + this.LANE31_ILAS3_R = new("LANE31_ILAS3", 'h6fc, this); + + this.info($sformatf("Initialized"), ADI_VERBOSITY_HIGH); + endfunction: new + + endclass: adi_regmap_jesd_tx + +endpackage: adi_regmap_jesd_tx_pkg diff --git a/library/regmaps/adi_regmap_pwm_gen_pkg.sv b/library/regmaps/adi_regmap_pwm_gen_pkg.sv index 5914ec5a..230e7567 100644 --- a/library/regmaps/adi_regmap_pwm_gen_pkg.sv +++ b/library/regmaps/adi_regmap_pwm_gen_pkg.sv @@ -1,6 +1,6 @@ // *************************************************************************** // *************************************************************************** -// Copyright (C) 2014 - 2024 Analog Devices, Inc. All rights reserved. +// Copyright (C) 2014 - 2025 Analog Devices, Inc. All rights reserved. // // In this HDL repository, there are many different and unique modules, consisting // of various HDL (Verilog or VHDL) components. The individual modules are @@ -33,81 +33,303 @@ // *************************************************************************** // *************************************************************************** /* Auto generated Register Map */ -/* Tue Apr 9 06:15:30 2024 */ +/* Jan 28 13:30:16 2025 v0.3.55 */ package adi_regmap_pwm_gen_pkg; - import adi_regmap_pkg::*; - - -/* PWM Generator (axi_pwm_gen) */ - - const reg_t AXI_PWM_GEN_REG_VERSION = '{ 'h0000, "REG_VERSION" , '{ - "VERSION": '{ 31, 0, RO, 'h00010100 }}}; - `define SET_AXI_PWM_GEN_REG_VERSION_VERSION(x) SetField(AXI_PWM_GEN_REG_VERSION,"VERSION",x) - `define GET_AXI_PWM_GEN_REG_VERSION_VERSION(x) GetField(AXI_PWM_GEN_REG_VERSION,"VERSION",x) - `define DEFAULT_AXI_PWM_GEN_REG_VERSION_VERSION GetResetValue(AXI_PWM_GEN_REG_VERSION,"VERSION") - `define UPDATE_AXI_PWM_GEN_REG_VERSION_VERSION(x,y) UpdateField(AXI_PWM_GEN_REG_VERSION,"VERSION",x,y) - - const reg_t AXI_PWM_GEN_REG_ID = '{ 'h0004, "REG_ID" , '{ - "ID": '{ 31, 0, RO, 'h00000000 }}}; - `define SET_AXI_PWM_GEN_REG_ID_ID(x) SetField(AXI_PWM_GEN_REG_ID,"ID",x) - `define GET_AXI_PWM_GEN_REG_ID_ID(x) GetField(AXI_PWM_GEN_REG_ID,"ID",x) - `define DEFAULT_AXI_PWM_GEN_REG_ID_ID GetResetValue(AXI_PWM_GEN_REG_ID,"ID") - `define UPDATE_AXI_PWM_GEN_REG_ID_ID(x,y) UpdateField(AXI_PWM_GEN_REG_ID,"ID",x,y) - - const reg_t AXI_PWM_GEN_REG_SCRATCH = '{ 'h0008, "REG_SCRATCH" , '{ - "SCRATCH": '{ 31, 0, RW, 'h00000000 }}}; - `define SET_AXI_PWM_GEN_REG_SCRATCH_SCRATCH(x) SetField(AXI_PWM_GEN_REG_SCRATCH,"SCRATCH",x) - `define GET_AXI_PWM_GEN_REG_SCRATCH_SCRATCH(x) GetField(AXI_PWM_GEN_REG_SCRATCH,"SCRATCH",x) - `define DEFAULT_AXI_PWM_GEN_REG_SCRATCH_SCRATCH GetResetValue(AXI_PWM_GEN_REG_SCRATCH,"SCRATCH") - `define UPDATE_AXI_PWM_GEN_REG_SCRATCH_SCRATCH(x,y) UpdateField(AXI_PWM_GEN_REG_SCRATCH,"SCRATCH",x,y) - - const reg_t AXI_PWM_GEN_REG_CORE_MAGIC = '{ 'h000c, "REG_CORE_MAGIC" , '{ - "CORE_MAGIC": '{ 31, 0, RW, 'h504C5347 }}}; - `define SET_AXI_PWM_GEN_REG_CORE_MAGIC_CORE_MAGIC(x) SetField(AXI_PWM_GEN_REG_CORE_MAGIC,"CORE_MAGIC",x) - `define GET_AXI_PWM_GEN_REG_CORE_MAGIC_CORE_MAGIC(x) GetField(AXI_PWM_GEN_REG_CORE_MAGIC,"CORE_MAGIC",x) - `define DEFAULT_AXI_PWM_GEN_REG_CORE_MAGIC_CORE_MAGIC GetResetValue(AXI_PWM_GEN_REG_CORE_MAGIC,"CORE_MAGIC") - `define UPDATE_AXI_PWM_GEN_REG_CORE_MAGIC_CORE_MAGIC(x,y) UpdateField(AXI_PWM_GEN_REG_CORE_MAGIC,"CORE_MAGIC",x,y) - - const reg_t AXI_PWM_GEN_REG_RSTN = '{ 'h0010, "REG_RSTN" , '{ - "LOAD_CONFIG": '{ 1, 1, WO, 'h0 }, - "RESET": '{ 0, 0, RW, 'h0 }}}; - `define SET_AXI_PWM_GEN_REG_RSTN_LOAD_CONFIG(x) SetField(AXI_PWM_GEN_REG_RSTN,"LOAD_CONFIG",x) - `define GET_AXI_PWM_GEN_REG_RSTN_LOAD_CONFIG(x) GetField(AXI_PWM_GEN_REG_RSTN,"LOAD_CONFIG",x) - `define DEFAULT_AXI_PWM_GEN_REG_RSTN_LOAD_CONFIG GetResetValue(AXI_PWM_GEN_REG_RSTN,"LOAD_CONFIG") - `define UPDATE_AXI_PWM_GEN_REG_RSTN_LOAD_CONFIG(x,y) UpdateField(AXI_PWM_GEN_REG_RSTN,"LOAD_CONFIG",x,y) - `define SET_AXI_PWM_GEN_REG_RSTN_RESET(x) SetField(AXI_PWM_GEN_REG_RSTN,"RESET",x) - `define GET_AXI_PWM_GEN_REG_RSTN_RESET(x) GetField(AXI_PWM_GEN_REG_RSTN,"RESET",x) - `define DEFAULT_AXI_PWM_GEN_REG_RSTN_RESET GetResetValue(AXI_PWM_GEN_REG_RSTN,"RESET") - `define UPDATE_AXI_PWM_GEN_REG_RSTN_RESET(x,y) UpdateField(AXI_PWM_GEN_REG_RSTN,"RESET",x,y) - - const reg_t AXI_PWM_GEN_REG_NB_PULSES = '{ 'h0014, "REG_NB_PULSES" , '{ - "NB_PULSES": '{ 31, 0, RO, 'h0000 }}}; - `define SET_AXI_PWM_GEN_REG_NB_PULSES_NB_PULSES(x) SetField(AXI_PWM_GEN_REG_NB_PULSES,"NB_PULSES",x) - `define GET_AXI_PWM_GEN_REG_NB_PULSES_NB_PULSES(x) GetField(AXI_PWM_GEN_REG_NB_PULSES,"NB_PULSES",x) - `define DEFAULT_AXI_PWM_GEN_REG_NB_PULSES_NB_PULSES GetResetValue(AXI_PWM_GEN_REG_NB_PULSES,"NB_PULSES") - `define UPDATE_AXI_PWM_GEN_REG_NB_PULSES_NB_PULSES(x,y) UpdateField(AXI_PWM_GEN_REG_NB_PULSES,"NB_PULSES",x,y) - - const reg_t AXI_PWM_GEN_REG_PULSE_X_PERIOD = '{ 'h0040, "REG_PULSE_X_PERIOD" , '{ - "PULSE_X_PERIOD": '{ 31, 0, RW, 'h0000 }}}; - `define SET_AXI_PWM_GEN_REG_PULSE_X_PERIOD_PULSE_X_PERIOD(x) SetField(AXI_PWM_GEN_REG_PULSE_X_PERIOD,"PULSE_X_PERIOD",x) - `define GET_AXI_PWM_GEN_REG_PULSE_X_PERIOD_PULSE_X_PERIOD(x) GetField(AXI_PWM_GEN_REG_PULSE_X_PERIOD,"PULSE_X_PERIOD",x) - `define DEFAULT_AXI_PWM_GEN_REG_PULSE_X_PERIOD_PULSE_X_PERIOD GetResetValue(AXI_PWM_GEN_REG_PULSE_X_PERIOD,"PULSE_X_PERIOD") - `define UPDATE_AXI_PWM_GEN_REG_PULSE_X_PERIOD_PULSE_X_PERIOD(x,y) UpdateField(AXI_PWM_GEN_REG_PULSE_X_PERIOD,"PULSE_X_PERIOD",x,y) - - const reg_t AXI_PWM_GEN_REG_PULSE_X_WIDTH = '{ 'h0080, "REG_PULSE_X_WIDTH" , '{ - "PULSE_X_WIDTH": '{ 31, 0, RW, 'h0000 }}}; - `define SET_AXI_PWM_GEN_REG_PULSE_X_WIDTH_PULSE_X_WIDTH(x) SetField(AXI_PWM_GEN_REG_PULSE_X_WIDTH,"PULSE_X_WIDTH",x) - `define GET_AXI_PWM_GEN_REG_PULSE_X_WIDTH_PULSE_X_WIDTH(x) GetField(AXI_PWM_GEN_REG_PULSE_X_WIDTH,"PULSE_X_WIDTH",x) - `define DEFAULT_AXI_PWM_GEN_REG_PULSE_X_WIDTH_PULSE_X_WIDTH GetResetValue(AXI_PWM_GEN_REG_PULSE_X_WIDTH,"PULSE_X_WIDTH") - `define UPDATE_AXI_PWM_GEN_REG_PULSE_X_WIDTH_PULSE_X_WIDTH(x,y) UpdateField(AXI_PWM_GEN_REG_PULSE_X_WIDTH,"PULSE_X_WIDTH",x,y) - - const reg_t AXI_PWM_GEN_REG_PULSE_X_OFFSET = '{ 'h00c0, "REG_PULSE_X_OFFSET" , '{ - "PULSE_X_OFFSET": '{ 31, 0, RW, 'h0000 }}}; - `define SET_AXI_PWM_GEN_REG_PULSE_X_OFFSET_PULSE_X_OFFSET(x) SetField(AXI_PWM_GEN_REG_PULSE_X_OFFSET,"PULSE_X_OFFSET",x) - `define GET_AXI_PWM_GEN_REG_PULSE_X_OFFSET_PULSE_X_OFFSET(x) GetField(AXI_PWM_GEN_REG_PULSE_X_OFFSET,"PULSE_X_OFFSET",x) - `define DEFAULT_AXI_PWM_GEN_REG_PULSE_X_OFFSET_PULSE_X_OFFSET GetResetValue(AXI_PWM_GEN_REG_PULSE_X_OFFSET,"PULSE_X_OFFSET") - `define UPDATE_AXI_PWM_GEN_REG_PULSE_X_OFFSET_PULSE_X_OFFSET(x,y) UpdateField(AXI_PWM_GEN_REG_PULSE_X_OFFSET,"PULSE_X_OFFSET",x,y) - - -endpackage + import logger_pkg::*; + import adi_api_pkg::*; + + class adi_regmap_pwm_gen extends adi_regmap; + + /* PWM Generator (axi_pwm_gen) */ + class VERSION_CLASS extends register_base; + field_base VERSION_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.VERSION_F = new("VERSION", 31, 0, RO, 'h20101, this); + + this.initialization_done = 1; + endfunction: new + endclass: VERSION_CLASS + + class ID_CLASS extends register_base; + field_base ID_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.ID_F = new("ID", 31, 0, RO, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: ID_CLASS + + class SCRATCH_CLASS extends register_base; + field_base SCRATCH_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.SCRATCH_F = new("SCRATCH", 31, 0, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: SCRATCH_CLASS + + class CORE_MAGIC_CLASS extends register_base; + field_base CORE_MAGIC_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.CORE_MAGIC_F = new("CORE_MAGIC", 31, 0, RW, 'h504c5347, this); + + this.initialization_done = 1; + endfunction: new + endclass: CORE_MAGIC_CLASS + + class RSTN_CLASS extends register_base; + field_base LOAD_CONFIG_F; + field_base RESET_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.LOAD_CONFIG_F = new("LOAD_CONFIG", 1, 1, WO, 'h0, this); + this.RESET_F = new("RESET", 0, 0, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: RSTN_CLASS + + class CONFIG_CLASS extends register_base; + field_base EXT_SYNC_ALIGN_F; + field_base FORCE_ALIGN_F; + field_base START_AT_SYNC_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.EXT_SYNC_ALIGN_F = new("EXT_SYNC_ALIGN", 2, 2, RW, 'h0, this); + this.FORCE_ALIGN_F = new("FORCE_ALIGN", 1, 1, RW, 'h0, this); + this.START_AT_SYNC_F = new("START_AT_SYNC", 0, 0, RW, 'h1, this); + + this.initialization_done = 1; + endfunction: new + endclass: CONFIG_CLASS + + class NB_PULSES_CLASS extends register_base; + field_base NB_PULSES_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.NB_PULSES_F = new("NB_PULSES", 31, 0, RO, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: NB_PULSES_CLASS + + class PULSE_n_PERIOD_CLASS extends register_base; + field_base PULSE_PERIOD_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.PULSE_PERIOD_F = new("PULSE_PERIOD", 31, 0, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: PULSE_n_PERIOD_CLASS + + class PULSE_n_WIDTH_CLASS extends register_base; + field_base PULSE_WIDTH_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.PULSE_WIDTH_F = new("PULSE_WIDTH", 31, 0, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: PULSE_n_WIDTH_CLASS + + class PULSE_n_OFFSET_CLASS extends register_base; + field_base PULSE_OFFSET_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.PULSE_OFFSET_F = new("PULSE_OFFSET", 31, 0, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: PULSE_n_OFFSET_CLASS + + VERSION_CLASS VERSION_R; + ID_CLASS ID_R; + SCRATCH_CLASS SCRATCH_R; + CORE_MAGIC_CLASS CORE_MAGIC_R; + RSTN_CLASS RSTN_R; + CONFIG_CLASS CONFIG_R; + NB_PULSES_CLASS NB_PULSES_R; + PULSE_n_PERIOD_CLASS PULSE_0_PERIOD_R; + PULSE_n_PERIOD_CLASS PULSE_1_PERIOD_R; + PULSE_n_PERIOD_CLASS PULSE_2_PERIOD_R; + PULSE_n_PERIOD_CLASS PULSE_3_PERIOD_R; + PULSE_n_PERIOD_CLASS PULSE_4_PERIOD_R; + PULSE_n_PERIOD_CLASS PULSE_5_PERIOD_R; + PULSE_n_PERIOD_CLASS PULSE_6_PERIOD_R; + PULSE_n_PERIOD_CLASS PULSE_7_PERIOD_R; + PULSE_n_PERIOD_CLASS PULSE_8_PERIOD_R; + PULSE_n_PERIOD_CLASS PULSE_9_PERIOD_R; + PULSE_n_PERIOD_CLASS PULSE_10_PERIOD_R; + PULSE_n_PERIOD_CLASS PULSE_11_PERIOD_R; + PULSE_n_PERIOD_CLASS PULSE_12_PERIOD_R; + PULSE_n_PERIOD_CLASS PULSE_13_PERIOD_R; + PULSE_n_PERIOD_CLASS PULSE_14_PERIOD_R; + PULSE_n_PERIOD_CLASS PULSE_15_PERIOD_R; + PULSE_n_WIDTH_CLASS PULSE_0_WIDTH_R; + PULSE_n_WIDTH_CLASS PULSE_1_WIDTH_R; + PULSE_n_WIDTH_CLASS PULSE_2_WIDTH_R; + PULSE_n_WIDTH_CLASS PULSE_3_WIDTH_R; + PULSE_n_WIDTH_CLASS PULSE_4_WIDTH_R; + PULSE_n_WIDTH_CLASS PULSE_5_WIDTH_R; + PULSE_n_WIDTH_CLASS PULSE_6_WIDTH_R; + PULSE_n_WIDTH_CLASS PULSE_7_WIDTH_R; + PULSE_n_WIDTH_CLASS PULSE_8_WIDTH_R; + PULSE_n_WIDTH_CLASS PULSE_9_WIDTH_R; + PULSE_n_WIDTH_CLASS PULSE_10_WIDTH_R; + PULSE_n_WIDTH_CLASS PULSE_11_WIDTH_R; + PULSE_n_WIDTH_CLASS PULSE_12_WIDTH_R; + PULSE_n_WIDTH_CLASS PULSE_13_WIDTH_R; + PULSE_n_WIDTH_CLASS PULSE_14_WIDTH_R; + PULSE_n_WIDTH_CLASS PULSE_15_WIDTH_R; + PULSE_n_OFFSET_CLASS PULSE_0_OFFSET_R; + PULSE_n_OFFSET_CLASS PULSE_1_OFFSET_R; + PULSE_n_OFFSET_CLASS PULSE_2_OFFSET_R; + PULSE_n_OFFSET_CLASS PULSE_3_OFFSET_R; + PULSE_n_OFFSET_CLASS PULSE_4_OFFSET_R; + PULSE_n_OFFSET_CLASS PULSE_5_OFFSET_R; + PULSE_n_OFFSET_CLASS PULSE_6_OFFSET_R; + PULSE_n_OFFSET_CLASS PULSE_7_OFFSET_R; + PULSE_n_OFFSET_CLASS PULSE_8_OFFSET_R; + PULSE_n_OFFSET_CLASS PULSE_9_OFFSET_R; + PULSE_n_OFFSET_CLASS PULSE_10_OFFSET_R; + PULSE_n_OFFSET_CLASS PULSE_11_OFFSET_R; + PULSE_n_OFFSET_CLASS PULSE_12_OFFSET_R; + PULSE_n_OFFSET_CLASS PULSE_13_OFFSET_R; + PULSE_n_OFFSET_CLASS PULSE_14_OFFSET_R; + PULSE_n_OFFSET_CLASS PULSE_15_OFFSET_R; + + function new( + input string name, + input int address, + input adi_api parent = null); + + super.new(name, address, parent); + + this.VERSION_R = new("VERSION", 'h0, this); + this.ID_R = new("ID", 'h4, this); + this.SCRATCH_R = new("SCRATCH", 'h8, this); + this.CORE_MAGIC_R = new("CORE_MAGIC", 'hc, this); + this.RSTN_R = new("RSTN", 'h10, this); + this.CONFIG_R = new("CONFIG", 'h18, this); + this.NB_PULSES_R = new("NB_PULSES", 'h14, this); + this.PULSE_0_PERIOD_R = new("PULSE_0_PERIOD", 'h40, this); + this.PULSE_1_PERIOD_R = new("PULSE_1_PERIOD", 'h44, this); + this.PULSE_2_PERIOD_R = new("PULSE_2_PERIOD", 'h48, this); + this.PULSE_3_PERIOD_R = new("PULSE_3_PERIOD", 'h4c, this); + this.PULSE_4_PERIOD_R = new("PULSE_4_PERIOD", 'h50, this); + this.PULSE_5_PERIOD_R = new("PULSE_5_PERIOD", 'h54, this); + this.PULSE_6_PERIOD_R = new("PULSE_6_PERIOD", 'h58, this); + this.PULSE_7_PERIOD_R = new("PULSE_7_PERIOD", 'h5c, this); + this.PULSE_8_PERIOD_R = new("PULSE_8_PERIOD", 'h60, this); + this.PULSE_9_PERIOD_R = new("PULSE_9_PERIOD", 'h64, this); + this.PULSE_10_PERIOD_R = new("PULSE_10_PERIOD", 'h68, this); + this.PULSE_11_PERIOD_R = new("PULSE_11_PERIOD", 'h6c, this); + this.PULSE_12_PERIOD_R = new("PULSE_12_PERIOD", 'h70, this); + this.PULSE_13_PERIOD_R = new("PULSE_13_PERIOD", 'h74, this); + this.PULSE_14_PERIOD_R = new("PULSE_14_PERIOD", 'h78, this); + this.PULSE_15_PERIOD_R = new("PULSE_15_PERIOD", 'h7c, this); + this.PULSE_0_WIDTH_R = new("PULSE_0_WIDTH", 'h80, this); + this.PULSE_1_WIDTH_R = new("PULSE_1_WIDTH", 'h84, this); + this.PULSE_2_WIDTH_R = new("PULSE_2_WIDTH", 'h88, this); + this.PULSE_3_WIDTH_R = new("PULSE_3_WIDTH", 'h8c, this); + this.PULSE_4_WIDTH_R = new("PULSE_4_WIDTH", 'h90, this); + this.PULSE_5_WIDTH_R = new("PULSE_5_WIDTH", 'h94, this); + this.PULSE_6_WIDTH_R = new("PULSE_6_WIDTH", 'h98, this); + this.PULSE_7_WIDTH_R = new("PULSE_7_WIDTH", 'h9c, this); + this.PULSE_8_WIDTH_R = new("PULSE_8_WIDTH", 'ha0, this); + this.PULSE_9_WIDTH_R = new("PULSE_9_WIDTH", 'ha4, this); + this.PULSE_10_WIDTH_R = new("PULSE_10_WIDTH", 'ha8, this); + this.PULSE_11_WIDTH_R = new("PULSE_11_WIDTH", 'hac, this); + this.PULSE_12_WIDTH_R = new("PULSE_12_WIDTH", 'hb0, this); + this.PULSE_13_WIDTH_R = new("PULSE_13_WIDTH", 'hb4, this); + this.PULSE_14_WIDTH_R = new("PULSE_14_WIDTH", 'hb8, this); + this.PULSE_15_WIDTH_R = new("PULSE_15_WIDTH", 'hbc, this); + this.PULSE_0_OFFSET_R = new("PULSE_0_OFFSET", 'hc0, this); + this.PULSE_1_OFFSET_R = new("PULSE_1_OFFSET", 'hc4, this); + this.PULSE_2_OFFSET_R = new("PULSE_2_OFFSET", 'hc8, this); + this.PULSE_3_OFFSET_R = new("PULSE_3_OFFSET", 'hcc, this); + this.PULSE_4_OFFSET_R = new("PULSE_4_OFFSET", 'hd0, this); + this.PULSE_5_OFFSET_R = new("PULSE_5_OFFSET", 'hd4, this); + this.PULSE_6_OFFSET_R = new("PULSE_6_OFFSET", 'hd8, this); + this.PULSE_7_OFFSET_R = new("PULSE_7_OFFSET", 'hdc, this); + this.PULSE_8_OFFSET_R = new("PULSE_8_OFFSET", 'he0, this); + this.PULSE_9_OFFSET_R = new("PULSE_9_OFFSET", 'he4, this); + this.PULSE_10_OFFSET_R = new("PULSE_10_OFFSET", 'he8, this); + this.PULSE_11_OFFSET_R = new("PULSE_11_OFFSET", 'hec, this); + this.PULSE_12_OFFSET_R = new("PULSE_12_OFFSET", 'hf0, this); + this.PULSE_13_OFFSET_R = new("PULSE_13_OFFSET", 'hf4, this); + this.PULSE_14_OFFSET_R = new("PULSE_14_OFFSET", 'hf8, this); + this.PULSE_15_OFFSET_R = new("PULSE_15_OFFSET", 'hfc, this); + + this.info($sformatf("Initialized"), ADI_VERBOSITY_HIGH); + endfunction: new + + endclass: adi_regmap_pwm_gen + +endpackage: adi_regmap_pwm_gen_pkg diff --git a/library/regmaps/adi_regmap_spi_engine_pkg.sv b/library/regmaps/adi_regmap_spi_engine_pkg.sv index 66974a78..2d6d78bf 100644 --- a/library/regmaps/adi_regmap_spi_engine_pkg.sv +++ b/library/regmaps/adi_regmap_spi_engine_pkg.sv @@ -1,6 +1,6 @@ // *************************************************************************** // *************************************************************************** -// Copyright (C) 2014 - 2024 Analog Devices, Inc. All rights reserved. +// Copyright (C) 2014 - 2025 Analog Devices, Inc. All rights reserved. // // In this HDL repository, there are many different and unique modules, consisting // of various HDL (Verilog or VHDL) components. The individual modules are @@ -33,271 +33,589 @@ // *************************************************************************** // *************************************************************************** /* Auto generated Register Map */ -/* Wed Jan 29 16:40:28 2025 */ +/* Jan 28 13:30:17 2025 v0.3.55 */ package adi_regmap_spi_engine_pkg; - import adi_regmap_pkg::*; - - -/* SPI Engine (axi_spi_engine) */ - - const reg_t AXI_SPI_ENGINE_VERSION = '{ 'h0000, "VERSION" , '{ - "VERSION_MAJOR": '{ 31, 16, RO, 'h00000001 }, - "VERSION_MINOR": '{ 15, 8, RO, 'h00000004 }, - "VERSION_PATCH": '{ 7, 0, RO, 'h00000000 }}}; - `define SET_AXI_SPI_ENGINE_VERSION_VERSION_MAJOR(x) SetField(AXI_SPI_ENGINE_VERSION,"VERSION_MAJOR",x) - `define GET_AXI_SPI_ENGINE_VERSION_VERSION_MAJOR(x) GetField(AXI_SPI_ENGINE_VERSION,"VERSION_MAJOR",x) - `define DEFAULT_AXI_SPI_ENGINE_VERSION_VERSION_MAJOR GetResetValue(AXI_SPI_ENGINE_VERSION,"VERSION_MAJOR") - `define UPDATE_AXI_SPI_ENGINE_VERSION_VERSION_MAJOR(x,y) UpdateField(AXI_SPI_ENGINE_VERSION,"VERSION_MAJOR",x,y) - `define SET_AXI_SPI_ENGINE_VERSION_VERSION_MINOR(x) SetField(AXI_SPI_ENGINE_VERSION,"VERSION_MINOR",x) - `define GET_AXI_SPI_ENGINE_VERSION_VERSION_MINOR(x) GetField(AXI_SPI_ENGINE_VERSION,"VERSION_MINOR",x) - `define DEFAULT_AXI_SPI_ENGINE_VERSION_VERSION_MINOR GetResetValue(AXI_SPI_ENGINE_VERSION,"VERSION_MINOR") - `define UPDATE_AXI_SPI_ENGINE_VERSION_VERSION_MINOR(x,y) UpdateField(AXI_SPI_ENGINE_VERSION,"VERSION_MINOR",x,y) - `define SET_AXI_SPI_ENGINE_VERSION_VERSION_PATCH(x) SetField(AXI_SPI_ENGINE_VERSION,"VERSION_PATCH",x) - `define GET_AXI_SPI_ENGINE_VERSION_VERSION_PATCH(x) GetField(AXI_SPI_ENGINE_VERSION,"VERSION_PATCH",x) - `define DEFAULT_AXI_SPI_ENGINE_VERSION_VERSION_PATCH GetResetValue(AXI_SPI_ENGINE_VERSION,"VERSION_PATCH") - `define UPDATE_AXI_SPI_ENGINE_VERSION_VERSION_PATCH(x,y) UpdateField(AXI_SPI_ENGINE_VERSION,"VERSION_PATCH",x,y) - - const reg_t AXI_SPI_ENGINE_PERIPHERAL_ID = '{ 'h0004, "PERIPHERAL_ID" , '{ - "PERIPHERAL_ID": '{ 31, 0, RO, 0 }}}; - `define SET_AXI_SPI_ENGINE_PERIPHERAL_ID_PERIPHERAL_ID(x) SetField(AXI_SPI_ENGINE_PERIPHERAL_ID,"PERIPHERAL_ID",x) - `define GET_AXI_SPI_ENGINE_PERIPHERAL_ID_PERIPHERAL_ID(x) GetField(AXI_SPI_ENGINE_PERIPHERAL_ID,"PERIPHERAL_ID",x) - `define DEFAULT_AXI_SPI_ENGINE_PERIPHERAL_ID_PERIPHERAL_ID GetResetValue(AXI_SPI_ENGINE_PERIPHERAL_ID,"PERIPHERAL_ID") - `define UPDATE_AXI_SPI_ENGINE_PERIPHERAL_ID_PERIPHERAL_ID(x,y) UpdateField(AXI_SPI_ENGINE_PERIPHERAL_ID,"PERIPHERAL_ID",x,y) - - const reg_t AXI_SPI_ENGINE_SCRATCH = '{ 'h0008, "SCRATCH" , '{ - "SCRATCH": '{ 31, 0, RW, 'h00000000 }}}; - `define SET_AXI_SPI_ENGINE_SCRATCH_SCRATCH(x) SetField(AXI_SPI_ENGINE_SCRATCH,"SCRATCH",x) - `define GET_AXI_SPI_ENGINE_SCRATCH_SCRATCH(x) GetField(AXI_SPI_ENGINE_SCRATCH,"SCRATCH",x) - `define DEFAULT_AXI_SPI_ENGINE_SCRATCH_SCRATCH GetResetValue(AXI_SPI_ENGINE_SCRATCH,"SCRATCH") - `define UPDATE_AXI_SPI_ENGINE_SCRATCH_SCRATCH(x,y) UpdateField(AXI_SPI_ENGINE_SCRATCH,"SCRATCH",x,y) - - const reg_t AXI_SPI_ENGINE_DATA_WIDTH = '{ 'h000c, "DATA_WIDTH" , '{ - "NUM_OF_SDI": '{ 7, 4, RO, 0 }, - "DATA_WIDTH": '{ 3, 0, RO, 0 }}}; - `define SET_AXI_SPI_ENGINE_DATA_WIDTH_NUM_OF_SDI(x) SetField(AXI_SPI_ENGINE_DATA_WIDTH,"NUM_OF_SDI",x) - `define GET_AXI_SPI_ENGINE_DATA_WIDTH_NUM_OF_SDI(x) GetField(AXI_SPI_ENGINE_DATA_WIDTH,"NUM_OF_SDI",x) - `define DEFAULT_AXI_SPI_ENGINE_DATA_WIDTH_NUM_OF_SDI GetResetValue(AXI_SPI_ENGINE_DATA_WIDTH,"NUM_OF_SDI") - `define UPDATE_AXI_SPI_ENGINE_DATA_WIDTH_NUM_OF_SDI(x,y) UpdateField(AXI_SPI_ENGINE_DATA_WIDTH,"NUM_OF_SDI",x,y) - `define SET_AXI_SPI_ENGINE_DATA_WIDTH_DATA_WIDTH(x) SetField(AXI_SPI_ENGINE_DATA_WIDTH,"DATA_WIDTH",x) - `define GET_AXI_SPI_ENGINE_DATA_WIDTH_DATA_WIDTH(x) GetField(AXI_SPI_ENGINE_DATA_WIDTH,"DATA_WIDTH",x) - `define DEFAULT_AXI_SPI_ENGINE_DATA_WIDTH_DATA_WIDTH GetResetValue(AXI_SPI_ENGINE_DATA_WIDTH,"DATA_WIDTH") - `define UPDATE_AXI_SPI_ENGINE_DATA_WIDTH_DATA_WIDTH(x,y) UpdateField(AXI_SPI_ENGINE_DATA_WIDTH,"DATA_WIDTH",x,y) - - const reg_t AXI_SPI_ENGINE_OFFLOAD_MEM_ADDR_WIDTH = '{ 'h0010, "OFFLOAD_MEM_ADDR_WIDTH" , '{ - "SDO_MEM_ADDRESS_WIDTH": '{ 15, 8, RO, 'h00000004 }, - "CMD_MEM_ADDRESS_WIDTH": '{ 7, 0, RO, 'h00000004 }}}; - `define SET_AXI_SPI_ENGINE_OFFLOAD_MEM_ADDR_WIDTH_SDO_MEM_ADDRESS_WIDTH(x) SetField(AXI_SPI_ENGINE_OFFLOAD_MEM_ADDR_WIDTH,"SDO_MEM_ADDRESS_WIDTH",x) - `define GET_AXI_SPI_ENGINE_OFFLOAD_MEM_ADDR_WIDTH_SDO_MEM_ADDRESS_WIDTH(x) GetField(AXI_SPI_ENGINE_OFFLOAD_MEM_ADDR_WIDTH,"SDO_MEM_ADDRESS_WIDTH",x) - `define DEFAULT_AXI_SPI_ENGINE_OFFLOAD_MEM_ADDR_WIDTH_SDO_MEM_ADDRESS_WIDTH GetResetValue(AXI_SPI_ENGINE_OFFLOAD_MEM_ADDR_WIDTH,"SDO_MEM_ADDRESS_WIDTH") - `define UPDATE_AXI_SPI_ENGINE_OFFLOAD_MEM_ADDR_WIDTH_SDO_MEM_ADDRESS_WIDTH(x,y) UpdateField(AXI_SPI_ENGINE_OFFLOAD_MEM_ADDR_WIDTH,"SDO_MEM_ADDRESS_WIDTH",x,y) - `define SET_AXI_SPI_ENGINE_OFFLOAD_MEM_ADDR_WIDTH_CMD_MEM_ADDRESS_WIDTH(x) SetField(AXI_SPI_ENGINE_OFFLOAD_MEM_ADDR_WIDTH,"CMD_MEM_ADDRESS_WIDTH",x) - `define GET_AXI_SPI_ENGINE_OFFLOAD_MEM_ADDR_WIDTH_CMD_MEM_ADDRESS_WIDTH(x) GetField(AXI_SPI_ENGINE_OFFLOAD_MEM_ADDR_WIDTH,"CMD_MEM_ADDRESS_WIDTH",x) - `define DEFAULT_AXI_SPI_ENGINE_OFFLOAD_MEM_ADDR_WIDTH_CMD_MEM_ADDRESS_WIDTH GetResetValue(AXI_SPI_ENGINE_OFFLOAD_MEM_ADDR_WIDTH,"CMD_MEM_ADDRESS_WIDTH") - `define UPDATE_AXI_SPI_ENGINE_OFFLOAD_MEM_ADDR_WIDTH_CMD_MEM_ADDRESS_WIDTH(x,y) UpdateField(AXI_SPI_ENGINE_OFFLOAD_MEM_ADDR_WIDTH,"CMD_MEM_ADDRESS_WIDTH",x,y) - - const reg_t AXI_SPI_ENGINE_FIFO_ADDR_WIDTH = '{ 'h0014, "FIFO_ADDR_WIDTH" , '{ - "SDI_FIFO_ADDRESS_WIDTH": '{ 31, 24, RO, 'h00000005 }, - "SDO_FIFO_ADDRESS_WIDTH": '{ 23, 16, RO, 'h00000005 }, - "SYNC_FIFO_ADDRESS_WIDTH": '{ 15, 8, RO, 'h00000004 }, - "CMD_FIFO_ADDRESS_WIDTH": '{ 7, 0, RO, 'h00000004 }}}; - `define SET_AXI_SPI_ENGINE_FIFO_ADDR_WIDTH_SDI_FIFO_ADDRESS_WIDTH(x) SetField(AXI_SPI_ENGINE_FIFO_ADDR_WIDTH,"SDI_FIFO_ADDRESS_WIDTH",x) - `define GET_AXI_SPI_ENGINE_FIFO_ADDR_WIDTH_SDI_FIFO_ADDRESS_WIDTH(x) GetField(AXI_SPI_ENGINE_FIFO_ADDR_WIDTH,"SDI_FIFO_ADDRESS_WIDTH",x) - `define DEFAULT_AXI_SPI_ENGINE_FIFO_ADDR_WIDTH_SDI_FIFO_ADDRESS_WIDTH GetResetValue(AXI_SPI_ENGINE_FIFO_ADDR_WIDTH,"SDI_FIFO_ADDRESS_WIDTH") - `define UPDATE_AXI_SPI_ENGINE_FIFO_ADDR_WIDTH_SDI_FIFO_ADDRESS_WIDTH(x,y) UpdateField(AXI_SPI_ENGINE_FIFO_ADDR_WIDTH,"SDI_FIFO_ADDRESS_WIDTH",x,y) - `define SET_AXI_SPI_ENGINE_FIFO_ADDR_WIDTH_SDO_FIFO_ADDRESS_WIDTH(x) SetField(AXI_SPI_ENGINE_FIFO_ADDR_WIDTH,"SDO_FIFO_ADDRESS_WIDTH",x) - `define GET_AXI_SPI_ENGINE_FIFO_ADDR_WIDTH_SDO_FIFO_ADDRESS_WIDTH(x) GetField(AXI_SPI_ENGINE_FIFO_ADDR_WIDTH,"SDO_FIFO_ADDRESS_WIDTH",x) - `define DEFAULT_AXI_SPI_ENGINE_FIFO_ADDR_WIDTH_SDO_FIFO_ADDRESS_WIDTH GetResetValue(AXI_SPI_ENGINE_FIFO_ADDR_WIDTH,"SDO_FIFO_ADDRESS_WIDTH") - `define UPDATE_AXI_SPI_ENGINE_FIFO_ADDR_WIDTH_SDO_FIFO_ADDRESS_WIDTH(x,y) UpdateField(AXI_SPI_ENGINE_FIFO_ADDR_WIDTH,"SDO_FIFO_ADDRESS_WIDTH",x,y) - `define SET_AXI_SPI_ENGINE_FIFO_ADDR_WIDTH_SYNC_FIFO_ADDRESS_WIDTH(x) SetField(AXI_SPI_ENGINE_FIFO_ADDR_WIDTH,"SYNC_FIFO_ADDRESS_WIDTH",x) - `define GET_AXI_SPI_ENGINE_FIFO_ADDR_WIDTH_SYNC_FIFO_ADDRESS_WIDTH(x) GetField(AXI_SPI_ENGINE_FIFO_ADDR_WIDTH,"SYNC_FIFO_ADDRESS_WIDTH",x) - `define DEFAULT_AXI_SPI_ENGINE_FIFO_ADDR_WIDTH_SYNC_FIFO_ADDRESS_WIDTH GetResetValue(AXI_SPI_ENGINE_FIFO_ADDR_WIDTH,"SYNC_FIFO_ADDRESS_WIDTH") - `define UPDATE_AXI_SPI_ENGINE_FIFO_ADDR_WIDTH_SYNC_FIFO_ADDRESS_WIDTH(x,y) UpdateField(AXI_SPI_ENGINE_FIFO_ADDR_WIDTH,"SYNC_FIFO_ADDRESS_WIDTH",x,y) - `define SET_AXI_SPI_ENGINE_FIFO_ADDR_WIDTH_CMD_FIFO_ADDRESS_WIDTH(x) SetField(AXI_SPI_ENGINE_FIFO_ADDR_WIDTH,"CMD_FIFO_ADDRESS_WIDTH",x) - `define GET_AXI_SPI_ENGINE_FIFO_ADDR_WIDTH_CMD_FIFO_ADDRESS_WIDTH(x) GetField(AXI_SPI_ENGINE_FIFO_ADDR_WIDTH,"CMD_FIFO_ADDRESS_WIDTH",x) - `define DEFAULT_AXI_SPI_ENGINE_FIFO_ADDR_WIDTH_CMD_FIFO_ADDRESS_WIDTH GetResetValue(AXI_SPI_ENGINE_FIFO_ADDR_WIDTH,"CMD_FIFO_ADDRESS_WIDTH") - `define UPDATE_AXI_SPI_ENGINE_FIFO_ADDR_WIDTH_CMD_FIFO_ADDRESS_WIDTH(x,y) UpdateField(AXI_SPI_ENGINE_FIFO_ADDR_WIDTH,"CMD_FIFO_ADDRESS_WIDTH",x,y) - - const reg_t AXI_SPI_ENGINE_ENABLE = '{ 'h0040, "ENABLE" , '{ - "ENABLE": '{ 31, 0, RW, 'h00000001 }}}; - `define SET_AXI_SPI_ENGINE_ENABLE_ENABLE(x) SetField(AXI_SPI_ENGINE_ENABLE,"ENABLE",x) - `define GET_AXI_SPI_ENGINE_ENABLE_ENABLE(x) GetField(AXI_SPI_ENGINE_ENABLE,"ENABLE",x) - `define DEFAULT_AXI_SPI_ENGINE_ENABLE_ENABLE GetResetValue(AXI_SPI_ENGINE_ENABLE,"ENABLE") - `define UPDATE_AXI_SPI_ENGINE_ENABLE_ENABLE(x,y) UpdateField(AXI_SPI_ENGINE_ENABLE,"ENABLE",x,y) - - const reg_t AXI_SPI_ENGINE_IRQ_MASK = '{ 'h0080, "IRQ_MASK" , '{ - "CMD_ALMOST_EMPTY": '{ 0, 0, RW, 'h00000000 }, - "SDO_ALMOST_EMPTY": '{ 1, 1, RW, 'h00000000 }, - "SDI_ALMOST_FULL": '{ 2, 2, RW, 'h00000000 }, - "SYNC_EVENT": '{ 3, 3, RW, 'h00000000 }, - "OFFLOAD_SYNC_ID_PENDING": '{ 4, 4, RW, 'h00000000 }}}; - `define SET_AXI_SPI_ENGINE_IRQ_MASK_CMD_ALMOST_EMPTY(x) SetField(AXI_SPI_ENGINE_IRQ_MASK,"CMD_ALMOST_EMPTY",x) - `define GET_AXI_SPI_ENGINE_IRQ_MASK_CMD_ALMOST_EMPTY(x) GetField(AXI_SPI_ENGINE_IRQ_MASK,"CMD_ALMOST_EMPTY",x) - `define DEFAULT_AXI_SPI_ENGINE_IRQ_MASK_CMD_ALMOST_EMPTY GetResetValue(AXI_SPI_ENGINE_IRQ_MASK,"CMD_ALMOST_EMPTY") - `define UPDATE_AXI_SPI_ENGINE_IRQ_MASK_CMD_ALMOST_EMPTY(x,y) UpdateField(AXI_SPI_ENGINE_IRQ_MASK,"CMD_ALMOST_EMPTY",x,y) - `define SET_AXI_SPI_ENGINE_IRQ_MASK_SDO_ALMOST_EMPTY(x) SetField(AXI_SPI_ENGINE_IRQ_MASK,"SDO_ALMOST_EMPTY",x) - `define GET_AXI_SPI_ENGINE_IRQ_MASK_SDO_ALMOST_EMPTY(x) GetField(AXI_SPI_ENGINE_IRQ_MASK,"SDO_ALMOST_EMPTY",x) - `define DEFAULT_AXI_SPI_ENGINE_IRQ_MASK_SDO_ALMOST_EMPTY GetResetValue(AXI_SPI_ENGINE_IRQ_MASK,"SDO_ALMOST_EMPTY") - `define UPDATE_AXI_SPI_ENGINE_IRQ_MASK_SDO_ALMOST_EMPTY(x,y) UpdateField(AXI_SPI_ENGINE_IRQ_MASK,"SDO_ALMOST_EMPTY",x,y) - `define SET_AXI_SPI_ENGINE_IRQ_MASK_SDI_ALMOST_FULL(x) SetField(AXI_SPI_ENGINE_IRQ_MASK,"SDI_ALMOST_FULL",x) - `define GET_AXI_SPI_ENGINE_IRQ_MASK_SDI_ALMOST_FULL(x) GetField(AXI_SPI_ENGINE_IRQ_MASK,"SDI_ALMOST_FULL",x) - `define DEFAULT_AXI_SPI_ENGINE_IRQ_MASK_SDI_ALMOST_FULL GetResetValue(AXI_SPI_ENGINE_IRQ_MASK,"SDI_ALMOST_FULL") - `define UPDATE_AXI_SPI_ENGINE_IRQ_MASK_SDI_ALMOST_FULL(x,y) UpdateField(AXI_SPI_ENGINE_IRQ_MASK,"SDI_ALMOST_FULL",x,y) - `define SET_AXI_SPI_ENGINE_IRQ_MASK_SYNC_EVENT(x) SetField(AXI_SPI_ENGINE_IRQ_MASK,"SYNC_EVENT",x) - `define GET_AXI_SPI_ENGINE_IRQ_MASK_SYNC_EVENT(x) GetField(AXI_SPI_ENGINE_IRQ_MASK,"SYNC_EVENT",x) - `define DEFAULT_AXI_SPI_ENGINE_IRQ_MASK_SYNC_EVENT GetResetValue(AXI_SPI_ENGINE_IRQ_MASK,"SYNC_EVENT") - `define UPDATE_AXI_SPI_ENGINE_IRQ_MASK_SYNC_EVENT(x,y) UpdateField(AXI_SPI_ENGINE_IRQ_MASK,"SYNC_EVENT",x,y) - `define SET_AXI_SPI_ENGINE_IRQ_MASK_OFFLOAD_SYNC_ID_PENDING(x) SetField(AXI_SPI_ENGINE_IRQ_MASK,"OFFLOAD_SYNC_ID_PENDING",x) - `define GET_AXI_SPI_ENGINE_IRQ_MASK_OFFLOAD_SYNC_ID_PENDING(x) GetField(AXI_SPI_ENGINE_IRQ_MASK,"OFFLOAD_SYNC_ID_PENDING",x) - `define DEFAULT_AXI_SPI_ENGINE_IRQ_MASK_OFFLOAD_SYNC_ID_PENDING GetResetValue(AXI_SPI_ENGINE_IRQ_MASK,"OFFLOAD_SYNC_ID_PENDING") - `define UPDATE_AXI_SPI_ENGINE_IRQ_MASK_OFFLOAD_SYNC_ID_PENDING(x,y) UpdateField(AXI_SPI_ENGINE_IRQ_MASK,"OFFLOAD_SYNC_ID_PENDING",x,y) - - const reg_t AXI_SPI_ENGINE_IRQ_PENDING = '{ 'h0084, "IRQ_PENDING" , '{ - "IRQ_PENDING": '{ 31, 0, RW1C, 'h00000000 }}}; - `define SET_AXI_SPI_ENGINE_IRQ_PENDING_IRQ_PENDING(x) SetField(AXI_SPI_ENGINE_IRQ_PENDING,"IRQ_PENDING",x) - `define GET_AXI_SPI_ENGINE_IRQ_PENDING_IRQ_PENDING(x) GetField(AXI_SPI_ENGINE_IRQ_PENDING,"IRQ_PENDING",x) - `define DEFAULT_AXI_SPI_ENGINE_IRQ_PENDING_IRQ_PENDING GetResetValue(AXI_SPI_ENGINE_IRQ_PENDING,"IRQ_PENDING") - `define UPDATE_AXI_SPI_ENGINE_IRQ_PENDING_IRQ_PENDING(x,y) UpdateField(AXI_SPI_ENGINE_IRQ_PENDING,"IRQ_PENDING",x,y) - - const reg_t AXI_SPI_ENGINE_IRQ_SOURCE = '{ 'h0088, "IRQ_SOURCE" , '{ - "IRQ_SOURCE": '{ 31, 0, RO, 'h00000000 }}}; - `define SET_AXI_SPI_ENGINE_IRQ_SOURCE_IRQ_SOURCE(x) SetField(AXI_SPI_ENGINE_IRQ_SOURCE,"IRQ_SOURCE",x) - `define GET_AXI_SPI_ENGINE_IRQ_SOURCE_IRQ_SOURCE(x) GetField(AXI_SPI_ENGINE_IRQ_SOURCE,"IRQ_SOURCE",x) - `define DEFAULT_AXI_SPI_ENGINE_IRQ_SOURCE_IRQ_SOURCE GetResetValue(AXI_SPI_ENGINE_IRQ_SOURCE,"IRQ_SOURCE") - `define UPDATE_AXI_SPI_ENGINE_IRQ_SOURCE_IRQ_SOURCE(x,y) UpdateField(AXI_SPI_ENGINE_IRQ_SOURCE,"IRQ_SOURCE",x,y) - - const reg_t AXI_SPI_ENGINE_SYNC_ID = '{ 'h00c0, "SYNC_ID" , '{ - "SYNC_ID": '{ 31, 0, RO, 'h00 }}}; - `define SET_AXI_SPI_ENGINE_SYNC_ID_SYNC_ID(x) SetField(AXI_SPI_ENGINE_SYNC_ID,"SYNC_ID",x) - `define GET_AXI_SPI_ENGINE_SYNC_ID_SYNC_ID(x) GetField(AXI_SPI_ENGINE_SYNC_ID,"SYNC_ID",x) - `define DEFAULT_AXI_SPI_ENGINE_SYNC_ID_SYNC_ID GetResetValue(AXI_SPI_ENGINE_SYNC_ID,"SYNC_ID") - `define UPDATE_AXI_SPI_ENGINE_SYNC_ID_SYNC_ID(x,y) UpdateField(AXI_SPI_ENGINE_SYNC_ID,"SYNC_ID",x,y) - - const reg_t AXI_SPI_ENGINE_OFFLOAD_SYNC_ID = '{ 'h00c4, "OFFLOAD_SYNC_ID" , '{ - "OFFLOAD_SYNC_ID": '{ 31, 0, RO, 'h00000000 }}}; - `define SET_AXI_SPI_ENGINE_OFFLOAD_SYNC_ID_OFFLOAD_SYNC_ID(x) SetField(AXI_SPI_ENGINE_OFFLOAD_SYNC_ID,"OFFLOAD_SYNC_ID",x) - `define GET_AXI_SPI_ENGINE_OFFLOAD_SYNC_ID_OFFLOAD_SYNC_ID(x) GetField(AXI_SPI_ENGINE_OFFLOAD_SYNC_ID,"OFFLOAD_SYNC_ID",x) - `define DEFAULT_AXI_SPI_ENGINE_OFFLOAD_SYNC_ID_OFFLOAD_SYNC_ID GetResetValue(AXI_SPI_ENGINE_OFFLOAD_SYNC_ID,"OFFLOAD_SYNC_ID") - `define UPDATE_AXI_SPI_ENGINE_OFFLOAD_SYNC_ID_OFFLOAD_SYNC_ID(x,y) UpdateField(AXI_SPI_ENGINE_OFFLOAD_SYNC_ID,"OFFLOAD_SYNC_ID",x,y) - - const reg_t AXI_SPI_ENGINE_CMD_FIFO_ROOM = '{ 'h00d0, "CMD_FIFO_ROOM" , '{ - "CMD_FIFO_ROOM": '{ 31, 0, RO, 0 }}}; - `define SET_AXI_SPI_ENGINE_CMD_FIFO_ROOM_CMD_FIFO_ROOM(x) SetField(AXI_SPI_ENGINE_CMD_FIFO_ROOM,"CMD_FIFO_ROOM",x) - `define GET_AXI_SPI_ENGINE_CMD_FIFO_ROOM_CMD_FIFO_ROOM(x) GetField(AXI_SPI_ENGINE_CMD_FIFO_ROOM,"CMD_FIFO_ROOM",x) - `define DEFAULT_AXI_SPI_ENGINE_CMD_FIFO_ROOM_CMD_FIFO_ROOM GetResetValue(AXI_SPI_ENGINE_CMD_FIFO_ROOM,"CMD_FIFO_ROOM") - `define UPDATE_AXI_SPI_ENGINE_CMD_FIFO_ROOM_CMD_FIFO_ROOM(x,y) UpdateField(AXI_SPI_ENGINE_CMD_FIFO_ROOM,"CMD_FIFO_ROOM",x,y) - - const reg_t AXI_SPI_ENGINE_SDO_FIFO_ROOM = '{ 'h00d4, "SDO_FIFO_ROOM" , '{ - "SDO_FIFO_ROOM": '{ 31, 0, RO, 0 }}}; - `define SET_AXI_SPI_ENGINE_SDO_FIFO_ROOM_SDO_FIFO_ROOM(x) SetField(AXI_SPI_ENGINE_SDO_FIFO_ROOM,"SDO_FIFO_ROOM",x) - `define GET_AXI_SPI_ENGINE_SDO_FIFO_ROOM_SDO_FIFO_ROOM(x) GetField(AXI_SPI_ENGINE_SDO_FIFO_ROOM,"SDO_FIFO_ROOM",x) - `define DEFAULT_AXI_SPI_ENGINE_SDO_FIFO_ROOM_SDO_FIFO_ROOM GetResetValue(AXI_SPI_ENGINE_SDO_FIFO_ROOM,"SDO_FIFO_ROOM") - `define UPDATE_AXI_SPI_ENGINE_SDO_FIFO_ROOM_SDO_FIFO_ROOM(x,y) UpdateField(AXI_SPI_ENGINE_SDO_FIFO_ROOM,"SDO_FIFO_ROOM",x,y) - - const reg_t AXI_SPI_ENGINE_SDI_FIFO_LEVEL = '{ 'h00d8, "SDI_FIFO_LEVEL" , '{ - "SDI_FIFO_LEVEL": '{ 31, 0, RO, 'h00000000 }}}; - `define SET_AXI_SPI_ENGINE_SDI_FIFO_LEVEL_SDI_FIFO_LEVEL(x) SetField(AXI_SPI_ENGINE_SDI_FIFO_LEVEL,"SDI_FIFO_LEVEL",x) - `define GET_AXI_SPI_ENGINE_SDI_FIFO_LEVEL_SDI_FIFO_LEVEL(x) GetField(AXI_SPI_ENGINE_SDI_FIFO_LEVEL,"SDI_FIFO_LEVEL",x) - `define DEFAULT_AXI_SPI_ENGINE_SDI_FIFO_LEVEL_SDI_FIFO_LEVEL GetResetValue(AXI_SPI_ENGINE_SDI_FIFO_LEVEL,"SDI_FIFO_LEVEL") - `define UPDATE_AXI_SPI_ENGINE_SDI_FIFO_LEVEL_SDI_FIFO_LEVEL(x,y) UpdateField(AXI_SPI_ENGINE_SDI_FIFO_LEVEL,"SDI_FIFO_LEVEL",x,y) - - const reg_t AXI_SPI_ENGINE_CMD_FIFO = '{ 'h00e0, "CMD_FIFO" , '{ - "CMD_FIFO": '{ 31, 0, WO, 'h00 }}}; - `define SET_AXI_SPI_ENGINE_CMD_FIFO_CMD_FIFO(x) SetField(AXI_SPI_ENGINE_CMD_FIFO,"CMD_FIFO",x) - `define GET_AXI_SPI_ENGINE_CMD_FIFO_CMD_FIFO(x) GetField(AXI_SPI_ENGINE_CMD_FIFO,"CMD_FIFO",x) - `define DEFAULT_AXI_SPI_ENGINE_CMD_FIFO_CMD_FIFO GetResetValue(AXI_SPI_ENGINE_CMD_FIFO,"CMD_FIFO") - `define UPDATE_AXI_SPI_ENGINE_CMD_FIFO_CMD_FIFO(x,y) UpdateField(AXI_SPI_ENGINE_CMD_FIFO,"CMD_FIFO",x,y) - - const reg_t AXI_SPI_ENGINE_SDO_FIFO = '{ 'h00e4, "SDO_FIFO" , '{ - "SDO_FIFO": '{ 31, 0, WO, 'h00 }}}; - `define SET_AXI_SPI_ENGINE_SDO_FIFO_SDO_FIFO(x) SetField(AXI_SPI_ENGINE_SDO_FIFO,"SDO_FIFO",x) - `define GET_AXI_SPI_ENGINE_SDO_FIFO_SDO_FIFO(x) GetField(AXI_SPI_ENGINE_SDO_FIFO,"SDO_FIFO",x) - `define DEFAULT_AXI_SPI_ENGINE_SDO_FIFO_SDO_FIFO GetResetValue(AXI_SPI_ENGINE_SDO_FIFO,"SDO_FIFO") - `define UPDATE_AXI_SPI_ENGINE_SDO_FIFO_SDO_FIFO(x,y) UpdateField(AXI_SPI_ENGINE_SDO_FIFO,"SDO_FIFO",x,y) - - const reg_t AXI_SPI_ENGINE_SDI_FIFO = '{ 'h00e8, "SDI_FIFO" , '{ - "SDI_FIFO": '{ 31, 0, RO, 'h00 }}}; - `define SET_AXI_SPI_ENGINE_SDI_FIFO_SDI_FIFO(x) SetField(AXI_SPI_ENGINE_SDI_FIFO,"SDI_FIFO",x) - `define GET_AXI_SPI_ENGINE_SDI_FIFO_SDI_FIFO(x) GetField(AXI_SPI_ENGINE_SDI_FIFO,"SDI_FIFO",x) - `define DEFAULT_AXI_SPI_ENGINE_SDI_FIFO_SDI_FIFO GetResetValue(AXI_SPI_ENGINE_SDI_FIFO,"SDI_FIFO") - `define UPDATE_AXI_SPI_ENGINE_SDI_FIFO_SDI_FIFO(x,y) UpdateField(AXI_SPI_ENGINE_SDI_FIFO,"SDI_FIFO",x,y) - - const reg_t AXI_SPI_ENGINE_SDI_FIFO_MSB = '{ 'h00ec, "SDI_FIFO_MSB" , '{ - "SDI_FIFO_MSB": '{ 31, 0, RO, 0 }}}; - `define SET_AXI_SPI_ENGINE_SDI_FIFO_MSB_SDI_FIFO_MSB(x) SetField(AXI_SPI_ENGINE_SDI_FIFO_MSB,"SDI_FIFO_MSB",x) - `define GET_AXI_SPI_ENGINE_SDI_FIFO_MSB_SDI_FIFO_MSB(x) GetField(AXI_SPI_ENGINE_SDI_FIFO_MSB,"SDI_FIFO_MSB",x) - `define DEFAULT_AXI_SPI_ENGINE_SDI_FIFO_MSB_SDI_FIFO_MSB GetResetValue(AXI_SPI_ENGINE_SDI_FIFO_MSB,"SDI_FIFO_MSB") - `define UPDATE_AXI_SPI_ENGINE_SDI_FIFO_MSB_SDI_FIFO_MSB(x,y) UpdateField(AXI_SPI_ENGINE_SDI_FIFO_MSB,"SDI_FIFO_MSB",x,y) - - const reg_t AXI_SPI_ENGINE_SDI_FIFO_PEEK = '{ 'h00f0, "SDI_FIFO_PEEK" , '{ - "SDI_FIFO_PEEK": '{ 31, 0, RO, 'h00 }}}; - `define SET_AXI_SPI_ENGINE_SDI_FIFO_PEEK_SDI_FIFO_PEEK(x) SetField(AXI_SPI_ENGINE_SDI_FIFO_PEEK,"SDI_FIFO_PEEK",x) - `define GET_AXI_SPI_ENGINE_SDI_FIFO_PEEK_SDI_FIFO_PEEK(x) GetField(AXI_SPI_ENGINE_SDI_FIFO_PEEK,"SDI_FIFO_PEEK",x) - `define DEFAULT_AXI_SPI_ENGINE_SDI_FIFO_PEEK_SDI_FIFO_PEEK GetResetValue(AXI_SPI_ENGINE_SDI_FIFO_PEEK,"SDI_FIFO_PEEK") - `define UPDATE_AXI_SPI_ENGINE_SDI_FIFO_PEEK_SDI_FIFO_PEEK(x,y) UpdateField(AXI_SPI_ENGINE_SDI_FIFO_PEEK,"SDI_FIFO_PEEK",x,y) - - const reg_t AXI_SPI_ENGINE_OFFLOAD0_EN = '{ 'h0100, "OFFLOAD0_EN" , '{ - "OFFLOAD0_EN": '{ 31, 0, RW, 'h00000000 }}}; - `define SET_AXI_SPI_ENGINE_OFFLOAD0_EN_OFFLOAD0_EN(x) SetField(AXI_SPI_ENGINE_OFFLOAD0_EN,"OFFLOAD0_EN",x) - `define GET_AXI_SPI_ENGINE_OFFLOAD0_EN_OFFLOAD0_EN(x) GetField(AXI_SPI_ENGINE_OFFLOAD0_EN,"OFFLOAD0_EN",x) - `define DEFAULT_AXI_SPI_ENGINE_OFFLOAD0_EN_OFFLOAD0_EN GetResetValue(AXI_SPI_ENGINE_OFFLOAD0_EN,"OFFLOAD0_EN") - `define UPDATE_AXI_SPI_ENGINE_OFFLOAD0_EN_OFFLOAD0_EN(x,y) UpdateField(AXI_SPI_ENGINE_OFFLOAD0_EN,"OFFLOAD0_EN",x,y) - - const reg_t AXI_SPI_ENGINE_OFFLOAD0_STATUS = '{ 'h0104, "OFFLOAD0_STATUS" , '{ - "OFFLOAD0_STATUS": '{ 31, 0, RO, 'h00000000 }}}; - `define SET_AXI_SPI_ENGINE_OFFLOAD0_STATUS_OFFLOAD0_STATUS(x) SetField(AXI_SPI_ENGINE_OFFLOAD0_STATUS,"OFFLOAD0_STATUS",x) - `define GET_AXI_SPI_ENGINE_OFFLOAD0_STATUS_OFFLOAD0_STATUS(x) GetField(AXI_SPI_ENGINE_OFFLOAD0_STATUS,"OFFLOAD0_STATUS",x) - `define DEFAULT_AXI_SPI_ENGINE_OFFLOAD0_STATUS_OFFLOAD0_STATUS GetResetValue(AXI_SPI_ENGINE_OFFLOAD0_STATUS,"OFFLOAD0_STATUS") - `define UPDATE_AXI_SPI_ENGINE_OFFLOAD0_STATUS_OFFLOAD0_STATUS(x,y) UpdateField(AXI_SPI_ENGINE_OFFLOAD0_STATUS,"OFFLOAD0_STATUS",x,y) - - const reg_t AXI_SPI_ENGINE_OFFLOAD0_MEM_RESET = '{ 'h0108, "OFFLOAD0_MEM_RESET" , '{ - "OFFLOAD0_MEM_RESET": '{ 31, 0, WO, 'h00000000 }}}; - `define SET_AXI_SPI_ENGINE_OFFLOAD0_MEM_RESET_OFFLOAD0_MEM_RESET(x) SetField(AXI_SPI_ENGINE_OFFLOAD0_MEM_RESET,"OFFLOAD0_MEM_RESET",x) - `define GET_AXI_SPI_ENGINE_OFFLOAD0_MEM_RESET_OFFLOAD0_MEM_RESET(x) GetField(AXI_SPI_ENGINE_OFFLOAD0_MEM_RESET,"OFFLOAD0_MEM_RESET",x) - `define DEFAULT_AXI_SPI_ENGINE_OFFLOAD0_MEM_RESET_OFFLOAD0_MEM_RESET GetResetValue(AXI_SPI_ENGINE_OFFLOAD0_MEM_RESET,"OFFLOAD0_MEM_RESET") - `define UPDATE_AXI_SPI_ENGINE_OFFLOAD0_MEM_RESET_OFFLOAD0_MEM_RESET(x,y) UpdateField(AXI_SPI_ENGINE_OFFLOAD0_MEM_RESET,"OFFLOAD0_MEM_RESET",x,y) - - const reg_t AXI_SPI_ENGINE_OFFLOAD0_CDM_FIFO = '{ 'h0110, "OFFLOAD0_CDM_FIFO" , '{ - "OFFLOAD0_CDM_FIFO": '{ 31, 0, WO, 'h00 }}}; - `define SET_AXI_SPI_ENGINE_OFFLOAD0_CDM_FIFO_OFFLOAD0_CDM_FIFO(x) SetField(AXI_SPI_ENGINE_OFFLOAD0_CDM_FIFO,"OFFLOAD0_CDM_FIFO",x) - `define GET_AXI_SPI_ENGINE_OFFLOAD0_CDM_FIFO_OFFLOAD0_CDM_FIFO(x) GetField(AXI_SPI_ENGINE_OFFLOAD0_CDM_FIFO,"OFFLOAD0_CDM_FIFO",x) - `define DEFAULT_AXI_SPI_ENGINE_OFFLOAD0_CDM_FIFO_OFFLOAD0_CDM_FIFO GetResetValue(AXI_SPI_ENGINE_OFFLOAD0_CDM_FIFO,"OFFLOAD0_CDM_FIFO") - `define UPDATE_AXI_SPI_ENGINE_OFFLOAD0_CDM_FIFO_OFFLOAD0_CDM_FIFO(x,y) UpdateField(AXI_SPI_ENGINE_OFFLOAD0_CDM_FIFO,"OFFLOAD0_CDM_FIFO",x,y) - - const reg_t AXI_SPI_ENGINE_OFFLOAD0_SDO_FIFO = '{ 'h0114, "OFFLOAD0_SDO_FIFO" , '{ - "OFFLOAD0_SDO_FIFO": '{ 31, 0, WO, 'h00 }}}; - `define SET_AXI_SPI_ENGINE_OFFLOAD0_SDO_FIFO_OFFLOAD0_SDO_FIFO(x) SetField(AXI_SPI_ENGINE_OFFLOAD0_SDO_FIFO,"OFFLOAD0_SDO_FIFO",x) - `define GET_AXI_SPI_ENGINE_OFFLOAD0_SDO_FIFO_OFFLOAD0_SDO_FIFO(x) GetField(AXI_SPI_ENGINE_OFFLOAD0_SDO_FIFO,"OFFLOAD0_SDO_FIFO",x) - `define DEFAULT_AXI_SPI_ENGINE_OFFLOAD0_SDO_FIFO_OFFLOAD0_SDO_FIFO GetResetValue(AXI_SPI_ENGINE_OFFLOAD0_SDO_FIFO,"OFFLOAD0_SDO_FIFO") - `define UPDATE_AXI_SPI_ENGINE_OFFLOAD0_SDO_FIFO_OFFLOAD0_SDO_FIFO(x,y) UpdateField(AXI_SPI_ENGINE_OFFLOAD0_SDO_FIFO,"OFFLOAD0_SDO_FIFO",x,y) - - const reg_t AXI_SPI_ENGINE_CFG_INFO_0 = '{ 'h0200, "CFG_INFO_0" , '{ - "CFG_INFO_0": '{ 31, 0, RO, 0 }}}; - `define SET_AXI_SPI_ENGINE_CFG_INFO_0_CFG_INFO_0(x) SetField(AXI_SPI_ENGINE_CFG_INFO_0,"CFG_INFO_0",x) - `define GET_AXI_SPI_ENGINE_CFG_INFO_0_CFG_INFO_0(x) GetField(AXI_SPI_ENGINE_CFG_INFO_0,"CFG_INFO_0",x) - `define DEFAULT_AXI_SPI_ENGINE_CFG_INFO_0_CFG_INFO_0 GetResetValue(AXI_SPI_ENGINE_CFG_INFO_0,"CFG_INFO_0") - `define UPDATE_AXI_SPI_ENGINE_CFG_INFO_0_CFG_INFO_0(x,y) UpdateField(AXI_SPI_ENGINE_CFG_INFO_0,"CFG_INFO_0",x,y) - - const reg_t AXI_SPI_ENGINE_CFG_INFO_1 = '{ 'h0204, "CFG_INFO_1" , '{ - "CFG_INFO_1": '{ 31, 0, RO, 0 }}}; - `define SET_AXI_SPI_ENGINE_CFG_INFO_1_CFG_INFO_1(x) SetField(AXI_SPI_ENGINE_CFG_INFO_1,"CFG_INFO_1",x) - `define GET_AXI_SPI_ENGINE_CFG_INFO_1_CFG_INFO_1(x) GetField(AXI_SPI_ENGINE_CFG_INFO_1,"CFG_INFO_1",x) - `define DEFAULT_AXI_SPI_ENGINE_CFG_INFO_1_CFG_INFO_1 GetResetValue(AXI_SPI_ENGINE_CFG_INFO_1,"CFG_INFO_1") - `define UPDATE_AXI_SPI_ENGINE_CFG_INFO_1_CFG_INFO_1(x,y) UpdateField(AXI_SPI_ENGINE_CFG_INFO_1,"CFG_INFO_1",x,y) - - const reg_t AXI_SPI_ENGINE_CFG_INFO_2 = '{ 'h0208, "CFG_INFO_2" , '{ - "CFG_INFO_2": '{ 31, 0, RO, 0 }}}; - `define SET_AXI_SPI_ENGINE_CFG_INFO_2_CFG_INFO_2(x) SetField(AXI_SPI_ENGINE_CFG_INFO_2,"CFG_INFO_2",x) - `define GET_AXI_SPI_ENGINE_CFG_INFO_2_CFG_INFO_2(x) GetField(AXI_SPI_ENGINE_CFG_INFO_2,"CFG_INFO_2",x) - `define DEFAULT_AXI_SPI_ENGINE_CFG_INFO_2_CFG_INFO_2 GetResetValue(AXI_SPI_ENGINE_CFG_INFO_2,"CFG_INFO_2") - `define UPDATE_AXI_SPI_ENGINE_CFG_INFO_2_CFG_INFO_2(x,y) UpdateField(AXI_SPI_ENGINE_CFG_INFO_2,"CFG_INFO_2",x,y) - - const reg_t AXI_SPI_ENGINE_CFG_INFO_3 = '{ 'h020c, "CFG_INFO_3" , '{ - "CFG_INFO_4": '{ 31, 0, RO, 0 }}}; - `define SET_AXI_SPI_ENGINE_CFG_INFO_3_CFG_INFO_4(x) SetField(AXI_SPI_ENGINE_CFG_INFO_3,"CFG_INFO_4",x) - `define GET_AXI_SPI_ENGINE_CFG_INFO_3_CFG_INFO_4(x) GetField(AXI_SPI_ENGINE_CFG_INFO_3,"CFG_INFO_4",x) - `define DEFAULT_AXI_SPI_ENGINE_CFG_INFO_3_CFG_INFO_4 GetResetValue(AXI_SPI_ENGINE_CFG_INFO_3,"CFG_INFO_4") - `define UPDATE_AXI_SPI_ENGINE_CFG_INFO_3_CFG_INFO_4(x,y) UpdateField(AXI_SPI_ENGINE_CFG_INFO_3,"CFG_INFO_4",x,y) - - -endpackage + import logger_pkg::*; + import adi_api_pkg::*; + + class adi_regmap_spi_engine extends adi_regmap; + + /* SPI Engine (axi_spi_engine) */ + class VERSION_CLASS extends register_base; + field_base VERSION_MAJOR_F; + field_base VERSION_MINOR_F; + field_base VERSION_PATCH_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.VERSION_MAJOR_F = new("VERSION_MAJOR", 31, 16, RO, 'h1, this); + this.VERSION_MINOR_F = new("VERSION_MINOR", 15, 8, RO, 'h4, this); + this.VERSION_PATCH_F = new("VERSION_PATCH", 7, 0, RO, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: VERSION_CLASS + + class PERIPHERAL_ID_CLASS extends register_base; + field_base PERIPHERAL_ID_F; + + function new( + input string name, + input int address, + input int ID, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.PERIPHERAL_ID_F = new("PERIPHERAL_ID", 31, 0, RO, ID, this); + + this.initialization_done = 1; + endfunction: new + endclass: PERIPHERAL_ID_CLASS + + class SCRATCH_CLASS extends register_base; + field_base SCRATCH_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.SCRATCH_F = new("SCRATCH", 31, 0, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: SCRATCH_CLASS + + class DATA_WIDTH_CLASS extends register_base; + field_base NUM_OF_SDI_F; + field_base DATA_WIDTH_F; + + function new( + input string name, + input int address, + input int DATA_WIDTH, + input int NUM_OF_SDI, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.NUM_OF_SDI_F = new("NUM_OF_SDI", 7, 4, RO, NUM_OF_SDI, this); + this.DATA_WIDTH_F = new("DATA_WIDTH", 3, 0, RO, DATA_WIDTH, this); + + this.initialization_done = 1; + endfunction: new + endclass: DATA_WIDTH_CLASS + + class OFFLOAD_MEM_ADDR_WIDTH_CLASS extends register_base; + field_base SDO_MEM_ADDRESS_WIDTH_F; + field_base CMD_MEM_ADDRESS_WIDTH_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.SDO_MEM_ADDRESS_WIDTH_F = new("SDO_MEM_ADDRESS_WIDTH", 15, 8, RO, 'h4, this); + this.CMD_MEM_ADDRESS_WIDTH_F = new("CMD_MEM_ADDRESS_WIDTH", 7, 0, RO, 'h4, this); + + this.initialization_done = 1; + endfunction: new + endclass: OFFLOAD_MEM_ADDR_WIDTH_CLASS + + class FIFO_ADDR_WIDTH_CLASS extends register_base; + field_base SDI_FIFO_ADDRESS_WIDTH_F; + field_base SDO_FIFO_ADDRESS_WIDTH_F; + field_base SYNC_FIFO_ADDRESS_WIDTH_F; + field_base CMD_FIFO_ADDRESS_WIDTH_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.SDI_FIFO_ADDRESS_WIDTH_F = new("SDI_FIFO_ADDRESS_WIDTH", 31, 24, RO, 'h5, this); + this.SDO_FIFO_ADDRESS_WIDTH_F = new("SDO_FIFO_ADDRESS_WIDTH", 23, 16, RO, 'h5, this); + this.SYNC_FIFO_ADDRESS_WIDTH_F = new("SYNC_FIFO_ADDRESS_WIDTH", 15, 8, RO, 'h4, this); + this.CMD_FIFO_ADDRESS_WIDTH_F = new("CMD_FIFO_ADDRESS_WIDTH", 7, 0, RO, 'h4, this); + + this.initialization_done = 1; + endfunction: new + endclass: FIFO_ADDR_WIDTH_CLASS + + class ENABLE_CLASS extends register_base; + field_base ENABLE_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.ENABLE_F = new("ENABLE", 31, 0, RW, 'h1, this); + + this.initialization_done = 1; + endfunction: new + endclass: ENABLE_CLASS + + class IRQ_MASK_CLASS extends register_base; + field_base CMD_ALMOST_EMPTY_F; + field_base SDO_ALMOST_EMPTY_F; + field_base SDI_ALMOST_FULL_F; + field_base SYNC_EVENT_F; + field_base OFFLOAD_SYNC_ID_PENDING_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.CMD_ALMOST_EMPTY_F = new("CMD_ALMOST_EMPTY", 0, 0, RW, 'h0, this); + this.SDO_ALMOST_EMPTY_F = new("SDO_ALMOST_EMPTY", 1, 1, RW, 'h0, this); + this.SDI_ALMOST_FULL_F = new("SDI_ALMOST_FULL", 2, 2, RW, 'h0, this); + this.SYNC_EVENT_F = new("SYNC_EVENT", 3, 3, RW, 'h0, this); + this.OFFLOAD_SYNC_ID_PENDING_F = new("OFFLOAD_SYNC_ID_PENDING", 4, 4, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: IRQ_MASK_CLASS + + class IRQ_PENDING_CLASS extends register_base; + field_base IRQ_PENDING_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.IRQ_PENDING_F = new("IRQ_PENDING", 31, 0, RW1C, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: IRQ_PENDING_CLASS + + class IRQ_SOURCE_CLASS extends register_base; + field_base IRQ_SOURCE_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.IRQ_SOURCE_F = new("IRQ_SOURCE", 31, 0, RO, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: IRQ_SOURCE_CLASS + + class SYNC_ID_CLASS extends register_base; + field_base SYNC_ID_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.SYNC_ID_F = new("SYNC_ID", 31, 0, RO, 'hXXXXXXXX, this); + + this.initialization_done = 1; + endfunction: new + endclass: SYNC_ID_CLASS + + class OFFLOAD_SYNC_ID_CLASS extends register_base; + field_base OFFLOAD_SYNC_ID_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.OFFLOAD_SYNC_ID_F = new("OFFLOAD_SYNC_ID", 31, 0, RO, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: OFFLOAD_SYNC_ID_CLASS + + class CMD_FIFO_ROOM_CLASS extends register_base; + field_base CMD_FIFO_ROOM_F; + + function new( + input string name, + input int address, + input int CMD_FIFO_ADDRESS_WIDTH, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.CMD_FIFO_ROOM_F = new("CMD_FIFO_ROOM", 31, 0, RO, $clog2((2**CMD_FIFO_ADDRESS_WIDTH)-1), this); + + this.initialization_done = 1; + endfunction: new + endclass: CMD_FIFO_ROOM_CLASS + + class SDO_FIFO_ROOM_CLASS extends register_base; + field_base SDO_FIFO_ROOM_F; + + function new( + input string name, + input int address, + input int SDO_FIFO_ADDRESS_WIDTH, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.SDO_FIFO_ROOM_F = new("SDO_FIFO_ROOM", 31, 0, RO, $clog2((2**SDO_FIFO_ADDRESS_WIDTH)-1), this); + + this.initialization_done = 1; + endfunction: new + endclass: SDO_FIFO_ROOM_CLASS + + class SDI_FIFO_LEVEL_CLASS extends register_base; + field_base SDI_FIFO_LEVEL_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.SDI_FIFO_LEVEL_F = new("SDI_FIFO_LEVEL", 31, 0, RO, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: SDI_FIFO_LEVEL_CLASS + + class CMD_FIFO_CLASS extends register_base; + field_base CMD_FIFO_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.CMD_FIFO_F = new("CMD_FIFO", 31, 0, WO, 'hXXXXXXXX, this); + + this.initialization_done = 1; + endfunction: new + endclass: CMD_FIFO_CLASS + + class SDO_FIFO_CLASS extends register_base; + field_base SDO_FIFO_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.SDO_FIFO_F = new("SDO_FIFO", 31, 0, WO, 'hXXXXXXXX, this); + + this.initialization_done = 1; + endfunction: new + endclass: SDO_FIFO_CLASS + + class SDI_FIFO_CLASS extends register_base; + field_base SDI_FIFO_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.SDI_FIFO_F = new("SDI_FIFO", 31, 0, RO, 'hXXXXXXXX, this); + + this.initialization_done = 1; + endfunction: new + endclass: SDI_FIFO_CLASS + + class SDI_FIFO_MSB_CLASS extends register_base; + field_base SDI_FIFO_MSB_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.SDI_FIFO_MSB_F = new("SDI_FIFO_MSB", 31, 0, RO, 'hXXXXXXXX, this); + + this.initialization_done = 1; + endfunction: new + endclass: SDI_FIFO_MSB_CLASS + + class SDI_FIFO_PEEK_CLASS extends register_base; + field_base SDI_FIFO_PEEK_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.SDI_FIFO_PEEK_F = new("SDI_FIFO_PEEK", 31, 0, RO, 'hXXXXXXXX, this); + + this.initialization_done = 1; + endfunction: new + endclass: SDI_FIFO_PEEK_CLASS + + class OFFLOAD0_EN_CLASS extends register_base; + field_base OFFLOAD0_EN_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.OFFLOAD0_EN_F = new("OFFLOAD0_EN", 31, 0, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: OFFLOAD0_EN_CLASS + + class OFFLOAD0_STATUS_CLASS extends register_base; + field_base OFFLOAD0_STATUS_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.OFFLOAD0_STATUS_F = new("OFFLOAD0_STATUS", 31, 0, RO, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: OFFLOAD0_STATUS_CLASS + + class OFFLOAD0_MEM_RESET_CLASS extends register_base; + field_base OFFLOAD0_MEM_RESET_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.OFFLOAD0_MEM_RESET_F = new("OFFLOAD0_MEM_RESET", 31, 0, WO, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: OFFLOAD0_MEM_RESET_CLASS + + class OFFLOAD0_CDM_FIFO_CLASS extends register_base; + field_base OFFLOAD0_CDM_FIFO_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.OFFLOAD0_CDM_FIFO_F = new("OFFLOAD0_CDM_FIFO", 31, 0, WO, 'hXXXXXXXX, this); + + this.initialization_done = 1; + endfunction: new + endclass: OFFLOAD0_CDM_FIFO_CLASS + + class OFFLOAD0_SDO_FIFO_CLASS extends register_base; + field_base OFFLOAD0_SDO_FIFO_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.OFFLOAD0_SDO_FIFO_F = new("OFFLOAD0_SDO_FIFO", 31, 0, WO, 'hXXXXXXXX, this); + + this.initialization_done = 1; + endfunction: new + endclass: OFFLOAD0_SDO_FIFO_CLASS + + class CFG_INFO_0_CLASS extends register_base; + field_base CFG_INFO_0_F; + + function new( + input string name, + input int address, + input int CFG_INFO_0, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.CFG_INFO_0_F = new("CFG_INFO_0", 31, 0, RO, CFG_INFO_0, this); + + this.initialization_done = 1; + endfunction: new + endclass: CFG_INFO_0_CLASS + + class CFG_INFO_1_CLASS extends register_base; + field_base CFG_INFO_1_F; + + function new( + input string name, + input int address, + input int CFG_INFO_1, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.CFG_INFO_1_F = new("CFG_INFO_1", 31, 0, RO, CFG_INFO_1, this); + + this.initialization_done = 1; + endfunction: new + endclass: CFG_INFO_1_CLASS + + class CFG_INFO_2_CLASS extends register_base; + field_base CFG_INFO_2_F; + + function new( + input string name, + input int address, + input int CFG_INFO_2, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.CFG_INFO_2_F = new("CFG_INFO_2", 31, 0, RO, CFG_INFO_2, this); + + this.initialization_done = 1; + endfunction: new + endclass: CFG_INFO_2_CLASS + + class CFG_INFO_3_CLASS extends register_base; + field_base CFG_INFO_4_F; + + function new( + input string name, + input int address, + input int CFG_INFO_3, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.CFG_INFO_4_F = new("CFG_INFO_4", 31, 0, RO, CFG_INFO_3, this); + + this.initialization_done = 1; + endfunction: new + endclass: CFG_INFO_3_CLASS + + VERSION_CLASS VERSION_R; + PERIPHERAL_ID_CLASS PERIPHERAL_ID_R; + SCRATCH_CLASS SCRATCH_R; + DATA_WIDTH_CLASS DATA_WIDTH_R; + OFFLOAD_MEM_ADDR_WIDTH_CLASS OFFLOAD_MEM_ADDR_WIDTH_R; + FIFO_ADDR_WIDTH_CLASS FIFO_ADDR_WIDTH_R; + ENABLE_CLASS ENABLE_R; + IRQ_MASK_CLASS IRQ_MASK_R; + IRQ_PENDING_CLASS IRQ_PENDING_R; + IRQ_SOURCE_CLASS IRQ_SOURCE_R; + SYNC_ID_CLASS SYNC_ID_R; + OFFLOAD_SYNC_ID_CLASS OFFLOAD_SYNC_ID_R; + CMD_FIFO_ROOM_CLASS CMD_FIFO_ROOM_R; + SDO_FIFO_ROOM_CLASS SDO_FIFO_ROOM_R; + SDI_FIFO_LEVEL_CLASS SDI_FIFO_LEVEL_R; + CMD_FIFO_CLASS CMD_FIFO_R; + SDO_FIFO_CLASS SDO_FIFO_R; + SDI_FIFO_CLASS SDI_FIFO_R; + SDI_FIFO_MSB_CLASS SDI_FIFO_MSB_R; + SDI_FIFO_PEEK_CLASS SDI_FIFO_PEEK_R; + OFFLOAD0_EN_CLASS OFFLOAD0_EN_R; + OFFLOAD0_STATUS_CLASS OFFLOAD0_STATUS_R; + OFFLOAD0_MEM_RESET_CLASS OFFLOAD0_MEM_RESET_R; + OFFLOAD0_CDM_FIFO_CLASS OFFLOAD0_CDM_FIFO_R; + OFFLOAD0_SDO_FIFO_CLASS OFFLOAD0_SDO_FIFO_R; + CFG_INFO_0_CLASS CFG_INFO_0_R; + CFG_INFO_1_CLASS CFG_INFO_1_R; + CFG_INFO_2_CLASS CFG_INFO_2_R; + CFG_INFO_3_CLASS CFG_INFO_3_R; + + function new( + input string name, + input int address, + input int CFG_INFO_0, + input int CFG_INFO_1, + input int CFG_INFO_2, + input int CFG_INFO_3, + input int CMD_FIFO_ADDRESS_WIDTH, + input int DATA_WIDTH, + input int ID, + input int NUM_OF_SDI, + input int SDO_FIFO_ADDRESS_WIDTH, + input adi_api parent = null); + + super.new(name, address, parent); + + this.VERSION_R = new("VERSION", 'h0, this); + this.PERIPHERAL_ID_R = new("PERIPHERAL_ID", 'h4, ID, this); + this.SCRATCH_R = new("SCRATCH", 'h8, this); + this.DATA_WIDTH_R = new("DATA_WIDTH", 'hc, DATA_WIDTH, NUM_OF_SDI, this); + this.OFFLOAD_MEM_ADDR_WIDTH_R = new("OFFLOAD_MEM_ADDR_WIDTH", 'h10, this); + this.FIFO_ADDR_WIDTH_R = new("FIFO_ADDR_WIDTH", 'h14, this); + this.ENABLE_R = new("ENABLE", 'h40, this); + this.IRQ_MASK_R = new("IRQ_MASK", 'h80, this); + this.IRQ_PENDING_R = new("IRQ_PENDING", 'h84, this); + this.IRQ_SOURCE_R = new("IRQ_SOURCE", 'h88, this); + this.SYNC_ID_R = new("SYNC_ID", 'hc0, this); + this.OFFLOAD_SYNC_ID_R = new("OFFLOAD_SYNC_ID", 'hc4, this); + this.CMD_FIFO_ROOM_R = new("CMD_FIFO_ROOM", 'hd0, CMD_FIFO_ADDRESS_WIDTH, this); + this.SDO_FIFO_ROOM_R = new("SDO_FIFO_ROOM", 'hd4, SDO_FIFO_ADDRESS_WIDTH, this); + this.SDI_FIFO_LEVEL_R = new("SDI_FIFO_LEVEL", 'hd8, this); + this.CMD_FIFO_R = new("CMD_FIFO", 'he0, this); + this.SDO_FIFO_R = new("SDO_FIFO", 'he4, this); + this.SDI_FIFO_R = new("SDI_FIFO", 'he8, this); + this.SDI_FIFO_MSB_R = new("SDI_FIFO_MSB", 'hec, this); + this.SDI_FIFO_PEEK_R = new("SDI_FIFO_PEEK", 'hf0, this); + this.OFFLOAD0_EN_R = new("OFFLOAD0_EN", 'h100, this); + this.OFFLOAD0_STATUS_R = new("OFFLOAD0_STATUS", 'h104, this); + this.OFFLOAD0_MEM_RESET_R = new("OFFLOAD0_MEM_RESET", 'h108, this); + this.OFFLOAD0_CDM_FIFO_R = new("OFFLOAD0_CDM_FIFO", 'h110, this); + this.OFFLOAD0_SDO_FIFO_R = new("OFFLOAD0_SDO_FIFO", 'h114, this); + this.CFG_INFO_0_R = new("CFG_INFO_0", 'h200, CFG_INFO_0, this); + this.CFG_INFO_1_R = new("CFG_INFO_1", 'h204, CFG_INFO_1, this); + this.CFG_INFO_2_R = new("CFG_INFO_2", 'h208, CFG_INFO_2, this); + this.CFG_INFO_3_R = new("CFG_INFO_3", 'h20c, CFG_INFO_3, this); + + this.info($sformatf("Initialized"), ADI_VERBOSITY_HIGH); + endfunction: new + + endclass: adi_regmap_spi_engine + +endpackage: adi_regmap_spi_engine_pkg diff --git a/library/regmaps/adi_regmap_system_id_pkg.sv b/library/regmaps/adi_regmap_system_id_pkg.sv index dd6f5580..86853d12 100644 --- a/library/regmaps/adi_regmap_system_id_pkg.sv +++ b/library/regmaps/adi_regmap_system_id_pkg.sv @@ -1,6 +1,6 @@ // *************************************************************************** // *************************************************************************** -// Copyright (C) 2014 - 2024 Analog Devices, Inc. All rights reserved. +// Copyright (C) 2014 - 2025 Analog Devices, Inc. All rights reserved. // // In this HDL repository, there are many different and unique modules, consisting // of various HDL (Verilog or VHDL) components. The individual modules are @@ -33,65 +33,141 @@ // *************************************************************************** // *************************************************************************** /* Auto generated Register Map */ -/* Thu Mar 28 13:22:23 2024 */ +/* Jan 28 13:30:17 2025 v0.3.55 */ package adi_regmap_system_id_pkg; - import adi_regmap_pkg::*; - - -/* System ID (axi_system_id) */ - - const reg_t AXI_SYSTEM_ID_VERSION = '{ 'h0000, "VERSION" , '{ - "VERSION_MAJOR": '{ 31, 16, RO, 'h0001 }, - "VERSION_MINOR": '{ 15, 8, RO, 'h00 }, - "VERSION_PATCH": '{ 7, 0, RO, 'h61 }}}; - `define SET_AXI_SYSTEM_ID_VERSION_VERSION_MAJOR(x) SetField(AXI_SYSTEM_ID_VERSION,"VERSION_MAJOR",x) - `define GET_AXI_SYSTEM_ID_VERSION_VERSION_MAJOR(x) GetField(AXI_SYSTEM_ID_VERSION,"VERSION_MAJOR",x) - `define DEFAULT_AXI_SYSTEM_ID_VERSION_VERSION_MAJOR GetResetValue(AXI_SYSTEM_ID_VERSION,"VERSION_MAJOR") - `define UPDATE_AXI_SYSTEM_ID_VERSION_VERSION_MAJOR(x,y) UpdateField(AXI_SYSTEM_ID_VERSION,"VERSION_MAJOR",x,y) - `define SET_AXI_SYSTEM_ID_VERSION_VERSION_MINOR(x) SetField(AXI_SYSTEM_ID_VERSION,"VERSION_MINOR",x) - `define GET_AXI_SYSTEM_ID_VERSION_VERSION_MINOR(x) GetField(AXI_SYSTEM_ID_VERSION,"VERSION_MINOR",x) - `define DEFAULT_AXI_SYSTEM_ID_VERSION_VERSION_MINOR GetResetValue(AXI_SYSTEM_ID_VERSION,"VERSION_MINOR") - `define UPDATE_AXI_SYSTEM_ID_VERSION_VERSION_MINOR(x,y) UpdateField(AXI_SYSTEM_ID_VERSION,"VERSION_MINOR",x,y) - `define SET_AXI_SYSTEM_ID_VERSION_VERSION_PATCH(x) SetField(AXI_SYSTEM_ID_VERSION,"VERSION_PATCH",x) - `define GET_AXI_SYSTEM_ID_VERSION_VERSION_PATCH(x) GetField(AXI_SYSTEM_ID_VERSION,"VERSION_PATCH",x) - `define DEFAULT_AXI_SYSTEM_ID_VERSION_VERSION_PATCH GetResetValue(AXI_SYSTEM_ID_VERSION,"VERSION_PATCH") - `define UPDATE_AXI_SYSTEM_ID_VERSION_VERSION_PATCH(x,y) UpdateField(AXI_SYSTEM_ID_VERSION,"VERSION_PATCH",x,y) - - const reg_t AXI_SYSTEM_ID_PERIPHERAL_ID = '{ 'h0004, "PERIPHERAL_ID" , '{ - "PERIPHERAL_ID": '{ 31, 0, RO, 0 }}}; - `define SET_AXI_SYSTEM_ID_PERIPHERAL_ID_PERIPHERAL_ID(x) SetField(AXI_SYSTEM_ID_PERIPHERAL_ID,"PERIPHERAL_ID",x) - `define GET_AXI_SYSTEM_ID_PERIPHERAL_ID_PERIPHERAL_ID(x) GetField(AXI_SYSTEM_ID_PERIPHERAL_ID,"PERIPHERAL_ID",x) - `define DEFAULT_AXI_SYSTEM_ID_PERIPHERAL_ID_PERIPHERAL_ID GetResetValue(AXI_SYSTEM_ID_PERIPHERAL_ID,"PERIPHERAL_ID") - `define UPDATE_AXI_SYSTEM_ID_PERIPHERAL_ID_PERIPHERAL_ID(x,y) UpdateField(AXI_SYSTEM_ID_PERIPHERAL_ID,"PERIPHERAL_ID",x,y) - - const reg_t AXI_SYSTEM_ID_SCRATCH = '{ 'h0008, "SCRATCH" , '{ - "SCRATCH": '{ 31, 0, RW, 'h00000000 }}}; - `define SET_AXI_SYSTEM_ID_SCRATCH_SCRATCH(x) SetField(AXI_SYSTEM_ID_SCRATCH,"SCRATCH",x) - `define GET_AXI_SYSTEM_ID_SCRATCH_SCRATCH(x) GetField(AXI_SYSTEM_ID_SCRATCH,"SCRATCH",x) - `define DEFAULT_AXI_SYSTEM_ID_SCRATCH_SCRATCH GetResetValue(AXI_SYSTEM_ID_SCRATCH,"SCRATCH") - `define UPDATE_AXI_SYSTEM_ID_SCRATCH_SCRATCH(x,y) UpdateField(AXI_SYSTEM_ID_SCRATCH,"SCRATCH",x,y) - - const reg_t AXI_SYSTEM_ID_IDENTIFICATION = '{ 'h000c, "IDENTIFICATION" , '{ - "IDENTIFICATION": '{ 31, 0, RO, 'h53594944 }}}; - `define SET_AXI_SYSTEM_ID_IDENTIFICATION_IDENTIFICATION(x) SetField(AXI_SYSTEM_ID_IDENTIFICATION,"IDENTIFICATION",x) - `define GET_AXI_SYSTEM_ID_IDENTIFICATION_IDENTIFICATION(x) GetField(AXI_SYSTEM_ID_IDENTIFICATION,"IDENTIFICATION",x) - `define DEFAULT_AXI_SYSTEM_ID_IDENTIFICATION_IDENTIFICATION GetResetValue(AXI_SYSTEM_ID_IDENTIFICATION,"IDENTIFICATION") - `define UPDATE_AXI_SYSTEM_ID_IDENTIFICATION_IDENTIFICATION(x,y) UpdateField(AXI_SYSTEM_ID_IDENTIFICATION,"IDENTIFICATION",x,y) - - const reg_t AXI_SYSTEM_ID_SYSROM_START = '{ 'h0800, "SYSROM_START" , '{ - "SYSROM_START": '{ 31, 0, RO, 0 }}}; - `define SET_AXI_SYSTEM_ID_SYSROM_START_SYSROM_START(x) SetField(AXI_SYSTEM_ID_SYSROM_START,"SYSROM_START",x) - `define GET_AXI_SYSTEM_ID_SYSROM_START_SYSROM_START(x) GetField(AXI_SYSTEM_ID_SYSROM_START,"SYSROM_START",x) - `define DEFAULT_AXI_SYSTEM_ID_SYSROM_START_SYSROM_START GetResetValue(AXI_SYSTEM_ID_SYSROM_START,"SYSROM_START") - `define UPDATE_AXI_SYSTEM_ID_SYSROM_START_SYSROM_START(x,y) UpdateField(AXI_SYSTEM_ID_SYSROM_START,"SYSROM_START",x,y) - - const reg_t AXI_SYSTEM_ID_PRROM_START = '{ 'h1000, "PRROM_START" , '{ - "SYSROM_START": '{ 31, 0, RO, 0 }}}; - `define SET_AXI_SYSTEM_ID_PRROM_START_SYSROM_START(x) SetField(AXI_SYSTEM_ID_PRROM_START,"SYSROM_START",x) - `define GET_AXI_SYSTEM_ID_PRROM_START_SYSROM_START(x) GetField(AXI_SYSTEM_ID_PRROM_START,"SYSROM_START",x) - `define DEFAULT_AXI_SYSTEM_ID_PRROM_START_SYSROM_START GetResetValue(AXI_SYSTEM_ID_PRROM_START,"SYSROM_START") - `define UPDATE_AXI_SYSTEM_ID_PRROM_START_SYSROM_START(x,y) UpdateField(AXI_SYSTEM_ID_PRROM_START,"SYSROM_START",x,y) - - -endpackage + import logger_pkg::*; + import adi_api_pkg::*; + + class adi_regmap_system_id extends adi_regmap; + + /* System ID (axi_system_id) */ + class VERSION_CLASS extends register_base; + field_base VERSION_MAJOR_F; + field_base VERSION_MINOR_F; + field_base VERSION_PATCH_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.VERSION_MAJOR_F = new("VERSION_MAJOR", 31, 16, RO, 'h1, this); + this.VERSION_MINOR_F = new("VERSION_MINOR", 15, 8, RO, 'h0, this); + this.VERSION_PATCH_F = new("VERSION_PATCH", 7, 0, RO, 'h61, this); + + this.initialization_done = 1; + endfunction: new + endclass: VERSION_CLASS + + class PERIPHERAL_ID_CLASS extends register_base; + field_base PERIPHERAL_ID_F; + + function new( + input string name, + input int address, + input int ID, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.PERIPHERAL_ID_F = new("PERIPHERAL_ID", 31, 0, RO, ID, this); + + this.initialization_done = 1; + endfunction: new + endclass: PERIPHERAL_ID_CLASS + + class SCRATCH_CLASS extends register_base; + field_base SCRATCH_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.SCRATCH_F = new("SCRATCH", 31, 0, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: SCRATCH_CLASS + + class IDENTIFICATION_CLASS extends register_base; + field_base IDENTIFICATION_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.IDENTIFICATION_F = new("IDENTIFICATION", 31, 0, RO, 'h53594944, this); + + this.initialization_done = 1; + endfunction: new + endclass: IDENTIFICATION_CLASS + + class SYSROM_START_CLASS extends register_base; + field_base SYSROM_START_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.SYSROM_START_F = new("SYSROM_START", 31, 0, RO, 'hXXXXXXXX, this); + + this.initialization_done = 1; + endfunction: new + endclass: SYSROM_START_CLASS + + class PRROM_START_CLASS extends register_base; + field_base SYSROM_START_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.SYSROM_START_F = new("SYSROM_START", 31, 0, RO, 'hXXXXXXXX, this); + + this.initialization_done = 1; + endfunction: new + endclass: PRROM_START_CLASS + + VERSION_CLASS VERSION_R; + PERIPHERAL_ID_CLASS PERIPHERAL_ID_R; + SCRATCH_CLASS SCRATCH_R; + IDENTIFICATION_CLASS IDENTIFICATION_R; + SYSROM_START_CLASS SYSROM_START_R; + PRROM_START_CLASS PRROM_START_R; + + function new( + input string name, + input int address, + input int ID, + input adi_api parent = null); + + super.new(name, address, parent); + + this.VERSION_R = new("VERSION", 'h0, this); + this.PERIPHERAL_ID_R = new("PERIPHERAL_ID", 'h4, ID, this); + this.SCRATCH_R = new("SCRATCH", 'h8, this); + this.IDENTIFICATION_R = new("IDENTIFICATION", 'hc, this); + this.SYSROM_START_R = new("SYSROM_START", 'h800, this); + this.PRROM_START_R = new("PRROM_START", 'h1000, this); + + this.info($sformatf("Initialized"), ADI_VERBOSITY_HIGH); + endfunction: new + + endclass: adi_regmap_system_id + +endpackage: adi_regmap_system_id_pkg diff --git a/library/regmaps/adi_regmap_tdd_gen_pkg.sv b/library/regmaps/adi_regmap_tdd_gen_pkg.sv index 587eb02d..65011c6f 100644 --- a/library/regmaps/adi_regmap_tdd_gen_pkg.sv +++ b/library/regmaps/adi_regmap_tdd_gen_pkg.sv @@ -1,6 +1,6 @@ // *************************************************************************** // *************************************************************************** -// Copyright (C) 2014 - 2024 Analog Devices, Inc. All rights reserved. +// Copyright (C) 2014 - 2025 Analog Devices, Inc. All rights reserved. // // In this HDL repository, there are many different and unique modules, consisting // of various HDL (Verilog or VHDL) components. The individual modules are @@ -33,626 +33,499 @@ // *************************************************************************** // *************************************************************************** /* Auto generated Register Map */ -/* Thu Mar 28 13:22:23 2024 */ +/* Jan 28 13:30:17 2025 v0.3.55 */ package adi_regmap_tdd_gen_pkg; - import adi_regmap_pkg::*; - - -/* Generic TDD Control (axi_tdd) */ - - const reg_t TDDN_CNTRL_VERSION = '{ 'h0000, "VERSION" , '{ - "VERSION_MAJOR": '{ 31, 16, R, 'h0002 }, - "VERSION_MINOR": '{ 15, 8, R, 'h00 }, - "VERSION_PATCH": '{ 7, 0, R, 'h61 }}}; - `define SET_TDDN_CNTRL_VERSION_VERSION_MAJOR(x) SetField(TDDN_CNTRL_VERSION,"VERSION_MAJOR",x) - `define GET_TDDN_CNTRL_VERSION_VERSION_MAJOR(x) GetField(TDDN_CNTRL_VERSION,"VERSION_MAJOR",x) - `define DEFAULT_TDDN_CNTRL_VERSION_VERSION_MAJOR GetResetValue(TDDN_CNTRL_VERSION,"VERSION_MAJOR") - `define UPDATE_TDDN_CNTRL_VERSION_VERSION_MAJOR(x,y) UpdateField(TDDN_CNTRL_VERSION,"VERSION_MAJOR",x,y) - `define SET_TDDN_CNTRL_VERSION_VERSION_MINOR(x) SetField(TDDN_CNTRL_VERSION,"VERSION_MINOR",x) - `define GET_TDDN_CNTRL_VERSION_VERSION_MINOR(x) GetField(TDDN_CNTRL_VERSION,"VERSION_MINOR",x) - `define DEFAULT_TDDN_CNTRL_VERSION_VERSION_MINOR GetResetValue(TDDN_CNTRL_VERSION,"VERSION_MINOR") - `define UPDATE_TDDN_CNTRL_VERSION_VERSION_MINOR(x,y) UpdateField(TDDN_CNTRL_VERSION,"VERSION_MINOR",x,y) - `define SET_TDDN_CNTRL_VERSION_VERSION_PATCH(x) SetField(TDDN_CNTRL_VERSION,"VERSION_PATCH",x) - `define GET_TDDN_CNTRL_VERSION_VERSION_PATCH(x) GetField(TDDN_CNTRL_VERSION,"VERSION_PATCH",x) - `define DEFAULT_TDDN_CNTRL_VERSION_VERSION_PATCH GetResetValue(TDDN_CNTRL_VERSION,"VERSION_PATCH") - `define UPDATE_TDDN_CNTRL_VERSION_VERSION_PATCH(x,y) UpdateField(TDDN_CNTRL_VERSION,"VERSION_PATCH",x,y) - - const reg_t TDDN_CNTRL_PERIPHERAL_ID = '{ 'h0004, "PERIPHERAL_ID" , '{ - "PERIPHERAL_ID": '{ 31, 0, R, 0 }}}; - `define SET_TDDN_CNTRL_PERIPHERAL_ID_PERIPHERAL_ID(x) SetField(TDDN_CNTRL_PERIPHERAL_ID,"PERIPHERAL_ID",x) - `define GET_TDDN_CNTRL_PERIPHERAL_ID_PERIPHERAL_ID(x) GetField(TDDN_CNTRL_PERIPHERAL_ID,"PERIPHERAL_ID",x) - `define DEFAULT_TDDN_CNTRL_PERIPHERAL_ID_PERIPHERAL_ID GetResetValue(TDDN_CNTRL_PERIPHERAL_ID,"PERIPHERAL_ID") - `define UPDATE_TDDN_CNTRL_PERIPHERAL_ID_PERIPHERAL_ID(x,y) UpdateField(TDDN_CNTRL_PERIPHERAL_ID,"PERIPHERAL_ID",x,y) - - const reg_t TDDN_CNTRL_SCRATCH = '{ 'h0008, "SCRATCH" , '{ - "SCRATCH": '{ 31, 0, RW, 'h00000000 }}}; - `define SET_TDDN_CNTRL_SCRATCH_SCRATCH(x) SetField(TDDN_CNTRL_SCRATCH,"SCRATCH",x) - `define GET_TDDN_CNTRL_SCRATCH_SCRATCH(x) GetField(TDDN_CNTRL_SCRATCH,"SCRATCH",x) - `define DEFAULT_TDDN_CNTRL_SCRATCH_SCRATCH GetResetValue(TDDN_CNTRL_SCRATCH,"SCRATCH") - `define UPDATE_TDDN_CNTRL_SCRATCH_SCRATCH(x,y) UpdateField(TDDN_CNTRL_SCRATCH,"SCRATCH",x,y) - - const reg_t TDDN_CNTRL_IDENTIFICATION = '{ 'h000c, "IDENTIFICATION" , '{ - "IDENTIFICATION": '{ 31, 0, R, 'h5444444E }}}; - `define SET_TDDN_CNTRL_IDENTIFICATION_IDENTIFICATION(x) SetField(TDDN_CNTRL_IDENTIFICATION,"IDENTIFICATION",x) - `define GET_TDDN_CNTRL_IDENTIFICATION_IDENTIFICATION(x) GetField(TDDN_CNTRL_IDENTIFICATION,"IDENTIFICATION",x) - `define DEFAULT_TDDN_CNTRL_IDENTIFICATION_IDENTIFICATION GetResetValue(TDDN_CNTRL_IDENTIFICATION,"IDENTIFICATION") - `define UPDATE_TDDN_CNTRL_IDENTIFICATION_IDENTIFICATION(x,y) UpdateField(TDDN_CNTRL_IDENTIFICATION,"IDENTIFICATION",x,y) - - const reg_t TDDN_CNTRL_INTERFACE_DESCRIPTION = '{ 'h0010, "INTERFACE_DESCRIPTION" , '{ - "SYNC_COUNT_WIDTH": '{ 30, 24, R, 0 }, - "BURST_COUNT_WIDTH": '{ 21, 16, R, 0 }, - "REGISTER_WIDTH": '{ 13, 8, R, 0 }, - "SYNC_EXTERNAL_CDC": '{ 7, 7, R, 0 }, - "SYNC_EXTERNAL": '{ 6, 6, R, 0 }, - "SYNC_INTERNAL": '{ 5, 5, R, 0 }, - "CHANNEL_COUNT_EXTRA": '{ 4, 0, R, 0 }}}; - `define SET_TDDN_CNTRL_INTERFACE_DESCRIPTION_SYNC_COUNT_WIDTH(x) SetField(TDDN_CNTRL_INTERFACE_DESCRIPTION,"SYNC_COUNT_WIDTH",x) - `define GET_TDDN_CNTRL_INTERFACE_DESCRIPTION_SYNC_COUNT_WIDTH(x) GetField(TDDN_CNTRL_INTERFACE_DESCRIPTION,"SYNC_COUNT_WIDTH",x) - `define DEFAULT_TDDN_CNTRL_INTERFACE_DESCRIPTION_SYNC_COUNT_WIDTH GetResetValue(TDDN_CNTRL_INTERFACE_DESCRIPTION,"SYNC_COUNT_WIDTH") - `define UPDATE_TDDN_CNTRL_INTERFACE_DESCRIPTION_SYNC_COUNT_WIDTH(x,y) UpdateField(TDDN_CNTRL_INTERFACE_DESCRIPTION,"SYNC_COUNT_WIDTH",x,y) - `define SET_TDDN_CNTRL_INTERFACE_DESCRIPTION_BURST_COUNT_WIDTH(x) SetField(TDDN_CNTRL_INTERFACE_DESCRIPTION,"BURST_COUNT_WIDTH",x) - `define GET_TDDN_CNTRL_INTERFACE_DESCRIPTION_BURST_COUNT_WIDTH(x) GetField(TDDN_CNTRL_INTERFACE_DESCRIPTION,"BURST_COUNT_WIDTH",x) - `define DEFAULT_TDDN_CNTRL_INTERFACE_DESCRIPTION_BURST_COUNT_WIDTH GetResetValue(TDDN_CNTRL_INTERFACE_DESCRIPTION,"BURST_COUNT_WIDTH") - `define UPDATE_TDDN_CNTRL_INTERFACE_DESCRIPTION_BURST_COUNT_WIDTH(x,y) UpdateField(TDDN_CNTRL_INTERFACE_DESCRIPTION,"BURST_COUNT_WIDTH",x,y) - `define SET_TDDN_CNTRL_INTERFACE_DESCRIPTION_REGISTER_WIDTH(x) SetField(TDDN_CNTRL_INTERFACE_DESCRIPTION,"REGISTER_WIDTH",x) - `define GET_TDDN_CNTRL_INTERFACE_DESCRIPTION_REGISTER_WIDTH(x) GetField(TDDN_CNTRL_INTERFACE_DESCRIPTION,"REGISTER_WIDTH",x) - `define DEFAULT_TDDN_CNTRL_INTERFACE_DESCRIPTION_REGISTER_WIDTH GetResetValue(TDDN_CNTRL_INTERFACE_DESCRIPTION,"REGISTER_WIDTH") - `define UPDATE_TDDN_CNTRL_INTERFACE_DESCRIPTION_REGISTER_WIDTH(x,y) UpdateField(TDDN_CNTRL_INTERFACE_DESCRIPTION,"REGISTER_WIDTH",x,y) - `define SET_TDDN_CNTRL_INTERFACE_DESCRIPTION_SYNC_EXTERNAL_CDC(x) SetField(TDDN_CNTRL_INTERFACE_DESCRIPTION,"SYNC_EXTERNAL_CDC",x) - `define GET_TDDN_CNTRL_INTERFACE_DESCRIPTION_SYNC_EXTERNAL_CDC(x) GetField(TDDN_CNTRL_INTERFACE_DESCRIPTION,"SYNC_EXTERNAL_CDC",x) - `define DEFAULT_TDDN_CNTRL_INTERFACE_DESCRIPTION_SYNC_EXTERNAL_CDC GetResetValue(TDDN_CNTRL_INTERFACE_DESCRIPTION,"SYNC_EXTERNAL_CDC") - `define UPDATE_TDDN_CNTRL_INTERFACE_DESCRIPTION_SYNC_EXTERNAL_CDC(x,y) UpdateField(TDDN_CNTRL_INTERFACE_DESCRIPTION,"SYNC_EXTERNAL_CDC",x,y) - `define SET_TDDN_CNTRL_INTERFACE_DESCRIPTION_SYNC_EXTERNAL(x) SetField(TDDN_CNTRL_INTERFACE_DESCRIPTION,"SYNC_EXTERNAL",x) - `define GET_TDDN_CNTRL_INTERFACE_DESCRIPTION_SYNC_EXTERNAL(x) GetField(TDDN_CNTRL_INTERFACE_DESCRIPTION,"SYNC_EXTERNAL",x) - `define DEFAULT_TDDN_CNTRL_INTERFACE_DESCRIPTION_SYNC_EXTERNAL GetResetValue(TDDN_CNTRL_INTERFACE_DESCRIPTION,"SYNC_EXTERNAL") - `define UPDATE_TDDN_CNTRL_INTERFACE_DESCRIPTION_SYNC_EXTERNAL(x,y) UpdateField(TDDN_CNTRL_INTERFACE_DESCRIPTION,"SYNC_EXTERNAL",x,y) - `define SET_TDDN_CNTRL_INTERFACE_DESCRIPTION_SYNC_INTERNAL(x) SetField(TDDN_CNTRL_INTERFACE_DESCRIPTION,"SYNC_INTERNAL",x) - `define GET_TDDN_CNTRL_INTERFACE_DESCRIPTION_SYNC_INTERNAL(x) GetField(TDDN_CNTRL_INTERFACE_DESCRIPTION,"SYNC_INTERNAL",x) - `define DEFAULT_TDDN_CNTRL_INTERFACE_DESCRIPTION_SYNC_INTERNAL GetResetValue(TDDN_CNTRL_INTERFACE_DESCRIPTION,"SYNC_INTERNAL") - `define UPDATE_TDDN_CNTRL_INTERFACE_DESCRIPTION_SYNC_INTERNAL(x,y) UpdateField(TDDN_CNTRL_INTERFACE_DESCRIPTION,"SYNC_INTERNAL",x,y) - `define SET_TDDN_CNTRL_INTERFACE_DESCRIPTION_CHANNEL_COUNT_EXTRA(x) SetField(TDDN_CNTRL_INTERFACE_DESCRIPTION,"CHANNEL_COUNT_EXTRA",x) - `define GET_TDDN_CNTRL_INTERFACE_DESCRIPTION_CHANNEL_COUNT_EXTRA(x) GetField(TDDN_CNTRL_INTERFACE_DESCRIPTION,"CHANNEL_COUNT_EXTRA",x) - `define DEFAULT_TDDN_CNTRL_INTERFACE_DESCRIPTION_CHANNEL_COUNT_EXTRA GetResetValue(TDDN_CNTRL_INTERFACE_DESCRIPTION,"CHANNEL_COUNT_EXTRA") - `define UPDATE_TDDN_CNTRL_INTERFACE_DESCRIPTION_CHANNEL_COUNT_EXTRA(x,y) UpdateField(TDDN_CNTRL_INTERFACE_DESCRIPTION,"CHANNEL_COUNT_EXTRA",x,y) - - const reg_t TDDN_CNTRL_DEFAULT_POLARITY = '{ 'h0014, "DEFAULT_POLARITY" , '{ - "DEFAULT_POLARITY": '{ 31, 0, R, 0 }}}; - `define SET_TDDN_CNTRL_DEFAULT_POLARITY_DEFAULT_POLARITY(x) SetField(TDDN_CNTRL_DEFAULT_POLARITY,"DEFAULT_POLARITY",x) - `define GET_TDDN_CNTRL_DEFAULT_POLARITY_DEFAULT_POLARITY(x) GetField(TDDN_CNTRL_DEFAULT_POLARITY,"DEFAULT_POLARITY",x) - `define DEFAULT_TDDN_CNTRL_DEFAULT_POLARITY_DEFAULT_POLARITY GetResetValue(TDDN_CNTRL_DEFAULT_POLARITY,"DEFAULT_POLARITY") - `define UPDATE_TDDN_CNTRL_DEFAULT_POLARITY_DEFAULT_POLARITY(x,y) UpdateField(TDDN_CNTRL_DEFAULT_POLARITY,"DEFAULT_POLARITY",x,y) - - const reg_t TDDN_CNTRL_CONTROL = '{ 'h0040, "CONTROL" , '{ - "SYNC_SOFT": '{ 4, 4, RW, 'h0 }, - "SYNC_EXT": '{ 3, 3, RW, 'h0 }, - "SYNC_INT": '{ 2, 2, RW, 'h0 }, - "SYNC_RST": '{ 1, 1, RW, 'h0 }, - "ENABLE": '{ 0, 0, RW, 'h0 }}}; - `define SET_TDDN_CNTRL_CONTROL_SYNC_SOFT(x) SetField(TDDN_CNTRL_CONTROL,"SYNC_SOFT",x) - `define GET_TDDN_CNTRL_CONTROL_SYNC_SOFT(x) GetField(TDDN_CNTRL_CONTROL,"SYNC_SOFT",x) - `define DEFAULT_TDDN_CNTRL_CONTROL_SYNC_SOFT GetResetValue(TDDN_CNTRL_CONTROL,"SYNC_SOFT") - `define UPDATE_TDDN_CNTRL_CONTROL_SYNC_SOFT(x,y) UpdateField(TDDN_CNTRL_CONTROL,"SYNC_SOFT",x,y) - `define SET_TDDN_CNTRL_CONTROL_SYNC_EXT(x) SetField(TDDN_CNTRL_CONTROL,"SYNC_EXT",x) - `define GET_TDDN_CNTRL_CONTROL_SYNC_EXT(x) GetField(TDDN_CNTRL_CONTROL,"SYNC_EXT",x) - `define DEFAULT_TDDN_CNTRL_CONTROL_SYNC_EXT GetResetValue(TDDN_CNTRL_CONTROL,"SYNC_EXT") - `define UPDATE_TDDN_CNTRL_CONTROL_SYNC_EXT(x,y) UpdateField(TDDN_CNTRL_CONTROL,"SYNC_EXT",x,y) - `define SET_TDDN_CNTRL_CONTROL_SYNC_INT(x) SetField(TDDN_CNTRL_CONTROL,"SYNC_INT",x) - `define GET_TDDN_CNTRL_CONTROL_SYNC_INT(x) GetField(TDDN_CNTRL_CONTROL,"SYNC_INT",x) - `define DEFAULT_TDDN_CNTRL_CONTROL_SYNC_INT GetResetValue(TDDN_CNTRL_CONTROL,"SYNC_INT") - `define UPDATE_TDDN_CNTRL_CONTROL_SYNC_INT(x,y) UpdateField(TDDN_CNTRL_CONTROL,"SYNC_INT",x,y) - `define SET_TDDN_CNTRL_CONTROL_SYNC_RST(x) SetField(TDDN_CNTRL_CONTROL,"SYNC_RST",x) - `define GET_TDDN_CNTRL_CONTROL_SYNC_RST(x) GetField(TDDN_CNTRL_CONTROL,"SYNC_RST",x) - `define DEFAULT_TDDN_CNTRL_CONTROL_SYNC_RST GetResetValue(TDDN_CNTRL_CONTROL,"SYNC_RST") - `define UPDATE_TDDN_CNTRL_CONTROL_SYNC_RST(x,y) UpdateField(TDDN_CNTRL_CONTROL,"SYNC_RST",x,y) - `define SET_TDDN_CNTRL_CONTROL_ENABLE(x) SetField(TDDN_CNTRL_CONTROL,"ENABLE",x) - `define GET_TDDN_CNTRL_CONTROL_ENABLE(x) GetField(TDDN_CNTRL_CONTROL,"ENABLE",x) - `define DEFAULT_TDDN_CNTRL_CONTROL_ENABLE GetResetValue(TDDN_CNTRL_CONTROL,"ENABLE") - `define UPDATE_TDDN_CNTRL_CONTROL_ENABLE(x,y) UpdateField(TDDN_CNTRL_CONTROL,"ENABLE",x,y) - - const reg_t TDDN_CNTRL_CHANNEL_ENABLE = '{ 'h0044, "CHANNEL_ENABLE" , '{ - "CHANNEL_ENABLE": '{ 31, 0, RW, 'h00000000 }}}; - `define SET_TDDN_CNTRL_CHANNEL_ENABLE_CHANNEL_ENABLE(x) SetField(TDDN_CNTRL_CHANNEL_ENABLE,"CHANNEL_ENABLE",x) - `define GET_TDDN_CNTRL_CHANNEL_ENABLE_CHANNEL_ENABLE(x) GetField(TDDN_CNTRL_CHANNEL_ENABLE,"CHANNEL_ENABLE",x) - `define DEFAULT_TDDN_CNTRL_CHANNEL_ENABLE_CHANNEL_ENABLE GetResetValue(TDDN_CNTRL_CHANNEL_ENABLE,"CHANNEL_ENABLE") - `define UPDATE_TDDN_CNTRL_CHANNEL_ENABLE_CHANNEL_ENABLE(x,y) UpdateField(TDDN_CNTRL_CHANNEL_ENABLE,"CHANNEL_ENABLE",x,y) - - const reg_t TDDN_CNTRL_CHANNEL_POLARITY = '{ 'h0048, "CHANNEL_POLARITY" , '{ - "CHANNEL_POLARITY": '{ 31, 0, RW, 'h00000000 }}}; - `define SET_TDDN_CNTRL_CHANNEL_POLARITY_CHANNEL_POLARITY(x) SetField(TDDN_CNTRL_CHANNEL_POLARITY,"CHANNEL_POLARITY",x) - `define GET_TDDN_CNTRL_CHANNEL_POLARITY_CHANNEL_POLARITY(x) GetField(TDDN_CNTRL_CHANNEL_POLARITY,"CHANNEL_POLARITY",x) - `define DEFAULT_TDDN_CNTRL_CHANNEL_POLARITY_CHANNEL_POLARITY GetResetValue(TDDN_CNTRL_CHANNEL_POLARITY,"CHANNEL_POLARITY") - `define UPDATE_TDDN_CNTRL_CHANNEL_POLARITY_CHANNEL_POLARITY(x,y) UpdateField(TDDN_CNTRL_CHANNEL_POLARITY,"CHANNEL_POLARITY",x,y) - - const reg_t TDDN_CNTRL_BURST_COUNT = '{ 'h004c, "BURST_COUNT" , '{ - "BURST_COUNT": '{ 31, 0, RW, 'h00000000 }}}; - `define SET_TDDN_CNTRL_BURST_COUNT_BURST_COUNT(x) SetField(TDDN_CNTRL_BURST_COUNT,"BURST_COUNT",x) - `define GET_TDDN_CNTRL_BURST_COUNT_BURST_COUNT(x) GetField(TDDN_CNTRL_BURST_COUNT,"BURST_COUNT",x) - `define DEFAULT_TDDN_CNTRL_BURST_COUNT_BURST_COUNT GetResetValue(TDDN_CNTRL_BURST_COUNT,"BURST_COUNT") - `define UPDATE_TDDN_CNTRL_BURST_COUNT_BURST_COUNT(x,y) UpdateField(TDDN_CNTRL_BURST_COUNT,"BURST_COUNT",x,y) - - const reg_t TDDN_CNTRL_STARTUP_DELAY = '{ 'h0050, "STARTUP_DELAY" , '{ - "STARTUP_DELAY": '{ 31, 0, RW, 'h00000000 }}}; - `define SET_TDDN_CNTRL_STARTUP_DELAY_STARTUP_DELAY(x) SetField(TDDN_CNTRL_STARTUP_DELAY,"STARTUP_DELAY",x) - `define GET_TDDN_CNTRL_STARTUP_DELAY_STARTUP_DELAY(x) GetField(TDDN_CNTRL_STARTUP_DELAY,"STARTUP_DELAY",x) - `define DEFAULT_TDDN_CNTRL_STARTUP_DELAY_STARTUP_DELAY GetResetValue(TDDN_CNTRL_STARTUP_DELAY,"STARTUP_DELAY") - `define UPDATE_TDDN_CNTRL_STARTUP_DELAY_STARTUP_DELAY(x,y) UpdateField(TDDN_CNTRL_STARTUP_DELAY,"STARTUP_DELAY",x,y) - - const reg_t TDDN_CNTRL_FRAME_LENGTH = '{ 'h0054, "FRAME_LENGTH" , '{ - "FRAME_LENGTH": '{ 31, 0, RW, 'h00000000 }}}; - `define SET_TDDN_CNTRL_FRAME_LENGTH_FRAME_LENGTH(x) SetField(TDDN_CNTRL_FRAME_LENGTH,"FRAME_LENGTH",x) - `define GET_TDDN_CNTRL_FRAME_LENGTH_FRAME_LENGTH(x) GetField(TDDN_CNTRL_FRAME_LENGTH,"FRAME_LENGTH",x) - `define DEFAULT_TDDN_CNTRL_FRAME_LENGTH_FRAME_LENGTH GetResetValue(TDDN_CNTRL_FRAME_LENGTH,"FRAME_LENGTH") - `define UPDATE_TDDN_CNTRL_FRAME_LENGTH_FRAME_LENGTH(x,y) UpdateField(TDDN_CNTRL_FRAME_LENGTH,"FRAME_LENGTH",x,y) - - const reg_t TDDN_CNTRL_SYNC_COUNTER_LOW = '{ 'h0058, "SYNC_COUNTER_LOW" , '{ - "SYNC_COUNTER_LOW": '{ 31, 0, RW, 'h00000000 }}}; - `define SET_TDDN_CNTRL_SYNC_COUNTER_LOW_SYNC_COUNTER_LOW(x) SetField(TDDN_CNTRL_SYNC_COUNTER_LOW,"SYNC_COUNTER_LOW",x) - `define GET_TDDN_CNTRL_SYNC_COUNTER_LOW_SYNC_COUNTER_LOW(x) GetField(TDDN_CNTRL_SYNC_COUNTER_LOW,"SYNC_COUNTER_LOW",x) - `define DEFAULT_TDDN_CNTRL_SYNC_COUNTER_LOW_SYNC_COUNTER_LOW GetResetValue(TDDN_CNTRL_SYNC_COUNTER_LOW,"SYNC_COUNTER_LOW") - `define UPDATE_TDDN_CNTRL_SYNC_COUNTER_LOW_SYNC_COUNTER_LOW(x,y) UpdateField(TDDN_CNTRL_SYNC_COUNTER_LOW,"SYNC_COUNTER_LOW",x,y) - - const reg_t TDDN_CNTRL_SYNC_COUNTER_HIGH = '{ 'h005c, "SYNC_COUNTER_HIGH" , '{ - "SYNC_COUNTER_HIGH": '{ 31, 0, RW, 'h00000000 }}}; - `define SET_TDDN_CNTRL_SYNC_COUNTER_HIGH_SYNC_COUNTER_HIGH(x) SetField(TDDN_CNTRL_SYNC_COUNTER_HIGH,"SYNC_COUNTER_HIGH",x) - `define GET_TDDN_CNTRL_SYNC_COUNTER_HIGH_SYNC_COUNTER_HIGH(x) GetField(TDDN_CNTRL_SYNC_COUNTER_HIGH,"SYNC_COUNTER_HIGH",x) - `define DEFAULT_TDDN_CNTRL_SYNC_COUNTER_HIGH_SYNC_COUNTER_HIGH GetResetValue(TDDN_CNTRL_SYNC_COUNTER_HIGH,"SYNC_COUNTER_HIGH") - `define UPDATE_TDDN_CNTRL_SYNC_COUNTER_HIGH_SYNC_COUNTER_HIGH(x,y) UpdateField(TDDN_CNTRL_SYNC_COUNTER_HIGH,"SYNC_COUNTER_HIGH",x,y) - - const reg_t TDDN_CNTRL_STATUS = '{ 'h0060, "STATUS" , '{ - "STATE": '{ 1, 0, R, 'h0 }}}; - `define SET_TDDN_CNTRL_STATUS_STATE(x) SetField(TDDN_CNTRL_STATUS,"STATE",x) - `define GET_TDDN_CNTRL_STATUS_STATE(x) GetField(TDDN_CNTRL_STATUS,"STATE",x) - `define DEFAULT_TDDN_CNTRL_STATUS_STATE GetResetValue(TDDN_CNTRL_STATUS,"STATE") - `define UPDATE_TDDN_CNTRL_STATUS_STATE(x,y) UpdateField(TDDN_CNTRL_STATUS,"STATE",x,y) - - const reg_t TDDN_CNTRL_CH0_ON = '{ 'h0080, "CH0_ON" , '{ - "CH0_ON": '{ 31, 0, RW, 'h00000000 }}}; - `define SET_TDDN_CNTRL_CH0_ON_CH0_ON(x) SetField(TDDN_CNTRL_CH0_ON,"CH0_ON",x) - `define GET_TDDN_CNTRL_CH0_ON_CH0_ON(x) GetField(TDDN_CNTRL_CH0_ON,"CH0_ON",x) - `define DEFAULT_TDDN_CNTRL_CH0_ON_CH0_ON GetResetValue(TDDN_CNTRL_CH0_ON,"CH0_ON") - `define UPDATE_TDDN_CNTRL_CH0_ON_CH0_ON(x,y) UpdateField(TDDN_CNTRL_CH0_ON,"CH0_ON",x,y) - - const reg_t TDDN_CNTRL_CH0_OFF = '{ 'h0084, "CH0_OFF" , '{ - "CH0_OFF": '{ 31, 0, RW, 'h00000000 }}}; - `define SET_TDDN_CNTRL_CH0_OFF_CH0_OFF(x) SetField(TDDN_CNTRL_CH0_OFF,"CH0_OFF",x) - `define GET_TDDN_CNTRL_CH0_OFF_CH0_OFF(x) GetField(TDDN_CNTRL_CH0_OFF,"CH0_OFF",x) - `define DEFAULT_TDDN_CNTRL_CH0_OFF_CH0_OFF GetResetValue(TDDN_CNTRL_CH0_OFF,"CH0_OFF") - `define UPDATE_TDDN_CNTRL_CH0_OFF_CH0_OFF(x,y) UpdateField(TDDN_CNTRL_CH0_OFF,"CH0_OFF",x,y) - - const reg_t TDDN_CNTRL_CH1_ON = '{ 'h0088, "CH1_ON" , '{ - "CH1_ON": '{ 31, 0, RW, 'h00000000 }}}; - `define SET_TDDN_CNTRL_CH1_ON_CH1_ON(x) SetField(TDDN_CNTRL_CH1_ON,"CH1_ON",x) - `define GET_TDDN_CNTRL_CH1_ON_CH1_ON(x) GetField(TDDN_CNTRL_CH1_ON,"CH1_ON",x) - `define DEFAULT_TDDN_CNTRL_CH1_ON_CH1_ON GetResetValue(TDDN_CNTRL_CH1_ON,"CH1_ON") - `define UPDATE_TDDN_CNTRL_CH1_ON_CH1_ON(x,y) UpdateField(TDDN_CNTRL_CH1_ON,"CH1_ON",x,y) - - const reg_t TDDN_CNTRL_CH1_OFF = '{ 'h008c, "CH1_OFF" , '{ - "CH1_OFF": '{ 31, 0, RW, 'h00000000 }}}; - `define SET_TDDN_CNTRL_CH1_OFF_CH1_OFF(x) SetField(TDDN_CNTRL_CH1_OFF,"CH1_OFF",x) - `define GET_TDDN_CNTRL_CH1_OFF_CH1_OFF(x) GetField(TDDN_CNTRL_CH1_OFF,"CH1_OFF",x) - `define DEFAULT_TDDN_CNTRL_CH1_OFF_CH1_OFF GetResetValue(TDDN_CNTRL_CH1_OFF,"CH1_OFF") - `define UPDATE_TDDN_CNTRL_CH1_OFF_CH1_OFF(x,y) UpdateField(TDDN_CNTRL_CH1_OFF,"CH1_OFF",x,y) - - const reg_t TDDN_CNTRL_CH2_ON = '{ 'h0090, "CH2_ON" , '{ - "CH2_ON": '{ 31, 0, RW, 'h00000000 }}}; - `define SET_TDDN_CNTRL_CH2_ON_CH2_ON(x) SetField(TDDN_CNTRL_CH2_ON,"CH2_ON",x) - `define GET_TDDN_CNTRL_CH2_ON_CH2_ON(x) GetField(TDDN_CNTRL_CH2_ON,"CH2_ON",x) - `define DEFAULT_TDDN_CNTRL_CH2_ON_CH2_ON GetResetValue(TDDN_CNTRL_CH2_ON,"CH2_ON") - `define UPDATE_TDDN_CNTRL_CH2_ON_CH2_ON(x,y) UpdateField(TDDN_CNTRL_CH2_ON,"CH2_ON",x,y) - - const reg_t TDDN_CNTRL_CH2_OFF = '{ 'h0094, "CH2_OFF" , '{ - "CH2_OFF": '{ 31, 0, RW, 'h00000000 }}}; - `define SET_TDDN_CNTRL_CH2_OFF_CH2_OFF(x) SetField(TDDN_CNTRL_CH2_OFF,"CH2_OFF",x) - `define GET_TDDN_CNTRL_CH2_OFF_CH2_OFF(x) GetField(TDDN_CNTRL_CH2_OFF,"CH2_OFF",x) - `define DEFAULT_TDDN_CNTRL_CH2_OFF_CH2_OFF GetResetValue(TDDN_CNTRL_CH2_OFF,"CH2_OFF") - `define UPDATE_TDDN_CNTRL_CH2_OFF_CH2_OFF(x,y) UpdateField(TDDN_CNTRL_CH2_OFF,"CH2_OFF",x,y) - - const reg_t TDDN_CNTRL_CH3_ON = '{ 'h0098, "CH3_ON" , '{ - "CH3_ON": '{ 31, 0, RW, 'h00000000 }}}; - `define SET_TDDN_CNTRL_CH3_ON_CH3_ON(x) SetField(TDDN_CNTRL_CH3_ON,"CH3_ON",x) - `define GET_TDDN_CNTRL_CH3_ON_CH3_ON(x) GetField(TDDN_CNTRL_CH3_ON,"CH3_ON",x) - `define DEFAULT_TDDN_CNTRL_CH3_ON_CH3_ON GetResetValue(TDDN_CNTRL_CH3_ON,"CH3_ON") - `define UPDATE_TDDN_CNTRL_CH3_ON_CH3_ON(x,y) UpdateField(TDDN_CNTRL_CH3_ON,"CH3_ON",x,y) - - const reg_t TDDN_CNTRL_CH3_OFF = '{ 'h009c, "CH3_OFF" , '{ - "CH3_OFF": '{ 31, 0, RW, 'h00000000 }}}; - `define SET_TDDN_CNTRL_CH3_OFF_CH3_OFF(x) SetField(TDDN_CNTRL_CH3_OFF,"CH3_OFF",x) - `define GET_TDDN_CNTRL_CH3_OFF_CH3_OFF(x) GetField(TDDN_CNTRL_CH3_OFF,"CH3_OFF",x) - `define DEFAULT_TDDN_CNTRL_CH3_OFF_CH3_OFF GetResetValue(TDDN_CNTRL_CH3_OFF,"CH3_OFF") - `define UPDATE_TDDN_CNTRL_CH3_OFF_CH3_OFF(x,y) UpdateField(TDDN_CNTRL_CH3_OFF,"CH3_OFF",x,y) - - const reg_t TDDN_CNTRL_CH4_ON = '{ 'h00a0, "CH4_ON" , '{ - "CH4_ON": '{ 31, 0, RW, 'h00000000 }}}; - `define SET_TDDN_CNTRL_CH4_ON_CH4_ON(x) SetField(TDDN_CNTRL_CH4_ON,"CH4_ON",x) - `define GET_TDDN_CNTRL_CH4_ON_CH4_ON(x) GetField(TDDN_CNTRL_CH4_ON,"CH4_ON",x) - `define DEFAULT_TDDN_CNTRL_CH4_ON_CH4_ON GetResetValue(TDDN_CNTRL_CH4_ON,"CH4_ON") - `define UPDATE_TDDN_CNTRL_CH4_ON_CH4_ON(x,y) UpdateField(TDDN_CNTRL_CH4_ON,"CH4_ON",x,y) - - const reg_t TDDN_CNTRL_CH4_OFF = '{ 'h00a4, "CH4_OFF" , '{ - "CH4_OFF": '{ 31, 0, RW, 'h00000000 }}}; - `define SET_TDDN_CNTRL_CH4_OFF_CH4_OFF(x) SetField(TDDN_CNTRL_CH4_OFF,"CH4_OFF",x) - `define GET_TDDN_CNTRL_CH4_OFF_CH4_OFF(x) GetField(TDDN_CNTRL_CH4_OFF,"CH4_OFF",x) - `define DEFAULT_TDDN_CNTRL_CH4_OFF_CH4_OFF GetResetValue(TDDN_CNTRL_CH4_OFF,"CH4_OFF") - `define UPDATE_TDDN_CNTRL_CH4_OFF_CH4_OFF(x,y) UpdateField(TDDN_CNTRL_CH4_OFF,"CH4_OFF",x,y) - - const reg_t TDDN_CNTRL_CH5_ON = '{ 'h00a8, "CH5_ON" , '{ - "CH5_ON": '{ 31, 0, RW, 'h00000000 }}}; - `define SET_TDDN_CNTRL_CH5_ON_CH5_ON(x) SetField(TDDN_CNTRL_CH5_ON,"CH5_ON",x) - `define GET_TDDN_CNTRL_CH5_ON_CH5_ON(x) GetField(TDDN_CNTRL_CH5_ON,"CH5_ON",x) - `define DEFAULT_TDDN_CNTRL_CH5_ON_CH5_ON GetResetValue(TDDN_CNTRL_CH5_ON,"CH5_ON") - `define UPDATE_TDDN_CNTRL_CH5_ON_CH5_ON(x,y) UpdateField(TDDN_CNTRL_CH5_ON,"CH5_ON",x,y) - - const reg_t TDDN_CNTRL_CH5_OFF = '{ 'h00ac, "CH5_OFF" , '{ - "CH5_OFF": '{ 31, 0, RW, 'h00000000 }}}; - `define SET_TDDN_CNTRL_CH5_OFF_CH5_OFF(x) SetField(TDDN_CNTRL_CH5_OFF,"CH5_OFF",x) - `define GET_TDDN_CNTRL_CH5_OFF_CH5_OFF(x) GetField(TDDN_CNTRL_CH5_OFF,"CH5_OFF",x) - `define DEFAULT_TDDN_CNTRL_CH5_OFF_CH5_OFF GetResetValue(TDDN_CNTRL_CH5_OFF,"CH5_OFF") - `define UPDATE_TDDN_CNTRL_CH5_OFF_CH5_OFF(x,y) UpdateField(TDDN_CNTRL_CH5_OFF,"CH5_OFF",x,y) - - const reg_t TDDN_CNTRL_CH6_ON = '{ 'h00b0, "CH6_ON" , '{ - "CH6_ON": '{ 31, 0, RW, 'h00000000 }}}; - `define SET_TDDN_CNTRL_CH6_ON_CH6_ON(x) SetField(TDDN_CNTRL_CH6_ON,"CH6_ON",x) - `define GET_TDDN_CNTRL_CH6_ON_CH6_ON(x) GetField(TDDN_CNTRL_CH6_ON,"CH6_ON",x) - `define DEFAULT_TDDN_CNTRL_CH6_ON_CH6_ON GetResetValue(TDDN_CNTRL_CH6_ON,"CH6_ON") - `define UPDATE_TDDN_CNTRL_CH6_ON_CH6_ON(x,y) UpdateField(TDDN_CNTRL_CH6_ON,"CH6_ON",x,y) - - const reg_t TDDN_CNTRL_CH6_OFF = '{ 'h00b4, "CH6_OFF" , '{ - "CH6_OFF": '{ 31, 0, RW, 'h00000000 }}}; - `define SET_TDDN_CNTRL_CH6_OFF_CH6_OFF(x) SetField(TDDN_CNTRL_CH6_OFF,"CH6_OFF",x) - `define GET_TDDN_CNTRL_CH6_OFF_CH6_OFF(x) GetField(TDDN_CNTRL_CH6_OFF,"CH6_OFF",x) - `define DEFAULT_TDDN_CNTRL_CH6_OFF_CH6_OFF GetResetValue(TDDN_CNTRL_CH6_OFF,"CH6_OFF") - `define UPDATE_TDDN_CNTRL_CH6_OFF_CH6_OFF(x,y) UpdateField(TDDN_CNTRL_CH6_OFF,"CH6_OFF",x,y) - - const reg_t TDDN_CNTRL_CH7_ON = '{ 'h00b8, "CH7_ON" , '{ - "CH7_ON": '{ 31, 0, RW, 'h00000000 }}}; - `define SET_TDDN_CNTRL_CH7_ON_CH7_ON(x) SetField(TDDN_CNTRL_CH7_ON,"CH7_ON",x) - `define GET_TDDN_CNTRL_CH7_ON_CH7_ON(x) GetField(TDDN_CNTRL_CH7_ON,"CH7_ON",x) - `define DEFAULT_TDDN_CNTRL_CH7_ON_CH7_ON GetResetValue(TDDN_CNTRL_CH7_ON,"CH7_ON") - `define UPDATE_TDDN_CNTRL_CH7_ON_CH7_ON(x,y) UpdateField(TDDN_CNTRL_CH7_ON,"CH7_ON",x,y) - - const reg_t TDDN_CNTRL_CH7_OFF = '{ 'h00bc, "CH7_OFF" , '{ - "CH7_OFF": '{ 31, 0, RW, 'h00000000 }}}; - `define SET_TDDN_CNTRL_CH7_OFF_CH7_OFF(x) SetField(TDDN_CNTRL_CH7_OFF,"CH7_OFF",x) - `define GET_TDDN_CNTRL_CH7_OFF_CH7_OFF(x) GetField(TDDN_CNTRL_CH7_OFF,"CH7_OFF",x) - `define DEFAULT_TDDN_CNTRL_CH7_OFF_CH7_OFF GetResetValue(TDDN_CNTRL_CH7_OFF,"CH7_OFF") - `define UPDATE_TDDN_CNTRL_CH7_OFF_CH7_OFF(x,y) UpdateField(TDDN_CNTRL_CH7_OFF,"CH7_OFF",x,y) - - const reg_t TDDN_CNTRL_CH8_ON = '{ 'h00c0, "CH8_ON" , '{ - "CH8_ON": '{ 31, 0, RW, 'h00000000 }}}; - `define SET_TDDN_CNTRL_CH8_ON_CH8_ON(x) SetField(TDDN_CNTRL_CH8_ON,"CH8_ON",x) - `define GET_TDDN_CNTRL_CH8_ON_CH8_ON(x) GetField(TDDN_CNTRL_CH8_ON,"CH8_ON",x) - `define DEFAULT_TDDN_CNTRL_CH8_ON_CH8_ON GetResetValue(TDDN_CNTRL_CH8_ON,"CH8_ON") - `define UPDATE_TDDN_CNTRL_CH8_ON_CH8_ON(x,y) UpdateField(TDDN_CNTRL_CH8_ON,"CH8_ON",x,y) - - const reg_t TDDN_CNTRL_CH8_OFF = '{ 'h00c4, "CH8_OFF" , '{ - "CH8_OFF": '{ 31, 0, RW, 'h00000000 }}}; - `define SET_TDDN_CNTRL_CH8_OFF_CH8_OFF(x) SetField(TDDN_CNTRL_CH8_OFF,"CH8_OFF",x) - `define GET_TDDN_CNTRL_CH8_OFF_CH8_OFF(x) GetField(TDDN_CNTRL_CH8_OFF,"CH8_OFF",x) - `define DEFAULT_TDDN_CNTRL_CH8_OFF_CH8_OFF GetResetValue(TDDN_CNTRL_CH8_OFF,"CH8_OFF") - `define UPDATE_TDDN_CNTRL_CH8_OFF_CH8_OFF(x,y) UpdateField(TDDN_CNTRL_CH8_OFF,"CH8_OFF",x,y) - - const reg_t TDDN_CNTRL_CH9_ON = '{ 'h00c8, "CH9_ON" , '{ - "CH9_ON": '{ 31, 0, RW, 'h00000000 }}}; - `define SET_TDDN_CNTRL_CH9_ON_CH9_ON(x) SetField(TDDN_CNTRL_CH9_ON,"CH9_ON",x) - `define GET_TDDN_CNTRL_CH9_ON_CH9_ON(x) GetField(TDDN_CNTRL_CH9_ON,"CH9_ON",x) - `define DEFAULT_TDDN_CNTRL_CH9_ON_CH9_ON GetResetValue(TDDN_CNTRL_CH9_ON,"CH9_ON") - `define UPDATE_TDDN_CNTRL_CH9_ON_CH9_ON(x,y) UpdateField(TDDN_CNTRL_CH9_ON,"CH9_ON",x,y) - - const reg_t TDDN_CNTRL_CH9_OFF = '{ 'h00cc, "CH9_OFF" , '{ - "CH9_OFF": '{ 31, 0, RW, 'h00000000 }}}; - `define SET_TDDN_CNTRL_CH9_OFF_CH9_OFF(x) SetField(TDDN_CNTRL_CH9_OFF,"CH9_OFF",x) - `define GET_TDDN_CNTRL_CH9_OFF_CH9_OFF(x) GetField(TDDN_CNTRL_CH9_OFF,"CH9_OFF",x) - `define DEFAULT_TDDN_CNTRL_CH9_OFF_CH9_OFF GetResetValue(TDDN_CNTRL_CH9_OFF,"CH9_OFF") - `define UPDATE_TDDN_CNTRL_CH9_OFF_CH9_OFF(x,y) UpdateField(TDDN_CNTRL_CH9_OFF,"CH9_OFF",x,y) - - const reg_t TDDN_CNTRL_CH10_ON = '{ 'h00d0, "CH10_ON" , '{ - "CH10_ON": '{ 31, 0, RW, 'h00000000 }}}; - `define SET_TDDN_CNTRL_CH10_ON_CH10_ON(x) SetField(TDDN_CNTRL_CH10_ON,"CH10_ON",x) - `define GET_TDDN_CNTRL_CH10_ON_CH10_ON(x) GetField(TDDN_CNTRL_CH10_ON,"CH10_ON",x) - `define DEFAULT_TDDN_CNTRL_CH10_ON_CH10_ON GetResetValue(TDDN_CNTRL_CH10_ON,"CH10_ON") - `define UPDATE_TDDN_CNTRL_CH10_ON_CH10_ON(x,y) UpdateField(TDDN_CNTRL_CH10_ON,"CH10_ON",x,y) - - const reg_t TDDN_CNTRL_CH10_OFF = '{ 'h00d4, "CH10_OFF" , '{ - "CH10_OFF": '{ 31, 0, RW, 'h00000000 }}}; - `define SET_TDDN_CNTRL_CH10_OFF_CH10_OFF(x) SetField(TDDN_CNTRL_CH10_OFF,"CH10_OFF",x) - `define GET_TDDN_CNTRL_CH10_OFF_CH10_OFF(x) GetField(TDDN_CNTRL_CH10_OFF,"CH10_OFF",x) - `define DEFAULT_TDDN_CNTRL_CH10_OFF_CH10_OFF GetResetValue(TDDN_CNTRL_CH10_OFF,"CH10_OFF") - `define UPDATE_TDDN_CNTRL_CH10_OFF_CH10_OFF(x,y) UpdateField(TDDN_CNTRL_CH10_OFF,"CH10_OFF",x,y) - - const reg_t TDDN_CNTRL_CH11_ON = '{ 'h00d8, "CH11_ON" , '{ - "CH11_ON": '{ 31, 0, RW, 'h00000000 }}}; - `define SET_TDDN_CNTRL_CH11_ON_CH11_ON(x) SetField(TDDN_CNTRL_CH11_ON,"CH11_ON",x) - `define GET_TDDN_CNTRL_CH11_ON_CH11_ON(x) GetField(TDDN_CNTRL_CH11_ON,"CH11_ON",x) - `define DEFAULT_TDDN_CNTRL_CH11_ON_CH11_ON GetResetValue(TDDN_CNTRL_CH11_ON,"CH11_ON") - `define UPDATE_TDDN_CNTRL_CH11_ON_CH11_ON(x,y) UpdateField(TDDN_CNTRL_CH11_ON,"CH11_ON",x,y) - - const reg_t TDDN_CNTRL_CH11_OFF = '{ 'h00dc, "CH11_OFF" , '{ - "CH11_OFF": '{ 31, 0, RW, 'h00000000 }}}; - `define SET_TDDN_CNTRL_CH11_OFF_CH11_OFF(x) SetField(TDDN_CNTRL_CH11_OFF,"CH11_OFF",x) - `define GET_TDDN_CNTRL_CH11_OFF_CH11_OFF(x) GetField(TDDN_CNTRL_CH11_OFF,"CH11_OFF",x) - `define DEFAULT_TDDN_CNTRL_CH11_OFF_CH11_OFF GetResetValue(TDDN_CNTRL_CH11_OFF,"CH11_OFF") - `define UPDATE_TDDN_CNTRL_CH11_OFF_CH11_OFF(x,y) UpdateField(TDDN_CNTRL_CH11_OFF,"CH11_OFF",x,y) - - const reg_t TDDN_CNTRL_CH12_ON = '{ 'h00e0, "CH12_ON" , '{ - "CH12_ON": '{ 31, 0, RW, 'h00000000 }}}; - `define SET_TDDN_CNTRL_CH12_ON_CH12_ON(x) SetField(TDDN_CNTRL_CH12_ON,"CH12_ON",x) - `define GET_TDDN_CNTRL_CH12_ON_CH12_ON(x) GetField(TDDN_CNTRL_CH12_ON,"CH12_ON",x) - `define DEFAULT_TDDN_CNTRL_CH12_ON_CH12_ON GetResetValue(TDDN_CNTRL_CH12_ON,"CH12_ON") - `define UPDATE_TDDN_CNTRL_CH12_ON_CH12_ON(x,y) UpdateField(TDDN_CNTRL_CH12_ON,"CH12_ON",x,y) - - const reg_t TDDN_CNTRL_CH12_OFF = '{ 'h00e4, "CH12_OFF" , '{ - "CH12_OFF": '{ 31, 0, RW, 'h00000000 }}}; - `define SET_TDDN_CNTRL_CH12_OFF_CH12_OFF(x) SetField(TDDN_CNTRL_CH12_OFF,"CH12_OFF",x) - `define GET_TDDN_CNTRL_CH12_OFF_CH12_OFF(x) GetField(TDDN_CNTRL_CH12_OFF,"CH12_OFF",x) - `define DEFAULT_TDDN_CNTRL_CH12_OFF_CH12_OFF GetResetValue(TDDN_CNTRL_CH12_OFF,"CH12_OFF") - `define UPDATE_TDDN_CNTRL_CH12_OFF_CH12_OFF(x,y) UpdateField(TDDN_CNTRL_CH12_OFF,"CH12_OFF",x,y) - - const reg_t TDDN_CNTRL_CH13_ON = '{ 'h00e8, "CH13_ON" , '{ - "CH13_ON": '{ 31, 0, RW, 'h00000000 }}}; - `define SET_TDDN_CNTRL_CH13_ON_CH13_ON(x) SetField(TDDN_CNTRL_CH13_ON,"CH13_ON",x) - `define GET_TDDN_CNTRL_CH13_ON_CH13_ON(x) GetField(TDDN_CNTRL_CH13_ON,"CH13_ON",x) - `define DEFAULT_TDDN_CNTRL_CH13_ON_CH13_ON GetResetValue(TDDN_CNTRL_CH13_ON,"CH13_ON") - `define UPDATE_TDDN_CNTRL_CH13_ON_CH13_ON(x,y) UpdateField(TDDN_CNTRL_CH13_ON,"CH13_ON",x,y) - - const reg_t TDDN_CNTRL_CH13_OFF = '{ 'h00ec, "CH13_OFF" , '{ - "CH13_OFF": '{ 31, 0, RW, 'h00000000 }}}; - `define SET_TDDN_CNTRL_CH13_OFF_CH13_OFF(x) SetField(TDDN_CNTRL_CH13_OFF,"CH13_OFF",x) - `define GET_TDDN_CNTRL_CH13_OFF_CH13_OFF(x) GetField(TDDN_CNTRL_CH13_OFF,"CH13_OFF",x) - `define DEFAULT_TDDN_CNTRL_CH13_OFF_CH13_OFF GetResetValue(TDDN_CNTRL_CH13_OFF,"CH13_OFF") - `define UPDATE_TDDN_CNTRL_CH13_OFF_CH13_OFF(x,y) UpdateField(TDDN_CNTRL_CH13_OFF,"CH13_OFF",x,y) - - const reg_t TDDN_CNTRL_CH14_ON = '{ 'h00f0, "CH14_ON" , '{ - "CH14_ON": '{ 31, 0, RW, 'h00000000 }}}; - `define SET_TDDN_CNTRL_CH14_ON_CH14_ON(x) SetField(TDDN_CNTRL_CH14_ON,"CH14_ON",x) - `define GET_TDDN_CNTRL_CH14_ON_CH14_ON(x) GetField(TDDN_CNTRL_CH14_ON,"CH14_ON",x) - `define DEFAULT_TDDN_CNTRL_CH14_ON_CH14_ON GetResetValue(TDDN_CNTRL_CH14_ON,"CH14_ON") - `define UPDATE_TDDN_CNTRL_CH14_ON_CH14_ON(x,y) UpdateField(TDDN_CNTRL_CH14_ON,"CH14_ON",x,y) - - const reg_t TDDN_CNTRL_CH14_OFF = '{ 'h00f4, "CH14_OFF" , '{ - "CH14_OFF": '{ 31, 0, RW, 'h00000000 }}}; - `define SET_TDDN_CNTRL_CH14_OFF_CH14_OFF(x) SetField(TDDN_CNTRL_CH14_OFF,"CH14_OFF",x) - `define GET_TDDN_CNTRL_CH14_OFF_CH14_OFF(x) GetField(TDDN_CNTRL_CH14_OFF,"CH14_OFF",x) - `define DEFAULT_TDDN_CNTRL_CH14_OFF_CH14_OFF GetResetValue(TDDN_CNTRL_CH14_OFF,"CH14_OFF") - `define UPDATE_TDDN_CNTRL_CH14_OFF_CH14_OFF(x,y) UpdateField(TDDN_CNTRL_CH14_OFF,"CH14_OFF",x,y) - - const reg_t TDDN_CNTRL_CH15_ON = '{ 'h00f8, "CH15_ON" , '{ - "CH15_ON": '{ 31, 0, RW, 'h00000000 }}}; - `define SET_TDDN_CNTRL_CH15_ON_CH15_ON(x) SetField(TDDN_CNTRL_CH15_ON,"CH15_ON",x) - `define GET_TDDN_CNTRL_CH15_ON_CH15_ON(x) GetField(TDDN_CNTRL_CH15_ON,"CH15_ON",x) - `define DEFAULT_TDDN_CNTRL_CH15_ON_CH15_ON GetResetValue(TDDN_CNTRL_CH15_ON,"CH15_ON") - `define UPDATE_TDDN_CNTRL_CH15_ON_CH15_ON(x,y) UpdateField(TDDN_CNTRL_CH15_ON,"CH15_ON",x,y) - - const reg_t TDDN_CNTRL_CH15_OFF = '{ 'h00fc, "CH15_OFF" , '{ - "CH15_OFF": '{ 31, 0, RW, 'h00000000 }}}; - `define SET_TDDN_CNTRL_CH15_OFF_CH15_OFF(x) SetField(TDDN_CNTRL_CH15_OFF,"CH15_OFF",x) - `define GET_TDDN_CNTRL_CH15_OFF_CH15_OFF(x) GetField(TDDN_CNTRL_CH15_OFF,"CH15_OFF",x) - `define DEFAULT_TDDN_CNTRL_CH15_OFF_CH15_OFF GetResetValue(TDDN_CNTRL_CH15_OFF,"CH15_OFF") - `define UPDATE_TDDN_CNTRL_CH15_OFF_CH15_OFF(x,y) UpdateField(TDDN_CNTRL_CH15_OFF,"CH15_OFF",x,y) - - const reg_t TDDN_CNTRL_CH16_ON = '{ 'h0100, "CH16_ON" , '{ - "CH16_ON": '{ 31, 0, RW, 'h00000000 }}}; - `define SET_TDDN_CNTRL_CH16_ON_CH16_ON(x) SetField(TDDN_CNTRL_CH16_ON,"CH16_ON",x) - `define GET_TDDN_CNTRL_CH16_ON_CH16_ON(x) GetField(TDDN_CNTRL_CH16_ON,"CH16_ON",x) - `define DEFAULT_TDDN_CNTRL_CH16_ON_CH16_ON GetResetValue(TDDN_CNTRL_CH16_ON,"CH16_ON") - `define UPDATE_TDDN_CNTRL_CH16_ON_CH16_ON(x,y) UpdateField(TDDN_CNTRL_CH16_ON,"CH16_ON",x,y) - - const reg_t TDDN_CNTRL_CH16_OFF = '{ 'h0104, "CH16_OFF" , '{ - "CH16_OFF": '{ 31, 0, RW, 'h00000000 }}}; - `define SET_TDDN_CNTRL_CH16_OFF_CH16_OFF(x) SetField(TDDN_CNTRL_CH16_OFF,"CH16_OFF",x) - `define GET_TDDN_CNTRL_CH16_OFF_CH16_OFF(x) GetField(TDDN_CNTRL_CH16_OFF,"CH16_OFF",x) - `define DEFAULT_TDDN_CNTRL_CH16_OFF_CH16_OFF GetResetValue(TDDN_CNTRL_CH16_OFF,"CH16_OFF") - `define UPDATE_TDDN_CNTRL_CH16_OFF_CH16_OFF(x,y) UpdateField(TDDN_CNTRL_CH16_OFF,"CH16_OFF",x,y) - - const reg_t TDDN_CNTRL_CH17_ON = '{ 'h0108, "CH17_ON" , '{ - "CH17_ON": '{ 31, 0, RW, 'h00000000 }}}; - `define SET_TDDN_CNTRL_CH17_ON_CH17_ON(x) SetField(TDDN_CNTRL_CH17_ON,"CH17_ON",x) - `define GET_TDDN_CNTRL_CH17_ON_CH17_ON(x) GetField(TDDN_CNTRL_CH17_ON,"CH17_ON",x) - `define DEFAULT_TDDN_CNTRL_CH17_ON_CH17_ON GetResetValue(TDDN_CNTRL_CH17_ON,"CH17_ON") - `define UPDATE_TDDN_CNTRL_CH17_ON_CH17_ON(x,y) UpdateField(TDDN_CNTRL_CH17_ON,"CH17_ON",x,y) - - const reg_t TDDN_CNTRL_CH17_OFF = '{ 'h010c, "CH17_OFF" , '{ - "CH17_OFF": '{ 31, 0, RW, 'h00000000 }}}; - `define SET_TDDN_CNTRL_CH17_OFF_CH17_OFF(x) SetField(TDDN_CNTRL_CH17_OFF,"CH17_OFF",x) - `define GET_TDDN_CNTRL_CH17_OFF_CH17_OFF(x) GetField(TDDN_CNTRL_CH17_OFF,"CH17_OFF",x) - `define DEFAULT_TDDN_CNTRL_CH17_OFF_CH17_OFF GetResetValue(TDDN_CNTRL_CH17_OFF,"CH17_OFF") - `define UPDATE_TDDN_CNTRL_CH17_OFF_CH17_OFF(x,y) UpdateField(TDDN_CNTRL_CH17_OFF,"CH17_OFF",x,y) - - const reg_t TDDN_CNTRL_CH18_ON = '{ 'h0110, "CH18_ON" , '{ - "CH18_ON": '{ 31, 0, RW, 'h00000000 }}}; - `define SET_TDDN_CNTRL_CH18_ON_CH18_ON(x) SetField(TDDN_CNTRL_CH18_ON,"CH18_ON",x) - `define GET_TDDN_CNTRL_CH18_ON_CH18_ON(x) GetField(TDDN_CNTRL_CH18_ON,"CH18_ON",x) - `define DEFAULT_TDDN_CNTRL_CH18_ON_CH18_ON GetResetValue(TDDN_CNTRL_CH18_ON,"CH18_ON") - `define UPDATE_TDDN_CNTRL_CH18_ON_CH18_ON(x,y) UpdateField(TDDN_CNTRL_CH18_ON,"CH18_ON",x,y) - - const reg_t TDDN_CNTRL_CH18_OFF = '{ 'h0114, "CH18_OFF" , '{ - "CH18_OFF": '{ 31, 0, RW, 'h00000000 }}}; - `define SET_TDDN_CNTRL_CH18_OFF_CH18_OFF(x) SetField(TDDN_CNTRL_CH18_OFF,"CH18_OFF",x) - `define GET_TDDN_CNTRL_CH18_OFF_CH18_OFF(x) GetField(TDDN_CNTRL_CH18_OFF,"CH18_OFF",x) - `define DEFAULT_TDDN_CNTRL_CH18_OFF_CH18_OFF GetResetValue(TDDN_CNTRL_CH18_OFF,"CH18_OFF") - `define UPDATE_TDDN_CNTRL_CH18_OFF_CH18_OFF(x,y) UpdateField(TDDN_CNTRL_CH18_OFF,"CH18_OFF",x,y) - - const reg_t TDDN_CNTRL_CH19_ON = '{ 'h0118, "CH19_ON" , '{ - "CH19_ON": '{ 31, 0, RW, 'h00000000 }}}; - `define SET_TDDN_CNTRL_CH19_ON_CH19_ON(x) SetField(TDDN_CNTRL_CH19_ON,"CH19_ON",x) - `define GET_TDDN_CNTRL_CH19_ON_CH19_ON(x) GetField(TDDN_CNTRL_CH19_ON,"CH19_ON",x) - `define DEFAULT_TDDN_CNTRL_CH19_ON_CH19_ON GetResetValue(TDDN_CNTRL_CH19_ON,"CH19_ON") - `define UPDATE_TDDN_CNTRL_CH19_ON_CH19_ON(x,y) UpdateField(TDDN_CNTRL_CH19_ON,"CH19_ON",x,y) - - const reg_t TDDN_CNTRL_CH19_OFF = '{ 'h011c, "CH19_OFF" , '{ - "CH19_OFF": '{ 31, 0, RW, 'h00000000 }}}; - `define SET_TDDN_CNTRL_CH19_OFF_CH19_OFF(x) SetField(TDDN_CNTRL_CH19_OFF,"CH19_OFF",x) - `define GET_TDDN_CNTRL_CH19_OFF_CH19_OFF(x) GetField(TDDN_CNTRL_CH19_OFF,"CH19_OFF",x) - `define DEFAULT_TDDN_CNTRL_CH19_OFF_CH19_OFF GetResetValue(TDDN_CNTRL_CH19_OFF,"CH19_OFF") - `define UPDATE_TDDN_CNTRL_CH19_OFF_CH19_OFF(x,y) UpdateField(TDDN_CNTRL_CH19_OFF,"CH19_OFF",x,y) - - const reg_t TDDN_CNTRL_CH20_ON = '{ 'h0120, "CH20_ON" , '{ - "CH20_ON": '{ 31, 0, RW, 'h00000000 }}}; - `define SET_TDDN_CNTRL_CH20_ON_CH20_ON(x) SetField(TDDN_CNTRL_CH20_ON,"CH20_ON",x) - `define GET_TDDN_CNTRL_CH20_ON_CH20_ON(x) GetField(TDDN_CNTRL_CH20_ON,"CH20_ON",x) - `define DEFAULT_TDDN_CNTRL_CH20_ON_CH20_ON GetResetValue(TDDN_CNTRL_CH20_ON,"CH20_ON") - `define UPDATE_TDDN_CNTRL_CH20_ON_CH20_ON(x,y) UpdateField(TDDN_CNTRL_CH20_ON,"CH20_ON",x,y) - - const reg_t TDDN_CNTRL_CH20_OFF = '{ 'h0124, "CH20_OFF" , '{ - "CH20_OFF": '{ 31, 0, RW, 'h00000000 }}}; - `define SET_TDDN_CNTRL_CH20_OFF_CH20_OFF(x) SetField(TDDN_CNTRL_CH20_OFF,"CH20_OFF",x) - `define GET_TDDN_CNTRL_CH20_OFF_CH20_OFF(x) GetField(TDDN_CNTRL_CH20_OFF,"CH20_OFF",x) - `define DEFAULT_TDDN_CNTRL_CH20_OFF_CH20_OFF GetResetValue(TDDN_CNTRL_CH20_OFF,"CH20_OFF") - `define UPDATE_TDDN_CNTRL_CH20_OFF_CH20_OFF(x,y) UpdateField(TDDN_CNTRL_CH20_OFF,"CH20_OFF",x,y) - - const reg_t TDDN_CNTRL_CH21_ON = '{ 'h0128, "CH21_ON" , '{ - "CH21_ON": '{ 31, 0, RW, 'h00000000 }}}; - `define SET_TDDN_CNTRL_CH21_ON_CH21_ON(x) SetField(TDDN_CNTRL_CH21_ON,"CH21_ON",x) - `define GET_TDDN_CNTRL_CH21_ON_CH21_ON(x) GetField(TDDN_CNTRL_CH21_ON,"CH21_ON",x) - `define DEFAULT_TDDN_CNTRL_CH21_ON_CH21_ON GetResetValue(TDDN_CNTRL_CH21_ON,"CH21_ON") - `define UPDATE_TDDN_CNTRL_CH21_ON_CH21_ON(x,y) UpdateField(TDDN_CNTRL_CH21_ON,"CH21_ON",x,y) - - const reg_t TDDN_CNTRL_CH21_OFF = '{ 'h012c, "CH21_OFF" , '{ - "CH21_OFF": '{ 31, 0, RW, 'h00000000 }}}; - `define SET_TDDN_CNTRL_CH21_OFF_CH21_OFF(x) SetField(TDDN_CNTRL_CH21_OFF,"CH21_OFF",x) - `define GET_TDDN_CNTRL_CH21_OFF_CH21_OFF(x) GetField(TDDN_CNTRL_CH21_OFF,"CH21_OFF",x) - `define DEFAULT_TDDN_CNTRL_CH21_OFF_CH21_OFF GetResetValue(TDDN_CNTRL_CH21_OFF,"CH21_OFF") - `define UPDATE_TDDN_CNTRL_CH21_OFF_CH21_OFF(x,y) UpdateField(TDDN_CNTRL_CH21_OFF,"CH21_OFF",x,y) - - const reg_t TDDN_CNTRL_CH22_ON = '{ 'h0130, "CH22_ON" , '{ - "CH22_ON": '{ 31, 0, RW, 'h00000000 }}}; - `define SET_TDDN_CNTRL_CH22_ON_CH22_ON(x) SetField(TDDN_CNTRL_CH22_ON,"CH22_ON",x) - `define GET_TDDN_CNTRL_CH22_ON_CH22_ON(x) GetField(TDDN_CNTRL_CH22_ON,"CH22_ON",x) - `define DEFAULT_TDDN_CNTRL_CH22_ON_CH22_ON GetResetValue(TDDN_CNTRL_CH22_ON,"CH22_ON") - `define UPDATE_TDDN_CNTRL_CH22_ON_CH22_ON(x,y) UpdateField(TDDN_CNTRL_CH22_ON,"CH22_ON",x,y) - - const reg_t TDDN_CNTRL_CH22_OFF = '{ 'h0134, "CH22_OFF" , '{ - "CH22_OFF": '{ 31, 0, RW, 'h00000000 }}}; - `define SET_TDDN_CNTRL_CH22_OFF_CH22_OFF(x) SetField(TDDN_CNTRL_CH22_OFF,"CH22_OFF",x) - `define GET_TDDN_CNTRL_CH22_OFF_CH22_OFF(x) GetField(TDDN_CNTRL_CH22_OFF,"CH22_OFF",x) - `define DEFAULT_TDDN_CNTRL_CH22_OFF_CH22_OFF GetResetValue(TDDN_CNTRL_CH22_OFF,"CH22_OFF") - `define UPDATE_TDDN_CNTRL_CH22_OFF_CH22_OFF(x,y) UpdateField(TDDN_CNTRL_CH22_OFF,"CH22_OFF",x,y) - - const reg_t TDDN_CNTRL_CH23_ON = '{ 'h0138, "CH23_ON" , '{ - "CH23_ON": '{ 31, 0, RW, 'h00000000 }}}; - `define SET_TDDN_CNTRL_CH23_ON_CH23_ON(x) SetField(TDDN_CNTRL_CH23_ON,"CH23_ON",x) - `define GET_TDDN_CNTRL_CH23_ON_CH23_ON(x) GetField(TDDN_CNTRL_CH23_ON,"CH23_ON",x) - `define DEFAULT_TDDN_CNTRL_CH23_ON_CH23_ON GetResetValue(TDDN_CNTRL_CH23_ON,"CH23_ON") - `define UPDATE_TDDN_CNTRL_CH23_ON_CH23_ON(x,y) UpdateField(TDDN_CNTRL_CH23_ON,"CH23_ON",x,y) - - const reg_t TDDN_CNTRL_CH23_OFF = '{ 'h013c, "CH23_OFF" , '{ - "CH23_OFF": '{ 31, 0, RW, 'h00000000 }}}; - `define SET_TDDN_CNTRL_CH23_OFF_CH23_OFF(x) SetField(TDDN_CNTRL_CH23_OFF,"CH23_OFF",x) - `define GET_TDDN_CNTRL_CH23_OFF_CH23_OFF(x) GetField(TDDN_CNTRL_CH23_OFF,"CH23_OFF",x) - `define DEFAULT_TDDN_CNTRL_CH23_OFF_CH23_OFF GetResetValue(TDDN_CNTRL_CH23_OFF,"CH23_OFF") - `define UPDATE_TDDN_CNTRL_CH23_OFF_CH23_OFF(x,y) UpdateField(TDDN_CNTRL_CH23_OFF,"CH23_OFF",x,y) - - const reg_t TDDN_CNTRL_CH24_ON = '{ 'h0140, "CH24_ON" , '{ - "CH24_ON": '{ 31, 0, RW, 'h00000000 }}}; - `define SET_TDDN_CNTRL_CH24_ON_CH24_ON(x) SetField(TDDN_CNTRL_CH24_ON,"CH24_ON",x) - `define GET_TDDN_CNTRL_CH24_ON_CH24_ON(x) GetField(TDDN_CNTRL_CH24_ON,"CH24_ON",x) - `define DEFAULT_TDDN_CNTRL_CH24_ON_CH24_ON GetResetValue(TDDN_CNTRL_CH24_ON,"CH24_ON") - `define UPDATE_TDDN_CNTRL_CH24_ON_CH24_ON(x,y) UpdateField(TDDN_CNTRL_CH24_ON,"CH24_ON",x,y) - - const reg_t TDDN_CNTRL_CH24_OFF = '{ 'h0144, "CH24_OFF" , '{ - "CH24_OFF": '{ 31, 0, RW, 'h00000000 }}}; - `define SET_TDDN_CNTRL_CH24_OFF_CH24_OFF(x) SetField(TDDN_CNTRL_CH24_OFF,"CH24_OFF",x) - `define GET_TDDN_CNTRL_CH24_OFF_CH24_OFF(x) GetField(TDDN_CNTRL_CH24_OFF,"CH24_OFF",x) - `define DEFAULT_TDDN_CNTRL_CH24_OFF_CH24_OFF GetResetValue(TDDN_CNTRL_CH24_OFF,"CH24_OFF") - `define UPDATE_TDDN_CNTRL_CH24_OFF_CH24_OFF(x,y) UpdateField(TDDN_CNTRL_CH24_OFF,"CH24_OFF",x,y) - - const reg_t TDDN_CNTRL_CH25_ON = '{ 'h0148, "CH25_ON" , '{ - "CH25_ON": '{ 31, 0, RW, 'h00000000 }}}; - `define SET_TDDN_CNTRL_CH25_ON_CH25_ON(x) SetField(TDDN_CNTRL_CH25_ON,"CH25_ON",x) - `define GET_TDDN_CNTRL_CH25_ON_CH25_ON(x) GetField(TDDN_CNTRL_CH25_ON,"CH25_ON",x) - `define DEFAULT_TDDN_CNTRL_CH25_ON_CH25_ON GetResetValue(TDDN_CNTRL_CH25_ON,"CH25_ON") - `define UPDATE_TDDN_CNTRL_CH25_ON_CH25_ON(x,y) UpdateField(TDDN_CNTRL_CH25_ON,"CH25_ON",x,y) - - const reg_t TDDN_CNTRL_CH25_OFF = '{ 'h014c, "CH25_OFF" , '{ - "CH25_OFF": '{ 31, 0, RW, 'h00000000 }}}; - `define SET_TDDN_CNTRL_CH25_OFF_CH25_OFF(x) SetField(TDDN_CNTRL_CH25_OFF,"CH25_OFF",x) - `define GET_TDDN_CNTRL_CH25_OFF_CH25_OFF(x) GetField(TDDN_CNTRL_CH25_OFF,"CH25_OFF",x) - `define DEFAULT_TDDN_CNTRL_CH25_OFF_CH25_OFF GetResetValue(TDDN_CNTRL_CH25_OFF,"CH25_OFF") - `define UPDATE_TDDN_CNTRL_CH25_OFF_CH25_OFF(x,y) UpdateField(TDDN_CNTRL_CH25_OFF,"CH25_OFF",x,y) - - const reg_t TDDN_CNTRL_CH26_ON = '{ 'h0150, "CH26_ON" , '{ - "CH26_ON": '{ 31, 0, RW, 'h00000000 }}}; - `define SET_TDDN_CNTRL_CH26_ON_CH26_ON(x) SetField(TDDN_CNTRL_CH26_ON,"CH26_ON",x) - `define GET_TDDN_CNTRL_CH26_ON_CH26_ON(x) GetField(TDDN_CNTRL_CH26_ON,"CH26_ON",x) - `define DEFAULT_TDDN_CNTRL_CH26_ON_CH26_ON GetResetValue(TDDN_CNTRL_CH26_ON,"CH26_ON") - `define UPDATE_TDDN_CNTRL_CH26_ON_CH26_ON(x,y) UpdateField(TDDN_CNTRL_CH26_ON,"CH26_ON",x,y) - - const reg_t TDDN_CNTRL_CH26_OFF = '{ 'h0154, "CH26_OFF" , '{ - "CH26_OFF": '{ 31, 0, RW, 'h00000000 }}}; - `define SET_TDDN_CNTRL_CH26_OFF_CH26_OFF(x) SetField(TDDN_CNTRL_CH26_OFF,"CH26_OFF",x) - `define GET_TDDN_CNTRL_CH26_OFF_CH26_OFF(x) GetField(TDDN_CNTRL_CH26_OFF,"CH26_OFF",x) - `define DEFAULT_TDDN_CNTRL_CH26_OFF_CH26_OFF GetResetValue(TDDN_CNTRL_CH26_OFF,"CH26_OFF") - `define UPDATE_TDDN_CNTRL_CH26_OFF_CH26_OFF(x,y) UpdateField(TDDN_CNTRL_CH26_OFF,"CH26_OFF",x,y) - - const reg_t TDDN_CNTRL_CH27_ON = '{ 'h0158, "CH27_ON" , '{ - "CH27_ON": '{ 31, 0, RW, 'h00000000 }}}; - `define SET_TDDN_CNTRL_CH27_ON_CH27_ON(x) SetField(TDDN_CNTRL_CH27_ON,"CH27_ON",x) - `define GET_TDDN_CNTRL_CH27_ON_CH27_ON(x) GetField(TDDN_CNTRL_CH27_ON,"CH27_ON",x) - `define DEFAULT_TDDN_CNTRL_CH27_ON_CH27_ON GetResetValue(TDDN_CNTRL_CH27_ON,"CH27_ON") - `define UPDATE_TDDN_CNTRL_CH27_ON_CH27_ON(x,y) UpdateField(TDDN_CNTRL_CH27_ON,"CH27_ON",x,y) - - const reg_t TDDN_CNTRL_CH27_OFF = '{ 'h015c, "CH27_OFF" , '{ - "CH27_OFF": '{ 31, 0, RW, 'h00000000 }}}; - `define SET_TDDN_CNTRL_CH27_OFF_CH27_OFF(x) SetField(TDDN_CNTRL_CH27_OFF,"CH27_OFF",x) - `define GET_TDDN_CNTRL_CH27_OFF_CH27_OFF(x) GetField(TDDN_CNTRL_CH27_OFF,"CH27_OFF",x) - `define DEFAULT_TDDN_CNTRL_CH27_OFF_CH27_OFF GetResetValue(TDDN_CNTRL_CH27_OFF,"CH27_OFF") - `define UPDATE_TDDN_CNTRL_CH27_OFF_CH27_OFF(x,y) UpdateField(TDDN_CNTRL_CH27_OFF,"CH27_OFF",x,y) - - const reg_t TDDN_CNTRL_CH28_ON = '{ 'h0160, "CH28_ON" , '{ - "CH28_ON": '{ 31, 0, RW, 'h00000000 }}}; - `define SET_TDDN_CNTRL_CH28_ON_CH28_ON(x) SetField(TDDN_CNTRL_CH28_ON,"CH28_ON",x) - `define GET_TDDN_CNTRL_CH28_ON_CH28_ON(x) GetField(TDDN_CNTRL_CH28_ON,"CH28_ON",x) - `define DEFAULT_TDDN_CNTRL_CH28_ON_CH28_ON GetResetValue(TDDN_CNTRL_CH28_ON,"CH28_ON") - `define UPDATE_TDDN_CNTRL_CH28_ON_CH28_ON(x,y) UpdateField(TDDN_CNTRL_CH28_ON,"CH28_ON",x,y) - - const reg_t TDDN_CNTRL_CH28_OFF = '{ 'h0164, "CH28_OFF" , '{ - "CH28_OFF": '{ 31, 0, RW, 'h00000000 }}}; - `define SET_TDDN_CNTRL_CH28_OFF_CH28_OFF(x) SetField(TDDN_CNTRL_CH28_OFF,"CH28_OFF",x) - `define GET_TDDN_CNTRL_CH28_OFF_CH28_OFF(x) GetField(TDDN_CNTRL_CH28_OFF,"CH28_OFF",x) - `define DEFAULT_TDDN_CNTRL_CH28_OFF_CH28_OFF GetResetValue(TDDN_CNTRL_CH28_OFF,"CH28_OFF") - `define UPDATE_TDDN_CNTRL_CH28_OFF_CH28_OFF(x,y) UpdateField(TDDN_CNTRL_CH28_OFF,"CH28_OFF",x,y) - - const reg_t TDDN_CNTRL_CH29_ON = '{ 'h0168, "CH29_ON" , '{ - "CH29_ON": '{ 31, 0, RW, 'h00000000 }}}; - `define SET_TDDN_CNTRL_CH29_ON_CH29_ON(x) SetField(TDDN_CNTRL_CH29_ON,"CH29_ON",x) - `define GET_TDDN_CNTRL_CH29_ON_CH29_ON(x) GetField(TDDN_CNTRL_CH29_ON,"CH29_ON",x) - `define DEFAULT_TDDN_CNTRL_CH29_ON_CH29_ON GetResetValue(TDDN_CNTRL_CH29_ON,"CH29_ON") - `define UPDATE_TDDN_CNTRL_CH29_ON_CH29_ON(x,y) UpdateField(TDDN_CNTRL_CH29_ON,"CH29_ON",x,y) - - const reg_t TDDN_CNTRL_CH29_OFF = '{ 'h016c, "CH29_OFF" , '{ - "CH29_OFF": '{ 31, 0, RW, 'h00000000 }}}; - `define SET_TDDN_CNTRL_CH29_OFF_CH29_OFF(x) SetField(TDDN_CNTRL_CH29_OFF,"CH29_OFF",x) - `define GET_TDDN_CNTRL_CH29_OFF_CH29_OFF(x) GetField(TDDN_CNTRL_CH29_OFF,"CH29_OFF",x) - `define DEFAULT_TDDN_CNTRL_CH29_OFF_CH29_OFF GetResetValue(TDDN_CNTRL_CH29_OFF,"CH29_OFF") - `define UPDATE_TDDN_CNTRL_CH29_OFF_CH29_OFF(x,y) UpdateField(TDDN_CNTRL_CH29_OFF,"CH29_OFF",x,y) - - const reg_t TDDN_CNTRL_CH30_ON = '{ 'h0170, "CH30_ON" , '{ - "CH30_ON": '{ 31, 0, RW, 'h00000000 }}}; - `define SET_TDDN_CNTRL_CH30_ON_CH30_ON(x) SetField(TDDN_CNTRL_CH30_ON,"CH30_ON",x) - `define GET_TDDN_CNTRL_CH30_ON_CH30_ON(x) GetField(TDDN_CNTRL_CH30_ON,"CH30_ON",x) - `define DEFAULT_TDDN_CNTRL_CH30_ON_CH30_ON GetResetValue(TDDN_CNTRL_CH30_ON,"CH30_ON") - `define UPDATE_TDDN_CNTRL_CH30_ON_CH30_ON(x,y) UpdateField(TDDN_CNTRL_CH30_ON,"CH30_ON",x,y) - - const reg_t TDDN_CNTRL_CH30_OFF = '{ 'h0174, "CH30_OFF" , '{ - "CH30_OFF": '{ 31, 0, RW, 'h00000000 }}}; - `define SET_TDDN_CNTRL_CH30_OFF_CH30_OFF(x) SetField(TDDN_CNTRL_CH30_OFF,"CH30_OFF",x) - `define GET_TDDN_CNTRL_CH30_OFF_CH30_OFF(x) GetField(TDDN_CNTRL_CH30_OFF,"CH30_OFF",x) - `define DEFAULT_TDDN_CNTRL_CH30_OFF_CH30_OFF GetResetValue(TDDN_CNTRL_CH30_OFF,"CH30_OFF") - `define UPDATE_TDDN_CNTRL_CH30_OFF_CH30_OFF(x,y) UpdateField(TDDN_CNTRL_CH30_OFF,"CH30_OFF",x,y) - - const reg_t TDDN_CNTRL_CH31_ON = '{ 'h0178, "CH31_ON" , '{ - "CH31_ON": '{ 31, 0, RW, 'h00000000 }}}; - `define SET_TDDN_CNTRL_CH31_ON_CH31_ON(x) SetField(TDDN_CNTRL_CH31_ON,"CH31_ON",x) - `define GET_TDDN_CNTRL_CH31_ON_CH31_ON(x) GetField(TDDN_CNTRL_CH31_ON,"CH31_ON",x) - `define DEFAULT_TDDN_CNTRL_CH31_ON_CH31_ON GetResetValue(TDDN_CNTRL_CH31_ON,"CH31_ON") - `define UPDATE_TDDN_CNTRL_CH31_ON_CH31_ON(x,y) UpdateField(TDDN_CNTRL_CH31_ON,"CH31_ON",x,y) - - const reg_t TDDN_CNTRL_CH31_OFF = '{ 'h017c, "CH31_OFF" , '{ - "CH31_OFF": '{ 31, 0, RW, 'h00000000 }}}; - `define SET_TDDN_CNTRL_CH31_OFF_CH31_OFF(x) SetField(TDDN_CNTRL_CH31_OFF,"CH31_OFF",x) - `define GET_TDDN_CNTRL_CH31_OFF_CH31_OFF(x) GetField(TDDN_CNTRL_CH31_OFF,"CH31_OFF",x) - `define DEFAULT_TDDN_CNTRL_CH31_OFF_CH31_OFF GetResetValue(TDDN_CNTRL_CH31_OFF,"CH31_OFF") - `define UPDATE_TDDN_CNTRL_CH31_OFF_CH31_OFF(x,y) UpdateField(TDDN_CNTRL_CH31_OFF,"CH31_OFF",x,y) - - -endpackage + import logger_pkg::*; + import adi_api_pkg::*; + + class adi_regmap_tdd_gen extends adi_regmap; + + /* Generic TDD Control (axi_tdd) */ + class VERSION_CLASS extends register_base; + field_base VERSION_MAJOR_F; + field_base VERSION_MINOR_F; + field_base VERSION_PATCH_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.VERSION_MAJOR_F = new("VERSION_MAJOR", 31, 16, RO, 'h2, this); + this.VERSION_MINOR_F = new("VERSION_MINOR", 15, 8, RO, 'h0, this); + this.VERSION_PATCH_F = new("VERSION_PATCH", 7, 0, RO, 'h62, this); + + this.initialization_done = 1; + endfunction: new + endclass: VERSION_CLASS + + class PERIPHERAL_ID_CLASS extends register_base; + field_base PERIPHERAL_ID_F; + + function new( + input string name, + input int address, + input int ID, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.PERIPHERAL_ID_F = new("PERIPHERAL_ID", 31, 0, RO, ID, this); + + this.initialization_done = 1; + endfunction: new + endclass: PERIPHERAL_ID_CLASS + + class SCRATCH_CLASS extends register_base; + field_base SCRATCH_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.SCRATCH_F = new("SCRATCH", 31, 0, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: SCRATCH_CLASS + + class IDENTIFICATION_CLASS extends register_base; + field_base IDENTIFICATION_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.IDENTIFICATION_F = new("IDENTIFICATION", 31, 0, RO, 'h5444444e, this); + + this.initialization_done = 1; + endfunction: new + endclass: IDENTIFICATION_CLASS + + class INTERFACE_DESCRIPTION_CLASS extends register_base; + field_base SYNC_COUNT_WIDTH_F; + field_base BURST_COUNT_WIDTH_F; + field_base REGISTER_WIDTH_F; + field_base SYNC_EXTERNAL_CDC_F; + field_base SYNC_EXTERNAL_F; + field_base SYNC_INTERNAL_F; + field_base CHANNEL_COUNT_EXTRA_F; + + function new( + input string name, + input int address, + input int BURST_COUNT_WIDTH, + input int CHANNEL_COUNT, + input int REGISTER_WIDTH, + input int SYNC_COUNT_WIDTH, + input int SYNC_EXTERNAL, + input int SYNC_EXTERNAL_CDC, + input int SYNC_INTERNAL, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.SYNC_COUNT_WIDTH_F = new("SYNC_COUNT_WIDTH", 30, 24, RO, SYNC_COUNT_WIDTH, this); + this.BURST_COUNT_WIDTH_F = new("BURST_COUNT_WIDTH", 21, 16, RO, BURST_COUNT_WIDTH, this); + this.REGISTER_WIDTH_F = new("REGISTER_WIDTH", 13, 8, RO, REGISTER_WIDTH, this); + this.SYNC_EXTERNAL_CDC_F = new("SYNC_EXTERNAL_CDC", 7, 7, RO, SYNC_EXTERNAL_CDC, this); + this.SYNC_EXTERNAL_F = new("SYNC_EXTERNAL", 6, 6, RO, SYNC_EXTERNAL, this); + this.SYNC_INTERNAL_F = new("SYNC_INTERNAL", 5, 5, RO, SYNC_INTERNAL, this); + this.CHANNEL_COUNT_EXTRA_F = new("CHANNEL_COUNT_EXTRA", 4, 0, RO, CHANNEL_COUNT-1, this); + + this.initialization_done = 1; + endfunction: new + endclass: INTERFACE_DESCRIPTION_CLASS + + class DEFAULT_POLARITY_CLASS extends register_base; + field_base DEFAULT_POLARITY_F; + + function new( + input string name, + input int address, + input int DEFAULT_POLARITY, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.DEFAULT_POLARITY_F = new("DEFAULT_POLARITY", 31, 0, RO, DEFAULT_POLARITY, this); + + this.initialization_done = 1; + endfunction: new + endclass: DEFAULT_POLARITY_CLASS + + class CONTROL_CLASS extends register_base; + field_base SYNC_SOFT_F; + field_base SYNC_EXT_F; + field_base SYNC_INT_F; + field_base SYNC_RST_F; + field_base ENABLE_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.SYNC_SOFT_F = new("SYNC_SOFT", 4, 4, RW1C, 'h0, this); + this.SYNC_EXT_F = new("SYNC_EXT", 3, 3, RW, 'h0, this); + this.SYNC_INT_F = new("SYNC_INT", 2, 2, RW, 'h0, this); + this.SYNC_RST_F = new("SYNC_RST", 1, 1, RW, 'h0, this); + this.ENABLE_F = new("ENABLE", 0, 0, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: CONTROL_CLASS + + class CHANNEL_ENABLE_CLASS extends register_base; + field_base CHANNEL_ENABLE_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.CHANNEL_ENABLE_F = new("CHANNEL_ENABLE", 31, 0, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: CHANNEL_ENABLE_CLASS + + class CHANNEL_POLARITY_CLASS extends register_base; + field_base CHANNEL_POLARITY_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.CHANNEL_POLARITY_F = new("CHANNEL_POLARITY", 31, 0, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: CHANNEL_POLARITY_CLASS + + class BURST_COUNT_CLASS extends register_base; + field_base BURST_COUNT_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.BURST_COUNT_F = new("BURST_COUNT", 31, 0, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: BURST_COUNT_CLASS + + class STARTUP_DELAY_CLASS extends register_base; + field_base STARTUP_DELAY_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.STARTUP_DELAY_F = new("STARTUP_DELAY", 31, 0, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: STARTUP_DELAY_CLASS + + class FRAME_LENGTH_CLASS extends register_base; + field_base FRAME_LENGTH_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.FRAME_LENGTH_F = new("FRAME_LENGTH", 31, 0, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: FRAME_LENGTH_CLASS + + class SYNC_PERIOD_LOW_CLASS extends register_base; + field_base SYNC_PERIOD_LOW_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.SYNC_PERIOD_LOW_F = new("SYNC_PERIOD_LOW", 31, 0, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: SYNC_PERIOD_LOW_CLASS + + class SYNC_PERIOD_HIGH_CLASS extends register_base; + field_base SYNC_PERIOD_HIGH_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.SYNC_PERIOD_HIGH_F = new("SYNC_PERIOD_HIGH", 31, 0, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: SYNC_PERIOD_HIGH_CLASS + + class STATUS_CLASS extends register_base; + field_base STATE_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.STATE_F = new("STATE", 1, 0, RO, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: STATUS_CLASS + + class CHn_ON_CLASS extends register_base; + field_base CHn_ON_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.CHn_ON_F = new("CHn_ON", 31, 0, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: CHn_ON_CLASS + + class CHn_OFF_CLASS extends register_base; + field_base CHn_OFF_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.CHn_OFF_F = new("CHn_OFF", 31, 0, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: CHn_OFF_CLASS + + VERSION_CLASS VERSION_R; + PERIPHERAL_ID_CLASS PERIPHERAL_ID_R; + SCRATCH_CLASS SCRATCH_R; + IDENTIFICATION_CLASS IDENTIFICATION_R; + INTERFACE_DESCRIPTION_CLASS INTERFACE_DESCRIPTION_R; + DEFAULT_POLARITY_CLASS DEFAULT_POLARITY_R; + CONTROL_CLASS CONTROL_R; + CHANNEL_ENABLE_CLASS CHANNEL_ENABLE_R; + CHANNEL_POLARITY_CLASS CHANNEL_POLARITY_R; + BURST_COUNT_CLASS BURST_COUNT_R; + STARTUP_DELAY_CLASS STARTUP_DELAY_R; + FRAME_LENGTH_CLASS FRAME_LENGTH_R; + SYNC_PERIOD_LOW_CLASS SYNC_PERIOD_LOW_R; + SYNC_PERIOD_HIGH_CLASS SYNC_PERIOD_HIGH_R; + STATUS_CLASS STATUS_R; + CHn_ON_CLASS CH0_ON_R; + CHn_ON_CLASS CH1_ON_R; + CHn_ON_CLASS CH2_ON_R; + CHn_ON_CLASS CH3_ON_R; + CHn_ON_CLASS CH4_ON_R; + CHn_ON_CLASS CH5_ON_R; + CHn_ON_CLASS CH6_ON_R; + CHn_ON_CLASS CH7_ON_R; + CHn_ON_CLASS CH8_ON_R; + CHn_ON_CLASS CH9_ON_R; + CHn_ON_CLASS CH10_ON_R; + CHn_ON_CLASS CH11_ON_R; + CHn_ON_CLASS CH12_ON_R; + CHn_ON_CLASS CH13_ON_R; + CHn_ON_CLASS CH14_ON_R; + CHn_ON_CLASS CH15_ON_R; + CHn_ON_CLASS CH16_ON_R; + CHn_ON_CLASS CH17_ON_R; + CHn_ON_CLASS CH18_ON_R; + CHn_ON_CLASS CH19_ON_R; + CHn_ON_CLASS CH20_ON_R; + CHn_ON_CLASS CH21_ON_R; + CHn_ON_CLASS CH22_ON_R; + CHn_ON_CLASS CH23_ON_R; + CHn_ON_CLASS CH24_ON_R; + CHn_ON_CLASS CH25_ON_R; + CHn_ON_CLASS CH26_ON_R; + CHn_ON_CLASS CH27_ON_R; + CHn_ON_CLASS CH28_ON_R; + CHn_ON_CLASS CH29_ON_R; + CHn_ON_CLASS CH30_ON_R; + CHn_ON_CLASS CH31_ON_R; + CHn_OFF_CLASS CH0_OFF_R; + CHn_OFF_CLASS CH1_OFF_R; + CHn_OFF_CLASS CH2_OFF_R; + CHn_OFF_CLASS CH3_OFF_R; + CHn_OFF_CLASS CH4_OFF_R; + CHn_OFF_CLASS CH5_OFF_R; + CHn_OFF_CLASS CH6_OFF_R; + CHn_OFF_CLASS CH7_OFF_R; + CHn_OFF_CLASS CH8_OFF_R; + CHn_OFF_CLASS CH9_OFF_R; + CHn_OFF_CLASS CH10_OFF_R; + CHn_OFF_CLASS CH11_OFF_R; + CHn_OFF_CLASS CH12_OFF_R; + CHn_OFF_CLASS CH13_OFF_R; + CHn_OFF_CLASS CH14_OFF_R; + CHn_OFF_CLASS CH15_OFF_R; + CHn_OFF_CLASS CH16_OFF_R; + CHn_OFF_CLASS CH17_OFF_R; + CHn_OFF_CLASS CH18_OFF_R; + CHn_OFF_CLASS CH19_OFF_R; + CHn_OFF_CLASS CH20_OFF_R; + CHn_OFF_CLASS CH21_OFF_R; + CHn_OFF_CLASS CH22_OFF_R; + CHn_OFF_CLASS CH23_OFF_R; + CHn_OFF_CLASS CH24_OFF_R; + CHn_OFF_CLASS CH25_OFF_R; + CHn_OFF_CLASS CH26_OFF_R; + CHn_OFF_CLASS CH27_OFF_R; + CHn_OFF_CLASS CH28_OFF_R; + CHn_OFF_CLASS CH29_OFF_R; + CHn_OFF_CLASS CH30_OFF_R; + CHn_OFF_CLASS CH31_OFF_R; + + function new( + input string name, + input int address, + input int BURST_COUNT_WIDTH, + input int CHANNEL_COUNT, + input int DEFAULT_POLARITY, + input int ID, + input int REGISTER_WIDTH, + input int SYNC_COUNT_WIDTH, + input int SYNC_EXTERNAL, + input int SYNC_EXTERNAL_CDC, + input int SYNC_INTERNAL, + input adi_api parent = null); + + super.new(name, address, parent); + + this.VERSION_R = new("VERSION", 'h0, this); + this.PERIPHERAL_ID_R = new("PERIPHERAL_ID", 'h4, ID, this); + this.SCRATCH_R = new("SCRATCH", 'h8, this); + this.IDENTIFICATION_R = new("IDENTIFICATION", 'hc, this); + this.INTERFACE_DESCRIPTION_R = new("INTERFACE_DESCRIPTION", 'h10, BURST_COUNT_WIDTH, CHANNEL_COUNT, REGISTER_WIDTH, SYNC_COUNT_WIDTH, SYNC_EXTERNAL, SYNC_EXTERNAL_CDC, SYNC_INTERNAL, this); + this.DEFAULT_POLARITY_R = new("DEFAULT_POLARITY", 'h14, DEFAULT_POLARITY, this); + this.CONTROL_R = new("CONTROL", 'h40, this); + this.CHANNEL_ENABLE_R = new("CHANNEL_ENABLE", 'h44, this); + this.CHANNEL_POLARITY_R = new("CHANNEL_POLARITY", 'h48, this); + this.BURST_COUNT_R = new("BURST_COUNT", 'h4c, this); + this.STARTUP_DELAY_R = new("STARTUP_DELAY", 'h50, this); + this.FRAME_LENGTH_R = new("FRAME_LENGTH", 'h54, this); + this.SYNC_PERIOD_LOW_R = new("SYNC_PERIOD_LOW", 'h58, this); + this.SYNC_PERIOD_HIGH_R = new("SYNC_PERIOD_HIGH", 'h5c, this); + this.STATUS_R = new("STATUS", 'h60, this); + this.CH0_ON_R = new("CH0_ON", 'h80, this); + this.CH1_ON_R = new("CH1_ON", 'h88, this); + this.CH2_ON_R = new("CH2_ON", 'h90, this); + this.CH3_ON_R = new("CH3_ON", 'h98, this); + this.CH4_ON_R = new("CH4_ON", 'ha0, this); + this.CH5_ON_R = new("CH5_ON", 'ha8, this); + this.CH6_ON_R = new("CH6_ON", 'hb0, this); + this.CH7_ON_R = new("CH7_ON", 'hb8, this); + this.CH8_ON_R = new("CH8_ON", 'hc0, this); + this.CH9_ON_R = new("CH9_ON", 'hc8, this); + this.CH10_ON_R = new("CH10_ON", 'hd0, this); + this.CH11_ON_R = new("CH11_ON", 'hd8, this); + this.CH12_ON_R = new("CH12_ON", 'he0, this); + this.CH13_ON_R = new("CH13_ON", 'he8, this); + this.CH14_ON_R = new("CH14_ON", 'hf0, this); + this.CH15_ON_R = new("CH15_ON", 'hf8, this); + this.CH16_ON_R = new("CH16_ON", 'h100, this); + this.CH17_ON_R = new("CH17_ON", 'h108, this); + this.CH18_ON_R = new("CH18_ON", 'h110, this); + this.CH19_ON_R = new("CH19_ON", 'h118, this); + this.CH20_ON_R = new("CH20_ON", 'h120, this); + this.CH21_ON_R = new("CH21_ON", 'h128, this); + this.CH22_ON_R = new("CH22_ON", 'h130, this); + this.CH23_ON_R = new("CH23_ON", 'h138, this); + this.CH24_ON_R = new("CH24_ON", 'h140, this); + this.CH25_ON_R = new("CH25_ON", 'h148, this); + this.CH26_ON_R = new("CH26_ON", 'h150, this); + this.CH27_ON_R = new("CH27_ON", 'h158, this); + this.CH28_ON_R = new("CH28_ON", 'h160, this); + this.CH29_ON_R = new("CH29_ON", 'h168, this); + this.CH30_ON_R = new("CH30_ON", 'h170, this); + this.CH31_ON_R = new("CH31_ON", 'h178, this); + this.CH0_OFF_R = new("CH0_OFF", 'h84, this); + this.CH1_OFF_R = new("CH1_OFF", 'h8c, this); + this.CH2_OFF_R = new("CH2_OFF", 'h94, this); + this.CH3_OFF_R = new("CH3_OFF", 'h9c, this); + this.CH4_OFF_R = new("CH4_OFF", 'ha4, this); + this.CH5_OFF_R = new("CH5_OFF", 'hac, this); + this.CH6_OFF_R = new("CH6_OFF", 'hb4, this); + this.CH7_OFF_R = new("CH7_OFF", 'hbc, this); + this.CH8_OFF_R = new("CH8_OFF", 'hc4, this); + this.CH9_OFF_R = new("CH9_OFF", 'hcc, this); + this.CH10_OFF_R = new("CH10_OFF", 'hd4, this); + this.CH11_OFF_R = new("CH11_OFF", 'hdc, this); + this.CH12_OFF_R = new("CH12_OFF", 'he4, this); + this.CH13_OFF_R = new("CH13_OFF", 'hec, this); + this.CH14_OFF_R = new("CH14_OFF", 'hf4, this); + this.CH15_OFF_R = new("CH15_OFF", 'hfc, this); + this.CH16_OFF_R = new("CH16_OFF", 'h104, this); + this.CH17_OFF_R = new("CH17_OFF", 'h10c, this); + this.CH18_OFF_R = new("CH18_OFF", 'h114, this); + this.CH19_OFF_R = new("CH19_OFF", 'h11c, this); + this.CH20_OFF_R = new("CH20_OFF", 'h124, this); + this.CH21_OFF_R = new("CH21_OFF", 'h12c, this); + this.CH22_OFF_R = new("CH22_OFF", 'h134, this); + this.CH23_OFF_R = new("CH23_OFF", 'h13c, this); + this.CH24_OFF_R = new("CH24_OFF", 'h144, this); + this.CH25_OFF_R = new("CH25_OFF", 'h14c, this); + this.CH26_OFF_R = new("CH26_OFF", 'h154, this); + this.CH27_OFF_R = new("CH27_OFF", 'h15c, this); + this.CH28_OFF_R = new("CH28_OFF", 'h164, this); + this.CH29_OFF_R = new("CH29_OFF", 'h16c, this); + this.CH30_OFF_R = new("CH30_OFF", 'h174, this); + this.CH31_OFF_R = new("CH31_OFF", 'h17c, this); + + this.info($sformatf("Initialized"), ADI_VERBOSITY_HIGH); + endfunction: new + + endclass: adi_regmap_tdd_gen + +endpackage: adi_regmap_tdd_gen_pkg diff --git a/library/regmaps/adi_regmap_tdd_trans_pkg.sv b/library/regmaps/adi_regmap_tdd_trans_pkg.sv index 38f0bd33..609da0df 100644 --- a/library/regmaps/adi_regmap_tdd_trans_pkg.sv +++ b/library/regmaps/adi_regmap_tdd_trans_pkg.sv @@ -1,6 +1,6 @@ // *************************************************************************** // *************************************************************************** -// Copyright (C) 2014 - 2024 Analog Devices, Inc. All rights reserved. +// Copyright (C) 2014 - 2025 Analog Devices, Inc. All rights reserved. // // In this HDL repository, there are many different and unique modules, consisting // of various HDL (Verilog or VHDL) components. The individual modules are @@ -33,253 +33,579 @@ // *************************************************************************** // *************************************************************************** /* Auto generated Register Map */ -/* Thu Mar 28 13:22:23 2024 */ +/* Jan 28 13:30:17 2025 v0.3.55 */ package adi_regmap_tdd_trans_pkg; - import adi_regmap_pkg::*; - - -/* Transceiver TDD Control (axi_ad*) */ - - const reg_t TDD_CNTRL_REG_TDD_CONTROL_0 = '{ 'h0040, "REG_TDD_CONTROL_0" , '{ - "TDD_GATED_TX_DMAPATH": '{ 5, 5, RW, 'h0 }, - "TDD_GATED_RX_DMAPATH": '{ 4, 4, RW, 'h0 }, - "TDD_TXONLY": '{ 3, 3, RW, 'h0 }, - "TDD_RXONLY": '{ 2, 2, RW, 'h0 }, - "TDD_SECONDARY": '{ 1, 1, RW, 'h0 }, - "TDD_ENABLE": '{ 0, 0, RW, 'h0 }}}; - `define SET_TDD_CNTRL_REG_TDD_CONTROL_0_TDD_GATED_TX_DMAPATH(x) SetField(TDD_CNTRL_REG_TDD_CONTROL_0,"TDD_GATED_TX_DMAPATH",x) - `define GET_TDD_CNTRL_REG_TDD_CONTROL_0_TDD_GATED_TX_DMAPATH(x) GetField(TDD_CNTRL_REG_TDD_CONTROL_0,"TDD_GATED_TX_DMAPATH",x) - `define DEFAULT_TDD_CNTRL_REG_TDD_CONTROL_0_TDD_GATED_TX_DMAPATH GetResetValue(TDD_CNTRL_REG_TDD_CONTROL_0,"TDD_GATED_TX_DMAPATH") - `define UPDATE_TDD_CNTRL_REG_TDD_CONTROL_0_TDD_GATED_TX_DMAPATH(x,y) UpdateField(TDD_CNTRL_REG_TDD_CONTROL_0,"TDD_GATED_TX_DMAPATH",x,y) - `define SET_TDD_CNTRL_REG_TDD_CONTROL_0_TDD_GATED_RX_DMAPATH(x) SetField(TDD_CNTRL_REG_TDD_CONTROL_0,"TDD_GATED_RX_DMAPATH",x) - `define GET_TDD_CNTRL_REG_TDD_CONTROL_0_TDD_GATED_RX_DMAPATH(x) GetField(TDD_CNTRL_REG_TDD_CONTROL_0,"TDD_GATED_RX_DMAPATH",x) - `define DEFAULT_TDD_CNTRL_REG_TDD_CONTROL_0_TDD_GATED_RX_DMAPATH GetResetValue(TDD_CNTRL_REG_TDD_CONTROL_0,"TDD_GATED_RX_DMAPATH") - `define UPDATE_TDD_CNTRL_REG_TDD_CONTROL_0_TDD_GATED_RX_DMAPATH(x,y) UpdateField(TDD_CNTRL_REG_TDD_CONTROL_0,"TDD_GATED_RX_DMAPATH",x,y) - `define SET_TDD_CNTRL_REG_TDD_CONTROL_0_TDD_TXONLY(x) SetField(TDD_CNTRL_REG_TDD_CONTROL_0,"TDD_TXONLY",x) - `define GET_TDD_CNTRL_REG_TDD_CONTROL_0_TDD_TXONLY(x) GetField(TDD_CNTRL_REG_TDD_CONTROL_0,"TDD_TXONLY",x) - `define DEFAULT_TDD_CNTRL_REG_TDD_CONTROL_0_TDD_TXONLY GetResetValue(TDD_CNTRL_REG_TDD_CONTROL_0,"TDD_TXONLY") - `define UPDATE_TDD_CNTRL_REG_TDD_CONTROL_0_TDD_TXONLY(x,y) UpdateField(TDD_CNTRL_REG_TDD_CONTROL_0,"TDD_TXONLY",x,y) - `define SET_TDD_CNTRL_REG_TDD_CONTROL_0_TDD_RXONLY(x) SetField(TDD_CNTRL_REG_TDD_CONTROL_0,"TDD_RXONLY",x) - `define GET_TDD_CNTRL_REG_TDD_CONTROL_0_TDD_RXONLY(x) GetField(TDD_CNTRL_REG_TDD_CONTROL_0,"TDD_RXONLY",x) - `define DEFAULT_TDD_CNTRL_REG_TDD_CONTROL_0_TDD_RXONLY GetResetValue(TDD_CNTRL_REG_TDD_CONTROL_0,"TDD_RXONLY") - `define UPDATE_TDD_CNTRL_REG_TDD_CONTROL_0_TDD_RXONLY(x,y) UpdateField(TDD_CNTRL_REG_TDD_CONTROL_0,"TDD_RXONLY",x,y) - `define SET_TDD_CNTRL_REG_TDD_CONTROL_0_TDD_SECONDARY(x) SetField(TDD_CNTRL_REG_TDD_CONTROL_0,"TDD_SECONDARY",x) - `define GET_TDD_CNTRL_REG_TDD_CONTROL_0_TDD_SECONDARY(x) GetField(TDD_CNTRL_REG_TDD_CONTROL_0,"TDD_SECONDARY",x) - `define DEFAULT_TDD_CNTRL_REG_TDD_CONTROL_0_TDD_SECONDARY GetResetValue(TDD_CNTRL_REG_TDD_CONTROL_0,"TDD_SECONDARY") - `define UPDATE_TDD_CNTRL_REG_TDD_CONTROL_0_TDD_SECONDARY(x,y) UpdateField(TDD_CNTRL_REG_TDD_CONTROL_0,"TDD_SECONDARY",x,y) - `define SET_TDD_CNTRL_REG_TDD_CONTROL_0_TDD_ENABLE(x) SetField(TDD_CNTRL_REG_TDD_CONTROL_0,"TDD_ENABLE",x) - `define GET_TDD_CNTRL_REG_TDD_CONTROL_0_TDD_ENABLE(x) GetField(TDD_CNTRL_REG_TDD_CONTROL_0,"TDD_ENABLE",x) - `define DEFAULT_TDD_CNTRL_REG_TDD_CONTROL_0_TDD_ENABLE GetResetValue(TDD_CNTRL_REG_TDD_CONTROL_0,"TDD_ENABLE") - `define UPDATE_TDD_CNTRL_REG_TDD_CONTROL_0_TDD_ENABLE(x,y) UpdateField(TDD_CNTRL_REG_TDD_CONTROL_0,"TDD_ENABLE",x,y) - - const reg_t TDD_CNTRL_REG_TDD_CONTROL_1 = '{ 'h0044, "REG_TDD_CONTROL_1" , '{ - "TDD_BURST_COUNT": '{ 7, 0, RW, 'h00 }}}; - `define SET_TDD_CNTRL_REG_TDD_CONTROL_1_TDD_BURST_COUNT(x) SetField(TDD_CNTRL_REG_TDD_CONTROL_1,"TDD_BURST_COUNT",x) - `define GET_TDD_CNTRL_REG_TDD_CONTROL_1_TDD_BURST_COUNT(x) GetField(TDD_CNTRL_REG_TDD_CONTROL_1,"TDD_BURST_COUNT",x) - `define DEFAULT_TDD_CNTRL_REG_TDD_CONTROL_1_TDD_BURST_COUNT GetResetValue(TDD_CNTRL_REG_TDD_CONTROL_1,"TDD_BURST_COUNT") - `define UPDATE_TDD_CNTRL_REG_TDD_CONTROL_1_TDD_BURST_COUNT(x,y) UpdateField(TDD_CNTRL_REG_TDD_CONTROL_1,"TDD_BURST_COUNT",x,y) - - const reg_t TDD_CNTRL_REG_TDD_CONTROL_2 = '{ 'h0048, "REG_TDD_CONTROL_2" , '{ - "TDD_COUNTER_INIT": '{ 23, 0, RW, 'h000000 }}}; - `define SET_TDD_CNTRL_REG_TDD_CONTROL_2_TDD_COUNTER_INIT(x) SetField(TDD_CNTRL_REG_TDD_CONTROL_2,"TDD_COUNTER_INIT",x) - `define GET_TDD_CNTRL_REG_TDD_CONTROL_2_TDD_COUNTER_INIT(x) GetField(TDD_CNTRL_REG_TDD_CONTROL_2,"TDD_COUNTER_INIT",x) - `define DEFAULT_TDD_CNTRL_REG_TDD_CONTROL_2_TDD_COUNTER_INIT GetResetValue(TDD_CNTRL_REG_TDD_CONTROL_2,"TDD_COUNTER_INIT") - `define UPDATE_TDD_CNTRL_REG_TDD_CONTROL_2_TDD_COUNTER_INIT(x,y) UpdateField(TDD_CNTRL_REG_TDD_CONTROL_2,"TDD_COUNTER_INIT",x,y) - - const reg_t TDD_CNTRL_REG_TDD_FRAME_LENGTH = '{ 'h004c, "REG_TDD_FRAME_LENGTH" , '{ - "TDD_FRAME_LENGTH": '{ 23, 0, RW, 'h000000 }}}; - `define SET_TDD_CNTRL_REG_TDD_FRAME_LENGTH_TDD_FRAME_LENGTH(x) SetField(TDD_CNTRL_REG_TDD_FRAME_LENGTH,"TDD_FRAME_LENGTH",x) - `define GET_TDD_CNTRL_REG_TDD_FRAME_LENGTH_TDD_FRAME_LENGTH(x) GetField(TDD_CNTRL_REG_TDD_FRAME_LENGTH,"TDD_FRAME_LENGTH",x) - `define DEFAULT_TDD_CNTRL_REG_TDD_FRAME_LENGTH_TDD_FRAME_LENGTH GetResetValue(TDD_CNTRL_REG_TDD_FRAME_LENGTH,"TDD_FRAME_LENGTH") - `define UPDATE_TDD_CNTRL_REG_TDD_FRAME_LENGTH_TDD_FRAME_LENGTH(x,y) UpdateField(TDD_CNTRL_REG_TDD_FRAME_LENGTH,"TDD_FRAME_LENGTH",x,y) - - const reg_t TDD_CNTRL_REG_TDD_SYNC_TERMINAL_TYPE = '{ 'h0050, "REG_TDD_SYNC_TERMINAL_TYPE" , '{ - "TDD_SYNC_TERMINAL_TYPE": '{ 0, 0, RW, 'h0 }}}; - `define SET_TDD_CNTRL_REG_TDD_SYNC_TERMINAL_TYPE_TDD_SYNC_TERMINAL_TYPE(x) SetField(TDD_CNTRL_REG_TDD_SYNC_TERMINAL_TYPE,"TDD_SYNC_TERMINAL_TYPE",x) - `define GET_TDD_CNTRL_REG_TDD_SYNC_TERMINAL_TYPE_TDD_SYNC_TERMINAL_TYPE(x) GetField(TDD_CNTRL_REG_TDD_SYNC_TERMINAL_TYPE,"TDD_SYNC_TERMINAL_TYPE",x) - `define DEFAULT_TDD_CNTRL_REG_TDD_SYNC_TERMINAL_TYPE_TDD_SYNC_TERMINAL_TYPE GetResetValue(TDD_CNTRL_REG_TDD_SYNC_TERMINAL_TYPE,"TDD_SYNC_TERMINAL_TYPE") - `define UPDATE_TDD_CNTRL_REG_TDD_SYNC_TERMINAL_TYPE_TDD_SYNC_TERMINAL_TYPE(x,y) UpdateField(TDD_CNTRL_REG_TDD_SYNC_TERMINAL_TYPE,"TDD_SYNC_TERMINAL_TYPE",x,y) - - const reg_t TDD_CNTRL_REG_TDD_STATUS = '{ 'h0060, "REG_TDD_STATUS" , '{ - "TDD_RXTX_VCO_OVERLAP": '{ 0, 0, RO, 'h0 }, - "TDD_RXTX_RF_OVERLAP": '{ 1, 1, RO, 'h0 }}}; - `define SET_TDD_CNTRL_REG_TDD_STATUS_TDD_RXTX_VCO_OVERLAP(x) SetField(TDD_CNTRL_REG_TDD_STATUS,"TDD_RXTX_VCO_OVERLAP",x) - `define GET_TDD_CNTRL_REG_TDD_STATUS_TDD_RXTX_VCO_OVERLAP(x) GetField(TDD_CNTRL_REG_TDD_STATUS,"TDD_RXTX_VCO_OVERLAP",x) - `define DEFAULT_TDD_CNTRL_REG_TDD_STATUS_TDD_RXTX_VCO_OVERLAP GetResetValue(TDD_CNTRL_REG_TDD_STATUS,"TDD_RXTX_VCO_OVERLAP") - `define UPDATE_TDD_CNTRL_REG_TDD_STATUS_TDD_RXTX_VCO_OVERLAP(x,y) UpdateField(TDD_CNTRL_REG_TDD_STATUS,"TDD_RXTX_VCO_OVERLAP",x,y) - `define SET_TDD_CNTRL_REG_TDD_STATUS_TDD_RXTX_RF_OVERLAP(x) SetField(TDD_CNTRL_REG_TDD_STATUS,"TDD_RXTX_RF_OVERLAP",x) - `define GET_TDD_CNTRL_REG_TDD_STATUS_TDD_RXTX_RF_OVERLAP(x) GetField(TDD_CNTRL_REG_TDD_STATUS,"TDD_RXTX_RF_OVERLAP",x) - `define DEFAULT_TDD_CNTRL_REG_TDD_STATUS_TDD_RXTX_RF_OVERLAP GetResetValue(TDD_CNTRL_REG_TDD_STATUS,"TDD_RXTX_RF_OVERLAP") - `define UPDATE_TDD_CNTRL_REG_TDD_STATUS_TDD_RXTX_RF_OVERLAP(x,y) UpdateField(TDD_CNTRL_REG_TDD_STATUS,"TDD_RXTX_RF_OVERLAP",x,y) - - const reg_t TDD_CNTRL_REG_TDD_VCO_RX_ON_1 = '{ 'h0080, "REG_TDD_VCO_RX_ON_1" , '{ - "TDD_VCO_RX_ON_1": '{ 23, 0, RW, 'h000000 }}}; - `define SET_TDD_CNTRL_REG_TDD_VCO_RX_ON_1_TDD_VCO_RX_ON_1(x) SetField(TDD_CNTRL_REG_TDD_VCO_RX_ON_1,"TDD_VCO_RX_ON_1",x) - `define GET_TDD_CNTRL_REG_TDD_VCO_RX_ON_1_TDD_VCO_RX_ON_1(x) GetField(TDD_CNTRL_REG_TDD_VCO_RX_ON_1,"TDD_VCO_RX_ON_1",x) - `define DEFAULT_TDD_CNTRL_REG_TDD_VCO_RX_ON_1_TDD_VCO_RX_ON_1 GetResetValue(TDD_CNTRL_REG_TDD_VCO_RX_ON_1,"TDD_VCO_RX_ON_1") - `define UPDATE_TDD_CNTRL_REG_TDD_VCO_RX_ON_1_TDD_VCO_RX_ON_1(x,y) UpdateField(TDD_CNTRL_REG_TDD_VCO_RX_ON_1,"TDD_VCO_RX_ON_1",x,y) - - const reg_t TDD_CNTRL_REG_TDD_VCO_RX_OFF_1 = '{ 'h0084, "REG_TDD_VCO_RX_OFF_1" , '{ - "TDD_VCO_RX_OFF_1": '{ 23, 0, RW, 'h000000 }}}; - `define SET_TDD_CNTRL_REG_TDD_VCO_RX_OFF_1_TDD_VCO_RX_OFF_1(x) SetField(TDD_CNTRL_REG_TDD_VCO_RX_OFF_1,"TDD_VCO_RX_OFF_1",x) - `define GET_TDD_CNTRL_REG_TDD_VCO_RX_OFF_1_TDD_VCO_RX_OFF_1(x) GetField(TDD_CNTRL_REG_TDD_VCO_RX_OFF_1,"TDD_VCO_RX_OFF_1",x) - `define DEFAULT_TDD_CNTRL_REG_TDD_VCO_RX_OFF_1_TDD_VCO_RX_OFF_1 GetResetValue(TDD_CNTRL_REG_TDD_VCO_RX_OFF_1,"TDD_VCO_RX_OFF_1") - `define UPDATE_TDD_CNTRL_REG_TDD_VCO_RX_OFF_1_TDD_VCO_RX_OFF_1(x,y) UpdateField(TDD_CNTRL_REG_TDD_VCO_RX_OFF_1,"TDD_VCO_RX_OFF_1",x,y) - - const reg_t TDD_CNTRL_REG_TDD_VCO_TX_ON_1 = '{ 'h0088, "REG_TDD_VCO_TX_ON_1" , '{ - "TDD_VCO_TX_ON_1": '{ 23, 0, RW, 'h000000 }}}; - `define SET_TDD_CNTRL_REG_TDD_VCO_TX_ON_1_TDD_VCO_TX_ON_1(x) SetField(TDD_CNTRL_REG_TDD_VCO_TX_ON_1,"TDD_VCO_TX_ON_1",x) - `define GET_TDD_CNTRL_REG_TDD_VCO_TX_ON_1_TDD_VCO_TX_ON_1(x) GetField(TDD_CNTRL_REG_TDD_VCO_TX_ON_1,"TDD_VCO_TX_ON_1",x) - `define DEFAULT_TDD_CNTRL_REG_TDD_VCO_TX_ON_1_TDD_VCO_TX_ON_1 GetResetValue(TDD_CNTRL_REG_TDD_VCO_TX_ON_1,"TDD_VCO_TX_ON_1") - `define UPDATE_TDD_CNTRL_REG_TDD_VCO_TX_ON_1_TDD_VCO_TX_ON_1(x,y) UpdateField(TDD_CNTRL_REG_TDD_VCO_TX_ON_1,"TDD_VCO_TX_ON_1",x,y) - - const reg_t TDD_CNTRL_REG_TDD_VCO_TX_OFF_1 = '{ 'h008c, "REG_TDD_VCO_TX_OFF_1" , '{ - "TDD_VCO_TX_OFF_1": '{ 23, 0, RW, 'h000000 }}}; - `define SET_TDD_CNTRL_REG_TDD_VCO_TX_OFF_1_TDD_VCO_TX_OFF_1(x) SetField(TDD_CNTRL_REG_TDD_VCO_TX_OFF_1,"TDD_VCO_TX_OFF_1",x) - `define GET_TDD_CNTRL_REG_TDD_VCO_TX_OFF_1_TDD_VCO_TX_OFF_1(x) GetField(TDD_CNTRL_REG_TDD_VCO_TX_OFF_1,"TDD_VCO_TX_OFF_1",x) - `define DEFAULT_TDD_CNTRL_REG_TDD_VCO_TX_OFF_1_TDD_VCO_TX_OFF_1 GetResetValue(TDD_CNTRL_REG_TDD_VCO_TX_OFF_1,"TDD_VCO_TX_OFF_1") - `define UPDATE_TDD_CNTRL_REG_TDD_VCO_TX_OFF_1_TDD_VCO_TX_OFF_1(x,y) UpdateField(TDD_CNTRL_REG_TDD_VCO_TX_OFF_1,"TDD_VCO_TX_OFF_1",x,y) - - const reg_t TDD_CNTRL_REG_TDD_RX_ON_1 = '{ 'h0090, "REG_TDD_RX_ON_1" , '{ - "TDD_RX_ON_1": '{ 23, 0, RW, 'h000000 }}}; - `define SET_TDD_CNTRL_REG_TDD_RX_ON_1_TDD_RX_ON_1(x) SetField(TDD_CNTRL_REG_TDD_RX_ON_1,"TDD_RX_ON_1",x) - `define GET_TDD_CNTRL_REG_TDD_RX_ON_1_TDD_RX_ON_1(x) GetField(TDD_CNTRL_REG_TDD_RX_ON_1,"TDD_RX_ON_1",x) - `define DEFAULT_TDD_CNTRL_REG_TDD_RX_ON_1_TDD_RX_ON_1 GetResetValue(TDD_CNTRL_REG_TDD_RX_ON_1,"TDD_RX_ON_1") - `define UPDATE_TDD_CNTRL_REG_TDD_RX_ON_1_TDD_RX_ON_1(x,y) UpdateField(TDD_CNTRL_REG_TDD_RX_ON_1,"TDD_RX_ON_1",x,y) - - const reg_t TDD_CNTRL_REG_TDD_RX_OFF_1 = '{ 'h0094, "REG_TDD_RX_OFF_1" , '{ - "TDD_RX_OFF_1": '{ 23, 0, RW, 'h000000 }}}; - `define SET_TDD_CNTRL_REG_TDD_RX_OFF_1_TDD_RX_OFF_1(x) SetField(TDD_CNTRL_REG_TDD_RX_OFF_1,"TDD_RX_OFF_1",x) - `define GET_TDD_CNTRL_REG_TDD_RX_OFF_1_TDD_RX_OFF_1(x) GetField(TDD_CNTRL_REG_TDD_RX_OFF_1,"TDD_RX_OFF_1",x) - `define DEFAULT_TDD_CNTRL_REG_TDD_RX_OFF_1_TDD_RX_OFF_1 GetResetValue(TDD_CNTRL_REG_TDD_RX_OFF_1,"TDD_RX_OFF_1") - `define UPDATE_TDD_CNTRL_REG_TDD_RX_OFF_1_TDD_RX_OFF_1(x,y) UpdateField(TDD_CNTRL_REG_TDD_RX_OFF_1,"TDD_RX_OFF_1",x,y) - - const reg_t TDD_CNTRL_REG_TDD_TX_ON_1 = '{ 'h0098, "REG_TDD_TX_ON_1" , '{ - "TDD_TX_ON_1": '{ 23, 0, RW, 'h000000 }}}; - `define SET_TDD_CNTRL_REG_TDD_TX_ON_1_TDD_TX_ON_1(x) SetField(TDD_CNTRL_REG_TDD_TX_ON_1,"TDD_TX_ON_1",x) - `define GET_TDD_CNTRL_REG_TDD_TX_ON_1_TDD_TX_ON_1(x) GetField(TDD_CNTRL_REG_TDD_TX_ON_1,"TDD_TX_ON_1",x) - `define DEFAULT_TDD_CNTRL_REG_TDD_TX_ON_1_TDD_TX_ON_1 GetResetValue(TDD_CNTRL_REG_TDD_TX_ON_1,"TDD_TX_ON_1") - `define UPDATE_TDD_CNTRL_REG_TDD_TX_ON_1_TDD_TX_ON_1(x,y) UpdateField(TDD_CNTRL_REG_TDD_TX_ON_1,"TDD_TX_ON_1",x,y) - - const reg_t TDD_CNTRL_REG_TDD_TX_OFF_1 = '{ 'h009c, "REG_TDD_TX_OFF_1" , '{ - "TDD_TX_OFF_1": '{ 23, 0, RW, 'h000000 }}}; - `define SET_TDD_CNTRL_REG_TDD_TX_OFF_1_TDD_TX_OFF_1(x) SetField(TDD_CNTRL_REG_TDD_TX_OFF_1,"TDD_TX_OFF_1",x) - `define GET_TDD_CNTRL_REG_TDD_TX_OFF_1_TDD_TX_OFF_1(x) GetField(TDD_CNTRL_REG_TDD_TX_OFF_1,"TDD_TX_OFF_1",x) - `define DEFAULT_TDD_CNTRL_REG_TDD_TX_OFF_1_TDD_TX_OFF_1 GetResetValue(TDD_CNTRL_REG_TDD_TX_OFF_1,"TDD_TX_OFF_1") - `define UPDATE_TDD_CNTRL_REG_TDD_TX_OFF_1_TDD_TX_OFF_1(x,y) UpdateField(TDD_CNTRL_REG_TDD_TX_OFF_1,"TDD_TX_OFF_1",x,y) - - const reg_t TDD_CNTRL_REG_TDD_RX_DP_ON_1 = '{ 'h00a0, "REG_TDD_RX_DP_ON_1" , '{ - "TDD_RX_DP_ON_1": '{ 23, 0, RW, 'h000000 }}}; - `define SET_TDD_CNTRL_REG_TDD_RX_DP_ON_1_TDD_RX_DP_ON_1(x) SetField(TDD_CNTRL_REG_TDD_RX_DP_ON_1,"TDD_RX_DP_ON_1",x) - `define GET_TDD_CNTRL_REG_TDD_RX_DP_ON_1_TDD_RX_DP_ON_1(x) GetField(TDD_CNTRL_REG_TDD_RX_DP_ON_1,"TDD_RX_DP_ON_1",x) - `define DEFAULT_TDD_CNTRL_REG_TDD_RX_DP_ON_1_TDD_RX_DP_ON_1 GetResetValue(TDD_CNTRL_REG_TDD_RX_DP_ON_1,"TDD_RX_DP_ON_1") - `define UPDATE_TDD_CNTRL_REG_TDD_RX_DP_ON_1_TDD_RX_DP_ON_1(x,y) UpdateField(TDD_CNTRL_REG_TDD_RX_DP_ON_1,"TDD_RX_DP_ON_1",x,y) - - const reg_t TDD_CNTRL_REG_TDD_RX_DP_OFF_1 = '{ 'h00a4, "REG_TDD_RX_DP_OFF_1" , '{ - "TDD_RX_DP_OFF_1": '{ 23, 0, RW, 'h000000 }}}; - `define SET_TDD_CNTRL_REG_TDD_RX_DP_OFF_1_TDD_RX_DP_OFF_1(x) SetField(TDD_CNTRL_REG_TDD_RX_DP_OFF_1,"TDD_RX_DP_OFF_1",x) - `define GET_TDD_CNTRL_REG_TDD_RX_DP_OFF_1_TDD_RX_DP_OFF_1(x) GetField(TDD_CNTRL_REG_TDD_RX_DP_OFF_1,"TDD_RX_DP_OFF_1",x) - `define DEFAULT_TDD_CNTRL_REG_TDD_RX_DP_OFF_1_TDD_RX_DP_OFF_1 GetResetValue(TDD_CNTRL_REG_TDD_RX_DP_OFF_1,"TDD_RX_DP_OFF_1") - `define UPDATE_TDD_CNTRL_REG_TDD_RX_DP_OFF_1_TDD_RX_DP_OFF_1(x,y) UpdateField(TDD_CNTRL_REG_TDD_RX_DP_OFF_1,"TDD_RX_DP_OFF_1",x,y) - - const reg_t TDD_CNTRL_REG_TDD_TX_DP_ON_1 = '{ 'h00a8, "REG_TDD_TX_DP_ON_1" , '{ - "TDD_TX_DP_ON_1": '{ 23, 0, RW, 'h000000 }}}; - `define SET_TDD_CNTRL_REG_TDD_TX_DP_ON_1_TDD_TX_DP_ON_1(x) SetField(TDD_CNTRL_REG_TDD_TX_DP_ON_1,"TDD_TX_DP_ON_1",x) - `define GET_TDD_CNTRL_REG_TDD_TX_DP_ON_1_TDD_TX_DP_ON_1(x) GetField(TDD_CNTRL_REG_TDD_TX_DP_ON_1,"TDD_TX_DP_ON_1",x) - `define DEFAULT_TDD_CNTRL_REG_TDD_TX_DP_ON_1_TDD_TX_DP_ON_1 GetResetValue(TDD_CNTRL_REG_TDD_TX_DP_ON_1,"TDD_TX_DP_ON_1") - `define UPDATE_TDD_CNTRL_REG_TDD_TX_DP_ON_1_TDD_TX_DP_ON_1(x,y) UpdateField(TDD_CNTRL_REG_TDD_TX_DP_ON_1,"TDD_TX_DP_ON_1",x,y) - - const reg_t TDD_CNTRL_REG_TDD_TX_DP_OFF_1 = '{ 'h00ac, "REG_TDD_TX_DP_OFF_1" , '{ - "TDD_TX_DP_OFF_1": '{ 23, 0, RW, 'h000000 }}}; - `define SET_TDD_CNTRL_REG_TDD_TX_DP_OFF_1_TDD_TX_DP_OFF_1(x) SetField(TDD_CNTRL_REG_TDD_TX_DP_OFF_1,"TDD_TX_DP_OFF_1",x) - `define GET_TDD_CNTRL_REG_TDD_TX_DP_OFF_1_TDD_TX_DP_OFF_1(x) GetField(TDD_CNTRL_REG_TDD_TX_DP_OFF_1,"TDD_TX_DP_OFF_1",x) - `define DEFAULT_TDD_CNTRL_REG_TDD_TX_DP_OFF_1_TDD_TX_DP_OFF_1 GetResetValue(TDD_CNTRL_REG_TDD_TX_DP_OFF_1,"TDD_TX_DP_OFF_1") - `define UPDATE_TDD_CNTRL_REG_TDD_TX_DP_OFF_1_TDD_TX_DP_OFF_1(x,y) UpdateField(TDD_CNTRL_REG_TDD_TX_DP_OFF_1,"TDD_TX_DP_OFF_1",x,y) - - const reg_t TDD_CNTRL_REG_TDD_VCO_RX_ON_2 = '{ 'h00c0, "REG_TDD_VCO_RX_ON_2" , '{ - "TDD_VCO_RX_ON_2": '{ 23, 0, RW, 'h000000 }}}; - `define SET_TDD_CNTRL_REG_TDD_VCO_RX_ON_2_TDD_VCO_RX_ON_2(x) SetField(TDD_CNTRL_REG_TDD_VCO_RX_ON_2,"TDD_VCO_RX_ON_2",x) - `define GET_TDD_CNTRL_REG_TDD_VCO_RX_ON_2_TDD_VCO_RX_ON_2(x) GetField(TDD_CNTRL_REG_TDD_VCO_RX_ON_2,"TDD_VCO_RX_ON_2",x) - `define DEFAULT_TDD_CNTRL_REG_TDD_VCO_RX_ON_2_TDD_VCO_RX_ON_2 GetResetValue(TDD_CNTRL_REG_TDD_VCO_RX_ON_2,"TDD_VCO_RX_ON_2") - `define UPDATE_TDD_CNTRL_REG_TDD_VCO_RX_ON_2_TDD_VCO_RX_ON_2(x,y) UpdateField(TDD_CNTRL_REG_TDD_VCO_RX_ON_2,"TDD_VCO_RX_ON_2",x,y) - - const reg_t TDD_CNTRL_REG_TDD_VCO_RX_OFF_2 = '{ 'h00c4, "REG_TDD_VCO_RX_OFF_2" , '{ - "TDD_VCO_RX_OFF_2": '{ 23, 0, RW, 'h000000 }}}; - `define SET_TDD_CNTRL_REG_TDD_VCO_RX_OFF_2_TDD_VCO_RX_OFF_2(x) SetField(TDD_CNTRL_REG_TDD_VCO_RX_OFF_2,"TDD_VCO_RX_OFF_2",x) - `define GET_TDD_CNTRL_REG_TDD_VCO_RX_OFF_2_TDD_VCO_RX_OFF_2(x) GetField(TDD_CNTRL_REG_TDD_VCO_RX_OFF_2,"TDD_VCO_RX_OFF_2",x) - `define DEFAULT_TDD_CNTRL_REG_TDD_VCO_RX_OFF_2_TDD_VCO_RX_OFF_2 GetResetValue(TDD_CNTRL_REG_TDD_VCO_RX_OFF_2,"TDD_VCO_RX_OFF_2") - `define UPDATE_TDD_CNTRL_REG_TDD_VCO_RX_OFF_2_TDD_VCO_RX_OFF_2(x,y) UpdateField(TDD_CNTRL_REG_TDD_VCO_RX_OFF_2,"TDD_VCO_RX_OFF_2",x,y) - - const reg_t TDD_CNTRL_REG_TDD_VCO_TX_ON_2 = '{ 'h00c8, "REG_TDD_VCO_TX_ON_2" , '{ - "TDD_VCO_TX_ON_2": '{ 23, 0, RW, 'h000000 }}}; - `define SET_TDD_CNTRL_REG_TDD_VCO_TX_ON_2_TDD_VCO_TX_ON_2(x) SetField(TDD_CNTRL_REG_TDD_VCO_TX_ON_2,"TDD_VCO_TX_ON_2",x) - `define GET_TDD_CNTRL_REG_TDD_VCO_TX_ON_2_TDD_VCO_TX_ON_2(x) GetField(TDD_CNTRL_REG_TDD_VCO_TX_ON_2,"TDD_VCO_TX_ON_2",x) - `define DEFAULT_TDD_CNTRL_REG_TDD_VCO_TX_ON_2_TDD_VCO_TX_ON_2 GetResetValue(TDD_CNTRL_REG_TDD_VCO_TX_ON_2,"TDD_VCO_TX_ON_2") - `define UPDATE_TDD_CNTRL_REG_TDD_VCO_TX_ON_2_TDD_VCO_TX_ON_2(x,y) UpdateField(TDD_CNTRL_REG_TDD_VCO_TX_ON_2,"TDD_VCO_TX_ON_2",x,y) - - const reg_t TDD_CNTRL_REG_TDD_VCO_TX_OFF_2 = '{ 'h00cc, "REG_TDD_VCO_TX_OFF_2" , '{ - "TDD_VCO_TX_OFF_2": '{ 23, 0, RW, 'h000000 }}}; - `define SET_TDD_CNTRL_REG_TDD_VCO_TX_OFF_2_TDD_VCO_TX_OFF_2(x) SetField(TDD_CNTRL_REG_TDD_VCO_TX_OFF_2,"TDD_VCO_TX_OFF_2",x) - `define GET_TDD_CNTRL_REG_TDD_VCO_TX_OFF_2_TDD_VCO_TX_OFF_2(x) GetField(TDD_CNTRL_REG_TDD_VCO_TX_OFF_2,"TDD_VCO_TX_OFF_2",x) - `define DEFAULT_TDD_CNTRL_REG_TDD_VCO_TX_OFF_2_TDD_VCO_TX_OFF_2 GetResetValue(TDD_CNTRL_REG_TDD_VCO_TX_OFF_2,"TDD_VCO_TX_OFF_2") - `define UPDATE_TDD_CNTRL_REG_TDD_VCO_TX_OFF_2_TDD_VCO_TX_OFF_2(x,y) UpdateField(TDD_CNTRL_REG_TDD_VCO_TX_OFF_2,"TDD_VCO_TX_OFF_2",x,y) - - const reg_t TDD_CNTRL_REG_TDD_RX_ON_2 = '{ 'h00d0, "REG_TDD_RX_ON_2" , '{ - "TDD_RX_ON_2": '{ 23, 0, RW, 'h000000 }}}; - `define SET_TDD_CNTRL_REG_TDD_RX_ON_2_TDD_RX_ON_2(x) SetField(TDD_CNTRL_REG_TDD_RX_ON_2,"TDD_RX_ON_2",x) - `define GET_TDD_CNTRL_REG_TDD_RX_ON_2_TDD_RX_ON_2(x) GetField(TDD_CNTRL_REG_TDD_RX_ON_2,"TDD_RX_ON_2",x) - `define DEFAULT_TDD_CNTRL_REG_TDD_RX_ON_2_TDD_RX_ON_2 GetResetValue(TDD_CNTRL_REG_TDD_RX_ON_2,"TDD_RX_ON_2") - `define UPDATE_TDD_CNTRL_REG_TDD_RX_ON_2_TDD_RX_ON_2(x,y) UpdateField(TDD_CNTRL_REG_TDD_RX_ON_2,"TDD_RX_ON_2",x,y) - - const reg_t TDD_CNTRL_REG_TDD_RX_OFF_2 = '{ 'h00d4, "REG_TDD_RX_OFF_2" , '{ - "TDD_RX_OFF_2": '{ 23, 0, RW, 'h000000 }}}; - `define SET_TDD_CNTRL_REG_TDD_RX_OFF_2_TDD_RX_OFF_2(x) SetField(TDD_CNTRL_REG_TDD_RX_OFF_2,"TDD_RX_OFF_2",x) - `define GET_TDD_CNTRL_REG_TDD_RX_OFF_2_TDD_RX_OFF_2(x) GetField(TDD_CNTRL_REG_TDD_RX_OFF_2,"TDD_RX_OFF_2",x) - `define DEFAULT_TDD_CNTRL_REG_TDD_RX_OFF_2_TDD_RX_OFF_2 GetResetValue(TDD_CNTRL_REG_TDD_RX_OFF_2,"TDD_RX_OFF_2") - `define UPDATE_TDD_CNTRL_REG_TDD_RX_OFF_2_TDD_RX_OFF_2(x,y) UpdateField(TDD_CNTRL_REG_TDD_RX_OFF_2,"TDD_RX_OFF_2",x,y) - - const reg_t TDD_CNTRL_REG_TDD_TX_ON_2 = '{ 'h00d8, "REG_TDD_TX_ON_2" , '{ - "TDD_TX_ON_2": '{ 23, 0, RW, 'h000000 }}}; - `define SET_TDD_CNTRL_REG_TDD_TX_ON_2_TDD_TX_ON_2(x) SetField(TDD_CNTRL_REG_TDD_TX_ON_2,"TDD_TX_ON_2",x) - `define GET_TDD_CNTRL_REG_TDD_TX_ON_2_TDD_TX_ON_2(x) GetField(TDD_CNTRL_REG_TDD_TX_ON_2,"TDD_TX_ON_2",x) - `define DEFAULT_TDD_CNTRL_REG_TDD_TX_ON_2_TDD_TX_ON_2 GetResetValue(TDD_CNTRL_REG_TDD_TX_ON_2,"TDD_TX_ON_2") - `define UPDATE_TDD_CNTRL_REG_TDD_TX_ON_2_TDD_TX_ON_2(x,y) UpdateField(TDD_CNTRL_REG_TDD_TX_ON_2,"TDD_TX_ON_2",x,y) - - const reg_t TDD_CNTRL_REG_TDD_TX_OFF_2 = '{ 'h00dc, "REG_TDD_TX_OFF_2" , '{ - "TDD_TX_OFF_2": '{ 23, 0, RW, 'h000000 }}}; - `define SET_TDD_CNTRL_REG_TDD_TX_OFF_2_TDD_TX_OFF_2(x) SetField(TDD_CNTRL_REG_TDD_TX_OFF_2,"TDD_TX_OFF_2",x) - `define GET_TDD_CNTRL_REG_TDD_TX_OFF_2_TDD_TX_OFF_2(x) GetField(TDD_CNTRL_REG_TDD_TX_OFF_2,"TDD_TX_OFF_2",x) - `define DEFAULT_TDD_CNTRL_REG_TDD_TX_OFF_2_TDD_TX_OFF_2 GetResetValue(TDD_CNTRL_REG_TDD_TX_OFF_2,"TDD_TX_OFF_2") - `define UPDATE_TDD_CNTRL_REG_TDD_TX_OFF_2_TDD_TX_OFF_2(x,y) UpdateField(TDD_CNTRL_REG_TDD_TX_OFF_2,"TDD_TX_OFF_2",x,y) - - const reg_t TDD_CNTRL_REG_TDD_RX_DP_ON_2 = '{ 'h00e0, "REG_TDD_RX_DP_ON_2" , '{ - "TDD_RX_DP_ON_2": '{ 23, 0, RW, 'h000000 }}}; - `define SET_TDD_CNTRL_REG_TDD_RX_DP_ON_2_TDD_RX_DP_ON_2(x) SetField(TDD_CNTRL_REG_TDD_RX_DP_ON_2,"TDD_RX_DP_ON_2",x) - `define GET_TDD_CNTRL_REG_TDD_RX_DP_ON_2_TDD_RX_DP_ON_2(x) GetField(TDD_CNTRL_REG_TDD_RX_DP_ON_2,"TDD_RX_DP_ON_2",x) - `define DEFAULT_TDD_CNTRL_REG_TDD_RX_DP_ON_2_TDD_RX_DP_ON_2 GetResetValue(TDD_CNTRL_REG_TDD_RX_DP_ON_2,"TDD_RX_DP_ON_2") - `define UPDATE_TDD_CNTRL_REG_TDD_RX_DP_ON_2_TDD_RX_DP_ON_2(x,y) UpdateField(TDD_CNTRL_REG_TDD_RX_DP_ON_2,"TDD_RX_DP_ON_2",x,y) - - const reg_t TDD_CNTRL_REG_TDD_RX_DP_OFF_2 = '{ 'h00e4, "REG_TDD_RX_DP_OFF_2" , '{ - "TDD_RX_DP_OFF_2": '{ 23, 0, RW, 'h000000 }}}; - `define SET_TDD_CNTRL_REG_TDD_RX_DP_OFF_2_TDD_RX_DP_OFF_2(x) SetField(TDD_CNTRL_REG_TDD_RX_DP_OFF_2,"TDD_RX_DP_OFF_2",x) - `define GET_TDD_CNTRL_REG_TDD_RX_DP_OFF_2_TDD_RX_DP_OFF_2(x) GetField(TDD_CNTRL_REG_TDD_RX_DP_OFF_2,"TDD_RX_DP_OFF_2",x) - `define DEFAULT_TDD_CNTRL_REG_TDD_RX_DP_OFF_2_TDD_RX_DP_OFF_2 GetResetValue(TDD_CNTRL_REG_TDD_RX_DP_OFF_2,"TDD_RX_DP_OFF_2") - `define UPDATE_TDD_CNTRL_REG_TDD_RX_DP_OFF_2_TDD_RX_DP_OFF_2(x,y) UpdateField(TDD_CNTRL_REG_TDD_RX_DP_OFF_2,"TDD_RX_DP_OFF_2",x,y) - - const reg_t TDD_CNTRL_REG_TDD_TX_DP_ON_2 = '{ 'h00e8, "REG_TDD_TX_DP_ON_2" , '{ - "TDD_TX_DP_ON_2": '{ 23, 0, RW, 'h000000 }}}; - `define SET_TDD_CNTRL_REG_TDD_TX_DP_ON_2_TDD_TX_DP_ON_2(x) SetField(TDD_CNTRL_REG_TDD_TX_DP_ON_2,"TDD_TX_DP_ON_2",x) - `define GET_TDD_CNTRL_REG_TDD_TX_DP_ON_2_TDD_TX_DP_ON_2(x) GetField(TDD_CNTRL_REG_TDD_TX_DP_ON_2,"TDD_TX_DP_ON_2",x) - `define DEFAULT_TDD_CNTRL_REG_TDD_TX_DP_ON_2_TDD_TX_DP_ON_2 GetResetValue(TDD_CNTRL_REG_TDD_TX_DP_ON_2,"TDD_TX_DP_ON_2") - `define UPDATE_TDD_CNTRL_REG_TDD_TX_DP_ON_2_TDD_TX_DP_ON_2(x,y) UpdateField(TDD_CNTRL_REG_TDD_TX_DP_ON_2,"TDD_TX_DP_ON_2",x,y) - - const reg_t TDD_CNTRL_REG_TDD_TX_DP_OFF_2 = '{ 'h00ec, "REG_TDD_TX_DP_OFF_2" , '{ - "TDD_TX_DP_OFF_2": '{ 23, 0, RW, 'h000000 }}}; - `define SET_TDD_CNTRL_REG_TDD_TX_DP_OFF_2_TDD_TX_DP_OFF_2(x) SetField(TDD_CNTRL_REG_TDD_TX_DP_OFF_2,"TDD_TX_DP_OFF_2",x) - `define GET_TDD_CNTRL_REG_TDD_TX_DP_OFF_2_TDD_TX_DP_OFF_2(x) GetField(TDD_CNTRL_REG_TDD_TX_DP_OFF_2,"TDD_TX_DP_OFF_2",x) - `define DEFAULT_TDD_CNTRL_REG_TDD_TX_DP_OFF_2_TDD_TX_DP_OFF_2 GetResetValue(TDD_CNTRL_REG_TDD_TX_DP_OFF_2,"TDD_TX_DP_OFF_2") - `define UPDATE_TDD_CNTRL_REG_TDD_TX_DP_OFF_2_TDD_TX_DP_OFF_2(x,y) UpdateField(TDD_CNTRL_REG_TDD_TX_DP_OFF_2,"TDD_TX_DP_OFF_2",x,y) - - -endpackage + import logger_pkg::*; + import adi_api_pkg::*; + + class adi_regmap_tdd_trans extends adi_regmap; + + /* Transceiver TDD Control (axi_ad*) */ + class TDD_CONTROL_0_CLASS extends register_base; + field_base TDD_GATED_TX_DMAPATH_F; + field_base TDD_GATED_RX_DMAPATH_F; + field_base TDD_TXONLY_F; + field_base TDD_RXONLY_F; + field_base TDD_SECONDARY_F; + field_base TDD_ENABLE_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.TDD_GATED_TX_DMAPATH_F = new("TDD_GATED_TX_DMAPATH", 5, 5, RW, 'h0, this); + this.TDD_GATED_RX_DMAPATH_F = new("TDD_GATED_RX_DMAPATH", 4, 4, RW, 'h0, this); + this.TDD_TXONLY_F = new("TDD_TXONLY", 3, 3, RW, 'h0, this); + this.TDD_RXONLY_F = new("TDD_RXONLY", 2, 2, RW, 'h0, this); + this.TDD_SECONDARY_F = new("TDD_SECONDARY", 1, 1, RW, 'h0, this); + this.TDD_ENABLE_F = new("TDD_ENABLE", 0, 0, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: TDD_CONTROL_0_CLASS + + class TDD_CONTROL_1_CLASS extends register_base; + field_base TDD_BURST_COUNT_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.TDD_BURST_COUNT_F = new("TDD_BURST_COUNT", 7, 0, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: TDD_CONTROL_1_CLASS + + class TDD_CONTROL_2_CLASS extends register_base; + field_base TDD_COUNTER_INIT_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.TDD_COUNTER_INIT_F = new("TDD_COUNTER_INIT", 23, 0, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: TDD_CONTROL_2_CLASS + + class TDD_FRAME_LENGTH_CLASS extends register_base; + field_base TDD_FRAME_LENGTH_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.TDD_FRAME_LENGTH_F = new("TDD_FRAME_LENGTH", 23, 0, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: TDD_FRAME_LENGTH_CLASS + + class TDD_SYNC_TERMINAL_TYPE_CLASS extends register_base; + field_base TDD_SYNC_TERMINAL_TYPE_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.TDD_SYNC_TERMINAL_TYPE_F = new("TDD_SYNC_TERMINAL_TYPE", 0, 0, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: TDD_SYNC_TERMINAL_TYPE_CLASS + + class TDD_STATUS_CLASS extends register_base; + field_base TDD_RXTX_VCO_OVERLAP_F; + field_base TDD_RXTX_RF_OVERLAP_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.TDD_RXTX_VCO_OVERLAP_F = new("TDD_RXTX_VCO_OVERLAP", 0, 0, RO, 'h0, this); + this.TDD_RXTX_RF_OVERLAP_F = new("TDD_RXTX_RF_OVERLAP", 1, 1, RO, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: TDD_STATUS_CLASS + + class TDD_VCO_RX_ON_1_CLASS extends register_base; + field_base TDD_VCO_RX_ON_1_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.TDD_VCO_RX_ON_1_F = new("TDD_VCO_RX_ON_1", 23, 0, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: TDD_VCO_RX_ON_1_CLASS + + class TDD_VCO_RX_OFF_1_CLASS extends register_base; + field_base TDD_VCO_RX_OFF_1_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.TDD_VCO_RX_OFF_1_F = new("TDD_VCO_RX_OFF_1", 23, 0, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: TDD_VCO_RX_OFF_1_CLASS + + class TDD_VCO_TX_ON_1_CLASS extends register_base; + field_base TDD_VCO_TX_ON_1_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.TDD_VCO_TX_ON_1_F = new("TDD_VCO_TX_ON_1", 23, 0, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: TDD_VCO_TX_ON_1_CLASS + + class TDD_VCO_TX_OFF_1_CLASS extends register_base; + field_base TDD_VCO_TX_OFF_1_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.TDD_VCO_TX_OFF_1_F = new("TDD_VCO_TX_OFF_1", 23, 0, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: TDD_VCO_TX_OFF_1_CLASS + + class TDD_RX_ON_1_CLASS extends register_base; + field_base TDD_RX_ON_1_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.TDD_RX_ON_1_F = new("TDD_RX_ON_1", 23, 0, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: TDD_RX_ON_1_CLASS + + class TDD_RX_OFF_1_CLASS extends register_base; + field_base TDD_RX_OFF_1_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.TDD_RX_OFF_1_F = new("TDD_RX_OFF_1", 23, 0, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: TDD_RX_OFF_1_CLASS + + class TDD_TX_ON_1_CLASS extends register_base; + field_base TDD_TX_ON_1_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.TDD_TX_ON_1_F = new("TDD_TX_ON_1", 23, 0, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: TDD_TX_ON_1_CLASS + + class TDD_TX_OFF_1_CLASS extends register_base; + field_base TDD_TX_OFF_1_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.TDD_TX_OFF_1_F = new("TDD_TX_OFF_1", 23, 0, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: TDD_TX_OFF_1_CLASS + + class TDD_RX_DP_ON_1_CLASS extends register_base; + field_base TDD_RX_DP_ON_1_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.TDD_RX_DP_ON_1_F = new("TDD_RX_DP_ON_1", 23, 0, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: TDD_RX_DP_ON_1_CLASS + + class TDD_RX_DP_OFF_1_CLASS extends register_base; + field_base TDD_RX_DP_OFF_1_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.TDD_RX_DP_OFF_1_F = new("TDD_RX_DP_OFF_1", 23, 0, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: TDD_RX_DP_OFF_1_CLASS + + class TDD_TX_DP_ON_1_CLASS extends register_base; + field_base TDD_TX_DP_ON_1_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.TDD_TX_DP_ON_1_F = new("TDD_TX_DP_ON_1", 23, 0, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: TDD_TX_DP_ON_1_CLASS + + class TDD_TX_DP_OFF_1_CLASS extends register_base; + field_base TDD_TX_DP_OFF_1_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.TDD_TX_DP_OFF_1_F = new("TDD_TX_DP_OFF_1", 23, 0, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: TDD_TX_DP_OFF_1_CLASS + + class TDD_VCO_RX_ON_2_CLASS extends register_base; + field_base TDD_VCO_RX_ON_2_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.TDD_VCO_RX_ON_2_F = new("TDD_VCO_RX_ON_2", 23, 0, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: TDD_VCO_RX_ON_2_CLASS + + class TDD_VCO_RX_OFF_2_CLASS extends register_base; + field_base TDD_VCO_RX_OFF_2_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.TDD_VCO_RX_OFF_2_F = new("TDD_VCO_RX_OFF_2", 23, 0, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: TDD_VCO_RX_OFF_2_CLASS + + class TDD_VCO_TX_ON_2_CLASS extends register_base; + field_base TDD_VCO_TX_ON_2_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.TDD_VCO_TX_ON_2_F = new("TDD_VCO_TX_ON_2", 23, 0, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: TDD_VCO_TX_ON_2_CLASS + + class TDD_VCO_TX_OFF_2_CLASS extends register_base; + field_base TDD_VCO_TX_OFF_2_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.TDD_VCO_TX_OFF_2_F = new("TDD_VCO_TX_OFF_2", 23, 0, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: TDD_VCO_TX_OFF_2_CLASS + + class TDD_RX_ON_2_CLASS extends register_base; + field_base TDD_RX_ON_2_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.TDD_RX_ON_2_F = new("TDD_RX_ON_2", 23, 0, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: TDD_RX_ON_2_CLASS + + class TDD_RX_OFF_2_CLASS extends register_base; + field_base TDD_RX_OFF_2_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.TDD_RX_OFF_2_F = new("TDD_RX_OFF_2", 23, 0, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: TDD_RX_OFF_2_CLASS + + class TDD_TX_ON_2_CLASS extends register_base; + field_base TDD_TX_ON_2_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.TDD_TX_ON_2_F = new("TDD_TX_ON_2", 23, 0, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: TDD_TX_ON_2_CLASS + + class TDD_TX_OFF_2_CLASS extends register_base; + field_base TDD_TX_OFF_2_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.TDD_TX_OFF_2_F = new("TDD_TX_OFF_2", 23, 0, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: TDD_TX_OFF_2_CLASS + + class TDD_RX_DP_ON_2_CLASS extends register_base; + field_base TDD_RX_DP_ON_2_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.TDD_RX_DP_ON_2_F = new("TDD_RX_DP_ON_2", 23, 0, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: TDD_RX_DP_ON_2_CLASS + + class TDD_RX_DP_OFF_2_CLASS extends register_base; + field_base TDD_RX_DP_OFF_2_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.TDD_RX_DP_OFF_2_F = new("TDD_RX_DP_OFF_2", 23, 0, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: TDD_RX_DP_OFF_2_CLASS + + class TDD_TX_DP_ON_2_CLASS extends register_base; + field_base TDD_TX_DP_ON_2_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.TDD_TX_DP_ON_2_F = new("TDD_TX_DP_ON_2", 23, 0, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: TDD_TX_DP_ON_2_CLASS + + class TDD_TX_DP_OFF_2_CLASS extends register_base; + field_base TDD_TX_DP_OFF_2_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.TDD_TX_DP_OFF_2_F = new("TDD_TX_DP_OFF_2", 23, 0, RW, 'h0, this); + + this.initialization_done = 1; + endfunction: new + endclass: TDD_TX_DP_OFF_2_CLASS + + TDD_CONTROL_0_CLASS TDD_CONTROL_0_R; + TDD_CONTROL_1_CLASS TDD_CONTROL_1_R; + TDD_CONTROL_2_CLASS TDD_CONTROL_2_R; + TDD_FRAME_LENGTH_CLASS TDD_FRAME_LENGTH_R; + TDD_SYNC_TERMINAL_TYPE_CLASS TDD_SYNC_TERMINAL_TYPE_R; + TDD_STATUS_CLASS TDD_STATUS_R; + TDD_VCO_RX_ON_1_CLASS TDD_VCO_RX_ON_1_R; + TDD_VCO_RX_OFF_1_CLASS TDD_VCO_RX_OFF_1_R; + TDD_VCO_TX_ON_1_CLASS TDD_VCO_TX_ON_1_R; + TDD_VCO_TX_OFF_1_CLASS TDD_VCO_TX_OFF_1_R; + TDD_RX_ON_1_CLASS TDD_RX_ON_1_R; + TDD_RX_OFF_1_CLASS TDD_RX_OFF_1_R; + TDD_TX_ON_1_CLASS TDD_TX_ON_1_R; + TDD_TX_OFF_1_CLASS TDD_TX_OFF_1_R; + TDD_RX_DP_ON_1_CLASS TDD_RX_DP_ON_1_R; + TDD_RX_DP_OFF_1_CLASS TDD_RX_DP_OFF_1_R; + TDD_TX_DP_ON_1_CLASS TDD_TX_DP_ON_1_R; + TDD_TX_DP_OFF_1_CLASS TDD_TX_DP_OFF_1_R; + TDD_VCO_RX_ON_2_CLASS TDD_VCO_RX_ON_2_R; + TDD_VCO_RX_OFF_2_CLASS TDD_VCO_RX_OFF_2_R; + TDD_VCO_TX_ON_2_CLASS TDD_VCO_TX_ON_2_R; + TDD_VCO_TX_OFF_2_CLASS TDD_VCO_TX_OFF_2_R; + TDD_RX_ON_2_CLASS TDD_RX_ON_2_R; + TDD_RX_OFF_2_CLASS TDD_RX_OFF_2_R; + TDD_TX_ON_2_CLASS TDD_TX_ON_2_R; + TDD_TX_OFF_2_CLASS TDD_TX_OFF_2_R; + TDD_RX_DP_ON_2_CLASS TDD_RX_DP_ON_2_R; + TDD_RX_DP_OFF_2_CLASS TDD_RX_DP_OFF_2_R; + TDD_TX_DP_ON_2_CLASS TDD_TX_DP_ON_2_R; + TDD_TX_DP_OFF_2_CLASS TDD_TX_DP_OFF_2_R; + + function new( + input string name, + input int address, + input adi_api parent = null); + + super.new(name, address, parent); + + this.TDD_CONTROL_0_R = new("TDD_CONTROL_0", 'h40, this); + this.TDD_CONTROL_1_R = new("TDD_CONTROL_1", 'h44, this); + this.TDD_CONTROL_2_R = new("TDD_CONTROL_2", 'h48, this); + this.TDD_FRAME_LENGTH_R = new("TDD_FRAME_LENGTH", 'h4c, this); + this.TDD_SYNC_TERMINAL_TYPE_R = new("TDD_SYNC_TERMINAL_TYPE", 'h50, this); + this.TDD_STATUS_R = new("TDD_STATUS", 'h60, this); + this.TDD_VCO_RX_ON_1_R = new("TDD_VCO_RX_ON_1", 'h80, this); + this.TDD_VCO_RX_OFF_1_R = new("TDD_VCO_RX_OFF_1", 'h84, this); + this.TDD_VCO_TX_ON_1_R = new("TDD_VCO_TX_ON_1", 'h88, this); + this.TDD_VCO_TX_OFF_1_R = new("TDD_VCO_TX_OFF_1", 'h8c, this); + this.TDD_RX_ON_1_R = new("TDD_RX_ON_1", 'h90, this); + this.TDD_RX_OFF_1_R = new("TDD_RX_OFF_1", 'h94, this); + this.TDD_TX_ON_1_R = new("TDD_TX_ON_1", 'h98, this); + this.TDD_TX_OFF_1_R = new("TDD_TX_OFF_1", 'h9c, this); + this.TDD_RX_DP_ON_1_R = new("TDD_RX_DP_ON_1", 'ha0, this); + this.TDD_RX_DP_OFF_1_R = new("TDD_RX_DP_OFF_1", 'ha4, this); + this.TDD_TX_DP_ON_1_R = new("TDD_TX_DP_ON_1", 'ha8, this); + this.TDD_TX_DP_OFF_1_R = new("TDD_TX_DP_OFF_1", 'hac, this); + this.TDD_VCO_RX_ON_2_R = new("TDD_VCO_RX_ON_2", 'hc0, this); + this.TDD_VCO_RX_OFF_2_R = new("TDD_VCO_RX_OFF_2", 'hc4, this); + this.TDD_VCO_TX_ON_2_R = new("TDD_VCO_TX_ON_2", 'hc8, this); + this.TDD_VCO_TX_OFF_2_R = new("TDD_VCO_TX_OFF_2", 'hcc, this); + this.TDD_RX_ON_2_R = new("TDD_RX_ON_2", 'hd0, this); + this.TDD_RX_OFF_2_R = new("TDD_RX_OFF_2", 'hd4, this); + this.TDD_TX_ON_2_R = new("TDD_TX_ON_2", 'hd8, this); + this.TDD_TX_OFF_2_R = new("TDD_TX_OFF_2", 'hdc, this); + this.TDD_RX_DP_ON_2_R = new("TDD_RX_DP_ON_2", 'he0, this); + this.TDD_RX_DP_OFF_2_R = new("TDD_RX_DP_OFF_2", 'he4, this); + this.TDD_TX_DP_ON_2_R = new("TDD_TX_DP_ON_2", 'he8, this); + this.TDD_TX_DP_OFF_2_R = new("TDD_TX_DP_OFF_2", 'hec, this); + + this.info($sformatf("Initialized"), ADI_VERBOSITY_HIGH); + endfunction: new + + endclass: adi_regmap_tdd_trans + +endpackage: adi_regmap_tdd_trans_pkg diff --git a/library/regmaps/adi_regmap_xcvr_intel_pkg.sv b/library/regmaps/adi_regmap_xcvr_intel_pkg.sv new file mode 100644 index 00000000..125cdae5 --- /dev/null +++ b/library/regmaps/adi_regmap_xcvr_intel_pkg.sv @@ -0,0 +1,235 @@ +// *************************************************************************** +// *************************************************************************** +// Copyright (C) 2014 - 2025 Analog Devices, Inc. All rights reserved. +// +// In this HDL repository, there are many different and unique modules, consisting +// of various HDL (Verilog or VHDL) components. The individual modules are +// developed independently, and may be accompanied by separate and unique license +// terms. +// +// The user should read each of these license terms, and understand the +// freedoms and responsabilities that he or she has by using this source/core. +// +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. +// +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: +// +// 1. The GNU General Public License version 2 as published by the +// Free Software Foundation, which can be found in the top level directory +// of this repository (LICENSE_GPL2), and also online at: +// +// +// OR +// +// 2. An ADI specific BSD license, which can be found in the top level directory +// of this repository (LICENSE_ADIBSD), and also on-line at: +// https://github.com/analogdevicesinc/hdl/blob/main/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. +// +// *************************************************************************** +// *************************************************************************** +/* Auto generated Register Map */ +/* Jan 28 13:30:17 2025 v0.3.55 */ + +package adi_regmap_xcvr_intel_pkg; + import logger_pkg::*; + import adi_api_pkg::*; + + class adi_regmap_xcvr_intel extends adi_regmap; + + /* Intel XCVR (axi_xcvr) */ + class VERSION_CLASS extends register_base; + field_base VERSION_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.VERSION_F = new("VERSION", 31, 0, RO, 'hXXXXXXXX, this); + + this.initialization_done = 1; + endfunction: new + endclass: VERSION_CLASS + + class ID_CLASS extends register_base; + field_base ID_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.ID_F = new("ID", 31, 0, RO, 'hXXXXXXXX, this); + + this.initialization_done = 1; + endfunction: new + endclass: ID_CLASS + + class SCRATCH_CLASS extends register_base; + field_base SCRATCH_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.SCRATCH_F = new("SCRATCH", 31, 0, RW, 'hXXXXXXXX, this); + + this.initialization_done = 1; + endfunction: new + endclass: SCRATCH_CLASS + + class RESETN_CLASS extends register_base; + field_base RESETN_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.RESETN_F = new("RESETN", 0, 0, RW, 'hXXXXXXXX, this); + + this.initialization_done = 1; + endfunction: new + endclass: RESETN_CLASS + + class STATUS_CLASS extends register_base; + field_base STATUS_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.STATUS_F = new("STATUS", 0, 0, RO, 'hXXXXXXXX, this); + + this.initialization_done = 1; + endfunction: new + endclass: STATUS_CLASS + + class STATUS_32_CLASS extends register_base; + field_base UP_PLL_LOCKED_F; + field_base CHANNEL_N_READY_F; + + function new( + input string name, + input int address, + input int NUM_OF_LANES, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.UP_PLL_LOCKED_F = new("UP_PLL_LOCKED", NUM_OF_LANES, NUM_OF_LANES, RO, 'hXXXXXXXX, this); + this.CHANNEL_N_READY_F = new("CHANNEL_N_READY", NUM_OF_LANES-1, 0, RO, 'hXXXXXXXX, this); + + this.initialization_done = 1; + endfunction: new + endclass: STATUS_32_CLASS + + class FPGA_INFO_CLASS extends register_base; + field_base FPGA_TECHNOLOGY_F; + field_base FPGA_FAMILY_F; + field_base SPEED_GRADE_F; + field_base DEV_PACKAGE_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.FPGA_TECHNOLOGY_F = new("FPGA_TECHNOLOGY", 31, 24, RO, 'hXXXXXXXX, this); + this.FPGA_FAMILY_F = new("FPGA_FAMILY", 23, 16, RO, 'hXXXXXXXX, this); + this.SPEED_GRADE_F = new("SPEED_GRADE", 15, 8, RO, 'hXXXXXXXX, this); + this.DEV_PACKAGE_F = new("DEV_PACKAGE", 7, 0, RO, 'hXXXXXXXX, this); + + this.initialization_done = 1; + endfunction: new + endclass: FPGA_INFO_CLASS + + class GENERIC_INFO_CLASS extends register_base; + field_base XCVR_TYPE_F; + field_base TX_OR_RX_N_F; + field_base NUM_OF_LANES_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.XCVR_TYPE_F = new("XCVR_TYPE", 27, 24, RO, 'hXXXXXXXX, this); + this.TX_OR_RX_N_F = new("TX_OR_RX_N", 8, 8, RO, 'hXXXXXXXX, this); + this.NUM_OF_LANES_F = new("NUM_OF_LANES", 7, 0, RO, 'hXXXXXXXX, this); + + this.initialization_done = 1; + endfunction: new + endclass: GENERIC_INFO_CLASS + + class FPGA_VOLTAGE_CLASS extends register_base; + field_base FPGA_VOLTAGE_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.FPGA_VOLTAGE_F = new("FPGA_VOLTAGE", 15, 0, RO, 'hXXXXXXXX, this); + + this.initialization_done = 1; + endfunction: new + endclass: FPGA_VOLTAGE_CLASS + + VERSION_CLASS VERSION_R; + ID_CLASS ID_R; + SCRATCH_CLASS SCRATCH_R; + RESETN_CLASS RESETN_R; + STATUS_CLASS STATUS_R; + STATUS_32_CLASS STATUS_32_R; + FPGA_INFO_CLASS FPGA_INFO_R; + GENERIC_INFO_CLASS GENERIC_INFO_R; + FPGA_VOLTAGE_CLASS FPGA_VOLTAGE_R; + + function new( + input string name, + input int address, + input int NUM_OF_LANES, + input adi_api parent = null); + + super.new(name, address, parent); + + this.VERSION_R = new("VERSION", 'h0, this); + this.ID_R = new("ID", 'h4, this); + this.SCRATCH_R = new("SCRATCH", 'h8, this); + this.RESETN_R = new("RESETN", 'h10, this); + this.STATUS_R = new("STATUS", 'h14, this); + this.STATUS_32_R = new("STATUS_32", 'h18, NUM_OF_LANES, this); + this.FPGA_INFO_R = new("FPGA_INFO", 'h1c, this); + this.GENERIC_INFO_R = new("GENERIC_INFO", 'h24, this); + this.FPGA_VOLTAGE_R = new("FPGA_VOLTAGE", 'h140, this); + + this.info($sformatf("Initialized"), ADI_VERBOSITY_HIGH); + endfunction: new + + endclass: adi_regmap_xcvr_intel + +endpackage: adi_regmap_xcvr_intel_pkg diff --git a/library/regmaps/adi_regmap_xcvr_xilinx_pkg.sv b/library/regmaps/adi_regmap_xcvr_xilinx_pkg.sv new file mode 100644 index 00000000..343dfecb --- /dev/null +++ b/library/regmaps/adi_regmap_xcvr_xilinx_pkg.sv @@ -0,0 +1,695 @@ +// *************************************************************************** +// *************************************************************************** +// Copyright (C) 2014 - 2025 Analog Devices, Inc. All rights reserved. +// +// In this HDL repository, there are many different and unique modules, consisting +// of various HDL (Verilog or VHDL) components. The individual modules are +// developed independently, and may be accompanied by separate and unique license +// terms. +// +// The user should read each of these license terms, and understand the +// freedoms and responsabilities that he or she has by using this source/core. +// +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. +// +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: +// +// 1. The GNU General Public License version 2 as published by the +// Free Software Foundation, which can be found in the top level directory +// of this repository (LICENSE_GPL2), and also online at: +// +// +// OR +// +// 2. An ADI specific BSD license, which can be found in the top level directory +// of this repository (LICENSE_ADIBSD), and also on-line at: +// https://github.com/analogdevicesinc/hdl/blob/main/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. +// +// *************************************************************************** +// *************************************************************************** +/* Auto generated Register Map */ +/* Jan 28 13:30:17 2025 v0.3.55 */ + +package adi_regmap_xcvr_xilinx_pkg; + import logger_pkg::*; + import adi_api_pkg::*; + + class adi_regmap_xcvr_xilinx extends adi_regmap; + + /* Xilinx XCVR (axi_xcvr) */ + class VERSION_CLASS extends register_base; + field_base VERSION_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.VERSION_F = new("VERSION", 31, 0, RO, 'hXXXXXXXX, this); + + this.initialization_done = 1; + endfunction: new + endclass: VERSION_CLASS + + class ID_CLASS extends register_base; + field_base ID_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.ID_F = new("ID", 31, 0, RO, 'hXXXXXXXX, this); + + this.initialization_done = 1; + endfunction: new + endclass: ID_CLASS + + class SCRATCH_CLASS extends register_base; + field_base SCRATCH_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.SCRATCH_F = new("SCRATCH", 31, 0, RW, 'hXXXXXXXX, this); + + this.initialization_done = 1; + endfunction: new + endclass: SCRATCH_CLASS + + class RESETN_CLASS extends register_base; + field_base BUFSTATUS_RST_F; + field_base RESETN_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.BUFSTATUS_RST_F = new("BUFSTATUS_RST", 1, 1, RW, 'hXXXXXXXX, this); + this.RESETN_F = new("RESETN", 0, 0, RW, 'hXXXXXXXX, this); + + this.initialization_done = 1; + endfunction: new + endclass: RESETN_CLASS + + class STATUS_CLASS extends register_base; + field_base BUFSTATUS_F; + field_base PLL_LOCK_N_F; + field_base STATUS_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.BUFSTATUS_F = new("BUFSTATUS", 6, 5, RO, 'hXXXXXXXX, this); + this.PLL_LOCK_N_F = new("PLL_LOCK_N", 4, 4, RO, 'hXXXXXXXX, this); + this.STATUS_F = new("STATUS", 0, 0, RO, 'hXXXXXXXX, this); + + this.initialization_done = 1; + endfunction: new + endclass: STATUS_CLASS + + class FPGA_INFO_CLASS extends register_base; + field_base FPGA_TECHNOLOGY_F; + field_base FPGA_FAMILY_F; + field_base SPEED_GRADE_F; + field_base DEV_PACKAGE_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.FPGA_TECHNOLOGY_F = new("FPGA_TECHNOLOGY", 31, 24, RO, 'hXXXXXXXX, this); + this.FPGA_FAMILY_F = new("FPGA_FAMILY", 23, 16, RO, 'hXXXXXXXX, this); + this.SPEED_GRADE_F = new("SPEED_GRADE", 15, 8, RO, 'hXXXXXXXX, this); + this.DEV_PACKAGE_F = new("DEV_PACKAGE", 7, 0, RO, 'hXXXXXXXX, this); + + this.initialization_done = 1; + endfunction: new + endclass: FPGA_INFO_CLASS + + class CONTROL_CLASS extends register_base; + field_base LPM_DFE_N_F; + field_base RATE_F; + field_base SYSCLK_SEL_F; + field_base OUTCLK_SEL_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.LPM_DFE_N_F = new("LPM_DFE_N", 12, 12, RW, 'hXXXXXXXX, this); + this.RATE_F = new("RATE", 10, 8, RW, 'hXXXXXXXX, this); + this.SYSCLK_SEL_F = new("SYSCLK_SEL", 5, 4, RW, 'hXXXXXXXX, this); + this.OUTCLK_SEL_F = new("OUTCLK_SEL", 2, 0, RW, 'hXXXXXXXX, this); + + this.initialization_done = 1; + endfunction: new + endclass: CONTROL_CLASS + + class GENERIC_INFO_CLASS extends register_base; + field_base QPLL_ENABLE_F; + field_base XCVR_TYPE_F; + field_base LINK_MODE_F; + field_base TX_OR_RX_N_F; + field_base NUM_OF_LANES_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.QPLL_ENABLE_F = new("QPLL_ENABLE", 20, 20, RO, 'hXXXXXXXX, this); + this.XCVR_TYPE_F = new("XCVR_TYPE", 19, 16, RO, 'hXXXXXXXX, this); + this.LINK_MODE_F = new("LINK_MODE", 13, 12, RO, 'hXXXXXXXX, this); + this.TX_OR_RX_N_F = new("TX_OR_RX_N", 8, 8, RO, 'hXXXXXXXX, this); + this.NUM_OF_LANES_F = new("NUM_OF_LANES", 7, 0, RO, 'hXXXXXXXX, this); + + this.initialization_done = 1; + endfunction: new + endclass: GENERIC_INFO_CLASS + + class CM_SEL_CLASS extends register_base; + field_base CM_SEL_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.CM_SEL_F = new("CM_SEL", 7, 0, RW, 'hXXXXXXXX, this); + + this.initialization_done = 1; + endfunction: new + endclass: CM_SEL_CLASS + + class CM_CONTROL_CLASS extends register_base; + field_base CM_WR_F; + field_base CM_ADDR_F; + field_base CM_WDATA_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.CM_WR_F = new("CM_WR", 28, 28, RW, 'hXXXXXXXX, this); + this.CM_ADDR_F = new("CM_ADDR", 27, 16, RW, 'hXXXXXXXX, this); + this.CM_WDATA_F = new("CM_WDATA", 15, 0, RW, 'hXXXXXXXX, this); + + this.initialization_done = 1; + endfunction: new + endclass: CM_CONTROL_CLASS + + class CM_STATUS_CLASS extends register_base; + field_base CM_BUSY_F; + field_base CM_RDATA_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.CM_BUSY_F = new("CM_BUSY", 16, 16, RO, 'hXXXXXXXX, this); + this.CM_RDATA_F = new("CM_RDATA", 15, 0, RW, 'hXXXXXXXX, this); + + this.initialization_done = 1; + endfunction: new + endclass: CM_STATUS_CLASS + + class CH_SEL_CLASS extends register_base; + field_base CH_SEL_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.CH_SEL_F = new("CH_SEL", 7, 0, RW, 'hXXXXXXXX, this); + + this.initialization_done = 1; + endfunction: new + endclass: CH_SEL_CLASS + + class CH_CONTROL_CLASS extends register_base; + field_base CH_WR_F; + field_base CH_ADDR_F; + field_base CH_WDATA_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.CH_WR_F = new("CH_WR", 28, 28, RW, 'hXXXXXXXX, this); + this.CH_ADDR_F = new("CH_ADDR", 27, 16, RW, 'hXXXXXXXX, this); + this.CH_WDATA_F = new("CH_WDATA", 15, 0, RW, 'hXXXXXXXX, this); + + this.initialization_done = 1; + endfunction: new + endclass: CH_CONTROL_CLASS + + class CH_STATUS_CLASS extends register_base; + field_base CH_BUSY_F; + field_base CH_RDATA_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.CH_BUSY_F = new("CH_BUSY", 16, 16, RO, 'hXXXXXXXX, this); + this.CH_RDATA_F = new("CH_RDATA", 15, 0, RW, 'hXXXXXXXX, this); + + this.initialization_done = 1; + endfunction: new + endclass: CH_STATUS_CLASS + + class ES_SEL_CLASS extends register_base; + field_base ES_SEL_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.ES_SEL_F = new("ES_SEL", 7, 0, RW, 'hXXXXXXXX, this); + + this.initialization_done = 1; + endfunction: new + endclass: ES_SEL_CLASS + + class ES_REQ_CLASS extends register_base; + field_base ES_REQ_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.ES_REQ_F = new("ES_REQ", 0, 0, RW, 'hXXXXXXXX, this); + + this.initialization_done = 1; + endfunction: new + endclass: ES_REQ_CLASS + + class ES_CONTROL_1_CLASS extends register_base; + field_base ES_PRESCALE_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.ES_PRESCALE_F = new("ES_PRESCALE", 4, 0, RW, 'hXXXXXXXX, this); + + this.initialization_done = 1; + endfunction: new + endclass: ES_CONTROL_1_CLASS + + class ES_CONTROL_2_CLASS extends register_base; + field_base ES_VOFFSET_RANGE_F; + field_base ES_VOFFSET_STEP_F; + field_base ES_VOFFSET_MAX_F; + field_base ES_VOFFSET_MIN_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.ES_VOFFSET_RANGE_F = new("ES_VOFFSET_RANGE", 25, 24, RW, 'hXXXXXXXX, this); + this.ES_VOFFSET_STEP_F = new("ES_VOFFSET_STEP", 23, 16, RW, 'hXXXXXXXX, this); + this.ES_VOFFSET_MAX_F = new("ES_VOFFSET_MAX", 15, 8, RW, 'hXXXXXXXX, this); + this.ES_VOFFSET_MIN_F = new("ES_VOFFSET_MIN", 7, 0, RW, 'hXXXXXXXX, this); + + this.initialization_done = 1; + endfunction: new + endclass: ES_CONTROL_2_CLASS + + class ES_CONTROL_3_CLASS extends register_base; + field_base ES_HOFFSET_MAX_F; + field_base ES_HOFFSET_MIN_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.ES_HOFFSET_MAX_F = new("ES_HOFFSET_MAX", 27, 16, RW, 'hXXXXXXXX, this); + this.ES_HOFFSET_MIN_F = new("ES_HOFFSET_MIN", 11, 0, RW, 'hXXXXXXXX, this); + + this.initialization_done = 1; + endfunction: new + endclass: ES_CONTROL_3_CLASS + + class ES_CONTROL_4_CLASS extends register_base; + field_base ES_HOFFSET_STEP_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.ES_HOFFSET_STEP_F = new("ES_HOFFSET_STEP", 11, 0, RW, 'hXXXXXXXX, this); + + this.initialization_done = 1; + endfunction: new + endclass: ES_CONTROL_4_CLASS + + class ES_CONTROL_5_CLASS extends register_base; + field_base ES_STARTADDR_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.ES_STARTADDR_F = new("ES_STARTADDR", 31, 0, RW, 'hXXXXXXXX, this); + + this.initialization_done = 1; + endfunction: new + endclass: ES_CONTROL_5_CLASS + + class ES_STATUS_CLASS extends register_base; + field_base ES_STATUS_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.ES_STATUS_F = new("ES_STATUS", 0, 0, RO, 'hXXXXXXXX, this); + + this.initialization_done = 1; + endfunction: new + endclass: ES_STATUS_CLASS + + class ES_RESET_CLASS extends register_base; + field_base ES_RESET0_F; + field_base ES_RESET1_F; + field_base ES_RESET2_F; + field_base ES_RESET3_F; + field_base ES_RESET4_F; + field_base ES_RESET5_F; + field_base ES_RESET6_F; + field_base ES_RESET7_F; + field_base ES_RESET8_F; + field_base ES_RESET9_F; + field_base ES_RESET10_F; + field_base ES_RESET11_F; + field_base ES_RESET12_F; + field_base ES_RESET13_F; + field_base ES_RESET14_F; + field_base ES_RESET15_F; + field_base ES_RESET16_F; + field_base ES_RESET17_F; + field_base ES_RESET18_F; + field_base ES_RESET19_F; + field_base ES_RESET20_F; + field_base ES_RESET21_F; + field_base ES_RESET22_F; + field_base ES_RESET23_F; + field_base ES_RESET24_F; + field_base ES_RESET25_F; + field_base ES_RESET26_F; + field_base ES_RESET27_F; + field_base ES_RESET28_F; + field_base ES_RESET29_F; + field_base ES_RESET30_F; + field_base ES_RESET31_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.ES_RESET0_F = new("ES_RESET0", 0, 0, RW, 'hXXXXXXXX, this); + this.ES_RESET1_F = new("ES_RESET1", 1, 1, RW, 'hXXXXXXXX, this); + this.ES_RESET2_F = new("ES_RESET2", 2, 2, RW, 'hXXXXXXXX, this); + this.ES_RESET3_F = new("ES_RESET3", 3, 3, RW, 'hXXXXXXXX, this); + this.ES_RESET4_F = new("ES_RESET4", 4, 4, RW, 'hXXXXXXXX, this); + this.ES_RESET5_F = new("ES_RESET5", 5, 5, RW, 'hXXXXXXXX, this); + this.ES_RESET6_F = new("ES_RESET6", 6, 6, RW, 'hXXXXXXXX, this); + this.ES_RESET7_F = new("ES_RESET7", 7, 7, RW, 'hXXXXXXXX, this); + this.ES_RESET8_F = new("ES_RESET8", 8, 8, RW, 'hXXXXXXXX, this); + this.ES_RESET9_F = new("ES_RESET9", 9, 9, RW, 'hXXXXXXXX, this); + this.ES_RESET10_F = new("ES_RESET10", 10, 10, RW, 'hXXXXXXXX, this); + this.ES_RESET11_F = new("ES_RESET11", 11, 11, RW, 'hXXXXXXXX, this); + this.ES_RESET12_F = new("ES_RESET12", 12, 12, RW, 'hXXXXXXXX, this); + this.ES_RESET13_F = new("ES_RESET13", 13, 13, RW, 'hXXXXXXXX, this); + this.ES_RESET14_F = new("ES_RESET14", 14, 14, RW, 'hXXXXXXXX, this); + this.ES_RESET15_F = new("ES_RESET15", 15, 15, RW, 'hXXXXXXXX, this); + this.ES_RESET16_F = new("ES_RESET16", 16, 16, RW, 'hXXXXXXXX, this); + this.ES_RESET17_F = new("ES_RESET17", 17, 17, RW, 'hXXXXXXXX, this); + this.ES_RESET18_F = new("ES_RESET18", 18, 18, RW, 'hXXXXXXXX, this); + this.ES_RESET19_F = new("ES_RESET19", 19, 19, RW, 'hXXXXXXXX, this); + this.ES_RESET20_F = new("ES_RESET20", 20, 20, RW, 'hXXXXXXXX, this); + this.ES_RESET21_F = new("ES_RESET21", 21, 21, RW, 'hXXXXXXXX, this); + this.ES_RESET22_F = new("ES_RESET22", 22, 22, RW, 'hXXXXXXXX, this); + this.ES_RESET23_F = new("ES_RESET23", 23, 23, RW, 'hXXXXXXXX, this); + this.ES_RESET24_F = new("ES_RESET24", 24, 24, RW, 'hXXXXXXXX, this); + this.ES_RESET25_F = new("ES_RESET25", 25, 25, RW, 'hXXXXXXXX, this); + this.ES_RESET26_F = new("ES_RESET26", 26, 26, RW, 'hXXXXXXXX, this); + this.ES_RESET27_F = new("ES_RESET27", 27, 27, RW, 'hXXXXXXXX, this); + this.ES_RESET28_F = new("ES_RESET28", 28, 28, RW, 'hXXXXXXXX, this); + this.ES_RESET29_F = new("ES_RESET29", 29, 29, RW, 'hXXXXXXXX, this); + this.ES_RESET30_F = new("ES_RESET30", 30, 30, RW, 'hXXXXXXXX, this); + this.ES_RESET31_F = new("ES_RESET31", 31, 31, RW, 'hXXXXXXXX, this); + + this.initialization_done = 1; + endfunction: new + endclass: ES_RESET_CLASS + + class TX_DIFFCTRL_CLASS extends register_base; + field_base TX_DIFFCTRL_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.TX_DIFFCTRL_F = new("TX_DIFFCTRL", 31, 0, RW, 'hXXXXXXXX, this); + + this.initialization_done = 1; + endfunction: new + endclass: TX_DIFFCTRL_CLASS + + class TX_POSTCURSOR_CLASS extends register_base; + field_base TX_POSTCURSOR_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.TX_POSTCURSOR_F = new("TX_POSTCURSOR", 31, 0, RW, 'hXXXXXXXX, this); + + this.initialization_done = 1; + endfunction: new + endclass: TX_POSTCURSOR_CLASS + + class TX_PRECURSOR_CLASS extends register_base; + field_base TX_PRECURSOR_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.TX_PRECURSOR_F = new("TX_PRECURSOR", 31, 0, RW, 'hXXXXXXXX, this); + + this.initialization_done = 1; + endfunction: new + endclass: TX_PRECURSOR_CLASS + + class FPGA_VOLTAGE_CLASS extends register_base; + field_base FPGA_VOLTAGE_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.FPGA_VOLTAGE_F = new("FPGA_VOLTAGE", 15, 0, RO, 'hXXXXXXXX, this); + + this.initialization_done = 1; + endfunction: new + endclass: FPGA_VOLTAGE_CLASS + + class PRBS_CNTRL_CLASS extends register_base; + field_base PRBSFORCEERR_F; + field_base PRBSCNTRESET_F; + field_base PRBSSEL_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.PRBSFORCEERR_F = new("PRBSFORCEERR", 16, 16, RW, 'hXXXXXXXX, this); + this.PRBSCNTRESET_F = new("PRBSCNTRESET", 8, 8, RW, 'hXXXXXXXX, this); + this.PRBSSEL_F = new("PRBSSEL", 3, 0, RW, 'hXXXXXXXX, this); + + this.initialization_done = 1; + endfunction: new + endclass: PRBS_CNTRL_CLASS + + class PRBS_STATUS_CLASS extends register_base; + field_base PRBSERR_F; + field_base PRBSLOCKED_F; + + function new( + input string name, + input int address, + input adi_regmap parent = null); + + super.new(name, address, parent); + + this.PRBSERR_F = new("PRBSERR", 8, 8, RO, 'hXXXXXXXX, this); + this.PRBSLOCKED_F = new("PRBSLOCKED", 0, 0, RO, 'hXXXXXXXX, this); + + this.initialization_done = 1; + endfunction: new + endclass: PRBS_STATUS_CLASS + + VERSION_CLASS VERSION_R; + ID_CLASS ID_R; + SCRATCH_CLASS SCRATCH_R; + RESETN_CLASS RESETN_R; + STATUS_CLASS STATUS_R; + FPGA_INFO_CLASS FPGA_INFO_R; + CONTROL_CLASS CONTROL_R; + GENERIC_INFO_CLASS GENERIC_INFO_R; + CM_SEL_CLASS CM_SEL_R; + CM_CONTROL_CLASS CM_CONTROL_R; + CM_STATUS_CLASS CM_STATUS_R; + CH_SEL_CLASS CH_SEL_R; + CH_CONTROL_CLASS CH_CONTROL_R; + CH_STATUS_CLASS CH_STATUS_R; + ES_SEL_CLASS ES_SEL_R; + ES_REQ_CLASS ES_REQ_R; + ES_CONTROL_1_CLASS ES_CONTROL_1_R; + ES_CONTROL_2_CLASS ES_CONTROL_2_R; + ES_CONTROL_3_CLASS ES_CONTROL_3_R; + ES_CONTROL_4_CLASS ES_CONTROL_4_R; + ES_CONTROL_5_CLASS ES_CONTROL_5_R; + ES_STATUS_CLASS ES_STATUS_R; + ES_RESET_CLASS ES_RESET_R; + TX_DIFFCTRL_CLASS TX_DIFFCTRL_R; + TX_POSTCURSOR_CLASS TX_POSTCURSOR_R; + TX_PRECURSOR_CLASS TX_PRECURSOR_R; + FPGA_VOLTAGE_CLASS FPGA_VOLTAGE_R; + PRBS_CNTRL_CLASS PRBS_CNTRL_R; + PRBS_STATUS_CLASS PRBS_STATUS_R; + + function new( + input string name, + input int address, + input adi_api parent = null); + + super.new(name, address, parent); + + this.VERSION_R = new("VERSION", 'h0, this); + this.ID_R = new("ID", 'h4, this); + this.SCRATCH_R = new("SCRATCH", 'h8, this); + this.RESETN_R = new("RESETN", 'h10, this); + this.STATUS_R = new("STATUS", 'h14, this); + this.FPGA_INFO_R = new("FPGA_INFO", 'h1c, this); + this.CONTROL_R = new("CONTROL", 'h20, this); + this.GENERIC_INFO_R = new("GENERIC_INFO", 'h24, this); + this.CM_SEL_R = new("CM_SEL", 'h40, this); + this.CM_CONTROL_R = new("CM_CONTROL", 'h44, this); + this.CM_STATUS_R = new("CM_STATUS", 'h48, this); + this.CH_SEL_R = new("CH_SEL", 'h60, this); + this.CH_CONTROL_R = new("CH_CONTROL", 'h64, this); + this.CH_STATUS_R = new("CH_STATUS", 'h68, this); + this.ES_SEL_R = new("ES_SEL", 'h80, this); + this.ES_REQ_R = new("ES_REQ", 'ha0, this); + this.ES_CONTROL_1_R = new("ES_CONTROL_1", 'ha4, this); + this.ES_CONTROL_2_R = new("ES_CONTROL_2", 'ha8, this); + this.ES_CONTROL_3_R = new("ES_CONTROL_3", 'hac, this); + this.ES_CONTROL_4_R = new("ES_CONTROL_4", 'hb0, this); + this.ES_CONTROL_5_R = new("ES_CONTROL_5", 'hb4, this); + this.ES_STATUS_R = new("ES_STATUS", 'hb8, this); + this.ES_RESET_R = new("ES_RESET", 'hbc, this); + this.TX_DIFFCTRL_R = new("TX_DIFFCTRL", 'hc0, this); + this.TX_POSTCURSOR_R = new("TX_POSTCURSOR", 'hc4, this); + this.TX_PRECURSOR_R = new("TX_PRECURSOR", 'hc8, this); + this.FPGA_VOLTAGE_R = new("FPGA_VOLTAGE", 'h140, this); + this.PRBS_CNTRL_R = new("PRBS_CNTRL", 'h180, this); + this.PRBS_STATUS_R = new("PRBS_STATUS", 'h184, this); + + this.info($sformatf("Initialized"), ADI_VERBOSITY_HIGH); + endfunction: new + + endclass: adi_regmap_xcvr_xilinx + +endpackage: adi_regmap_xcvr_xilinx_pkg diff --git a/library/utilities/adi_api_pkg.sv b/library/utilities/adi_api_pkg.sv index f3c5bde9..2ea7635f 100644 --- a/library/utilities/adi_api_pkg.sv +++ b/library/utilities/adi_api_pkg.sv @@ -41,8 +41,46 @@ package adi_api_pkg; import adi_common_pkg::*; import m_axi_sequencer_pkg::*; + typedef enum {NA, R, RO, ROV, RW, RW1C, RW1CV, RW1S, W1S, WO} acc_t; + + // forward declaration to avoid errors + typedef class register_base; + class adi_api extends adi_component; + protected m_axi_sequencer_base bus; + + function new( + input string name, + input m_axi_sequencer_base bus, + input adi_component parent = null); + + super.new(name, parent); + + this.bus = bus; + endfunction: new + + + virtual task axi_read(input register_base register); + automatic logic [31:0] data; + + this.bus.RegRead32(register.get_address(), data); + register.set(data); + endtask: axi_read + + virtual task axi_write(input register_base register); + this.bus.RegWrite32(register.get_address(), register.get()); + endtask: axi_write + + virtual task axi_verify(input register_base register); + this.bus.RegReadVerify32(register.get_address(), register.get()); + endtask: axi_verify + + endclass: adi_api + + + class adi_api_legacy extends adi_component; + protected m_axi_sequencer_base bus; protected bit [31:0] base_address; @@ -66,42 +104,189 @@ package adi_api_pkg; virtual task probe(); bit [31:0] val; + this.bus.RegRead32(this.base_address + 'h0, val); {ver_major, ver_minor, ver_patch} = val; this.info($sformatf("Found peripheral version: %0d.%0d.%s", ver_major, ver_minor, ver_patch), ADI_VERBOSITY_HIGH); endtask - task axi_read( - input [31:0] addr, - output [31:0] data); + virtual task axi_read( + input bit [31:0] addr, + output bit [31:0] data); this.bus.RegRead32(this.base_address + addr, data); endtask: axi_read - task axi_write( - input [31:0] addr, - input [31:0] data); + virtual task axi_write( + input bit [31:0] addr, + input bit [31:0] data); this.bus.RegWrite32(this.base_address + addr, data); endtask: axi_write - task axi_verify( - input [31:0] addr, - input [31:0] data); + virtual task axi_verify( + input bit [31:0] addr, + input bit [31:0] data); this.bus.RegReadVerify32(this.base_address + addr, data); endtask: axi_verify - endclass: adi_api + endclass: adi_api_legacy class adi_regmap extends adi_component; + int address; + function new( input string name, + input int addr, input adi_api parent = null); super.new(name, parent); + + this.address = address; endfunction: new endclass: adi_regmap + + class register_base extends adi_component; + + logic [31:0] value; + protected logic [31:0] reset_value; + protected int address; + protected bit initialization_done; + + function new( + input string name, + input int address, + input adi_regmap parent); + + super.new(name, parent); + + this.name = name; + this.value = 'h0; + this.reset_value = 'h0; + this.address = address; + this.initialization_done = 0; + endfunction + + function logic [31:0] get(); + this.info($sformatf("Getting reg %s with value %h", this.name, this.value), ADI_VERBOSITY_HIGH); + + return value; + endfunction + + function void set(input logic [31:0] value); + this.info($sformatf("Setting reg %s with value %h (%h)", this.name, value, this.value), ADI_VERBOSITY_HIGH); + + this.value = value; + endfunction + + function logic [31:0] get_reset_value(); + this.info($sformatf("Getting reg %s with reset value %h", this.name, this.reset_value), ADI_VERBOSITY_HIGH); + + return reset_value; + endfunction + + function void set_reset_value(input logic [31:0] reset_value); + if (initialization_done) + this.fatal($sformatf("Changing the reset value after the registermap is created is not allowed!")); + + this.info($sformatf("Setting reg %s with reset value %h (%h)", this.name, reset_value, this.reset_value), ADI_VERBOSITY_HIGH); + + this.reset_value = this.reset_value | reset_value; + endfunction + + function int get_address(); + return this.address; + endfunction + + function string get_name(); + return this.name; + endfunction + + endclass + + + class field_base extends adi_component; + + local int msb; + local int lsb; + local acc_t access; + local logic [31:0] reset_value; + + local register_base reg_handle; + + function new( + input string name, + input int msb, + input int lsb, + input acc_t access, + input int reset_value, + input register_base parent); + + automatic logic [31:0] update_value = 'h0; + + super.new(name, parent); + + this.name = name; + this.msb = msb; + this.lsb = lsb; + this.access = access; + this.reset_value = reset_value; + this.reg_handle = parent; + + update_value = reset_value << this.lsb; + for (int i=this.msb+1; i<=31; i++) begin + update_value[i]=1'b0; + end + + this.reg_handle.set_reset_value(update_value); + endfunction + + function logic [31:0] get(); + automatic logic [31:0] value = 'h0; + automatic logic [31:0] regvalue = this.reg_handle.get(); + + for (int i=this.msb+1; i<32; i++) begin + regvalue[i]=1'b0; + end + value = regvalue >> this.lsb; + + this.info($sformatf("Getting reg %s[%0d:%0d] field %s with %h", this.reg_handle.get_name(), this.msb, this.lsb, this.name, value), ADI_VERBOSITY_HIGH); + + return value; + endfunction + + function void set(input logic [31:0] set_value); + automatic logic [31:0] update_value = 'h0; + automatic logic [31:0] mask = 'hFFFF; + + if (this.access == NA || this.access == R || this.access == RO || this.access == ROV) + this.error($sformatf("Modifying a read only field!")); + + update_value = set_value << this.lsb; + for (int i=this.msb+1;i<=31;i++) begin + update_value[i]=1'b0; + end + + mask = mask << this.lsb; + for (int i=this.msb+1; i<32; i++) begin + mask[i]=1'b0; + end + + this.reg_handle.set(this.reg_handle.get() & ~mask); + this.reg_handle.set(this.reg_handle.get() | update_value); + + this.info($sformatf("Setting reg %s[%0d:%0d] field %s with %h (%h)", this.reg_handle.get_name(), this.msb, this.lsb, this.name, set_value, this.reg_handle.get()), ADI_VERBOSITY_HIGH); + endfunction + + function logic [31:0] get_reset_value(); + this.info($sformatf("Getting reg %s[%0d:%0d] field %s with reset value %h", this.reg_handle.get_name(), this.msb, this.lsb, this.name, this.reset_value), ADI_VERBOSITY_HIGH); + + return this.reset_value; + endfunction + + endclass + endpackage: adi_api_pkg diff --git a/scripts/run_unit_tb.sh b/scripts/run_unit_tb.sh new file mode 100644 index 00000000..1659e214 --- /dev/null +++ b/scripts/run_unit_tb.sh @@ -0,0 +1,23 @@ +############################################################################## +## Copyright (C) 2014-2024 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: BSD-1-Clause +############################################################################## + +export NAME=`basename $0` + +export MODE=batch + +# MODE not defined or defined to something else than 'batch' +if [[ -z ${MODE+x} ]] || [[ ! "$MODE" =~ "batch" ]]; then MODE="gui";fi +MODE="-"${MODE##*-} #remove any eventual extra dashes + +# XSim flow +xvlog --sv -log ${NAME}_xvlog.log -L xilinx_vip --sourcelibdir . ${SOURCE} || exit 1 +xelab -log ${NAME}_xelab.log -L xilinx_vip -debug all ${NAME} || exit 1 +if [[ "$MODE" == "-gui" ]]; then + echo "log_wave -r *" > xsim_gui_cmd.tcl + echo "run all" >> xsim_gui_cmd.tcl + xsim work.${NAME} -gui -tclbatch xsim_gui_cmd.tcl -log ${NAME}_xsim.log +else + xsim work.${NAME} -R -log ${NAME}_xsim.log +fi