Skip to content

Commit ed730e0

Browse files
committed
Add support for Gowin based boards.
1 parent 4c493bb commit ed730e0

File tree

4 files changed

+85
-16
lines changed

4 files changed

+85
-16
lines changed

.env.toolchain

+1
Original file line numberDiff line numberDiff line change
@@ -4,3 +4,4 @@ NEXTPNR_ICE40=yowasp-nextpnr-ice40
44
ICEPACK=yowasp-icepack
55
NEXTPNR_ECP5=yowasp-nextpnr-ecp5
66
ECPPACK=yowasp-ecppack
7+
NEXTPNR_GOWIN=yowasp-nextpnr-gowin

pdm.lock

+77-16
Some generated files are not rendered by default. Learn more about customizing how changed files appear on GitHub.

pyproject.toml

+2
Original file line numberDiff line numberDiff line change
@@ -11,9 +11,11 @@ dependencies = [
1111
"yowasp-yosys",
1212
"yowasp-nextpnr-ice40",
1313
"yowasp-nextpnr-ecp5",
14+
"yowasp-nextpnr-gowin",
1415
]
1516

1617
[tool.pdm.scripts]
1718
_.env_file = ".env.toolchain"
1819
build_ice40 = {call = "amaranth_template_fpga:build_ice40()"}
1920
build_ecp5 = {call = "amaranth_template_fpga:build_ecp5()"}
21+
build_gowin = {call = "amaranth_template_fpga:build_gowin()"}

src/amaranth_template_fpga.py

+5
Original file line numberDiff line numberDiff line change
@@ -1,6 +1,7 @@
11
from amaranth import *
22
from amaranth_boards.icestick import ICEStickPlatform
33
from amaranth_boards.versa_ecp5 import VersaECP5Platform
4+
from amaranth_boards.tang_nano import TangNanoPlatform
45

56

67
class Blinky(Elaboratable):
@@ -25,3 +26,7 @@ def build_ice40():
2526

2627
def build_ecp5():
2728
VersaECP5Platform().build(Blinky())
29+
30+
31+
def build_gowin():
32+
TangNanoPlatform().build(Blinky())

0 commit comments

Comments
 (0)